CN107924850A - 自定向计量和图案分类 - Google Patents

自定向计量和图案分类 Download PDF

Info

Publication number
CN107924850A
CN107924850A CN201680047524.3A CN201680047524A CN107924850A CN 107924850 A CN107924850 A CN 107924850A CN 201680047524 A CN201680047524 A CN 201680047524A CN 107924850 A CN107924850 A CN 107924850A
Authority
CN
China
Prior art keywords
design
defect
sample
information
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680047524.3A
Other languages
English (en)
Other versions
CN107924850B (zh
Inventor
A·帕克
A·古普塔
J·劳贝尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/247,774 external-priority patent/US10483081B2/en
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN107924850A publication Critical patent/CN107924850A/zh
Application granted granted Critical
Publication of CN107924850B publication Critical patent/CN107924850B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical, image processing or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)
  • Data Mining & Analysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本发明提供用于确定将对样本执行的过程的参数的方法及系统。一种系统包含经配置用于确定在样本上检测到的缺陷的区域的一或多个计算机子系统。所述计算机子系统还经配置用于将所述缺陷的所述区域与所述样本的设计的信息相互关联且基于所述相互关联的结果确定所述缺陷的所述区域与所述设计的所述信息之间的空间关系。另外,所述计算机子系统经配置用于基于所述空间关系自动产生待在针对所述样本执行的过程期间使用测量子系统测量的所关注区。

Description

自定向计量和图案分类
技术领域
本发明大体上涉及用于准确特性化包含(但不限于)局部临界尺寸(CD)改变、线或空间宽度改变及曲率的图案形态学的自动化图案计量位点放置及优化。某些实施例涉及用于确定将对样本执行的计量过程的一或多个参数的方法及系统。
背景技术
下列描述及实例不凭借其包含于此章节中而被视为现有技术。
在半导体制造过程期间的各个步骤使用检验过程以检测晶片上的缺陷以驱动制造过程中的更高良率及因此更高利润。检验始终是制造半导体装置的重要部分。然而,随着半导体装置的尺寸减小,检验对于成功制造可接受半导体装置变得更加重要,这些因为更小缺陷可导致装置故障。
缺陷复检通常涉及再检测由检验过程检测为缺陷的缺陷且使用高放大率光学系统或扫描式电子显微镜(SEM)以按更高分辨率产生关于缺陷的额外信息。因此,在晶片上的离散位置(其中已由检验检测缺陷)处执行缺陷复检。由缺陷复检产生的缺陷的更高分辨率数据更适用于确定缺陷的属性(例如轮廓、粗糙度、更准确大小信息等)。由于针对由检验在晶片上检测的缺陷执行缺陷复检,所以可基于由检验过程确定的缺陷属性确定用于经检测缺陷的位置处的缺陷复检的参数。然而,用于经检测缺陷的位置处的缺陷复检的输出获取参数(例如,光学、电子束等参数)通常不基于关于缺陷位置中或附近的设计的部分的信息加以确定,这些因为此信息通常与在缺陷复检期间针对所检测缺陷执行的输出获取功能无关。
在半导体制造过程期间的各种步骤中也使用计量过程来监测且控制工艺。计量过程与检验过程不同之处在于,不同于其中在晶片上检测缺陷的检验过程,计量过程用于测量无法使用当前所使用的检验工具确定的晶片的一或多个特性。举例来说,计量过程用于测量晶片的一或多个特性(例如在工艺期间形成于所述晶片上的特征的尺寸(例如,线宽、厚度等)),使得可从所述一或多个特性确定工艺的性能。另外,如果晶片的一或多个特性是不可接受的(例如,在所述特性的预定范围之外),那么可使用所述晶片的一或多个特性的测量以更改工艺的一或多个参数,使得由所述工艺制造的额外晶片具有可接受特性。
计量过程与缺陷复检过程不同之处也在于,不同于其中在缺陷复检中再访问由检验检测的缺陷的缺陷复检过程,计量过程可在未检测到缺陷的位置处执行。换句话来说,不同于缺陷复检,在晶片上执行计量过程的位置可独立于对晶片执行的检验过程的结果。特定来说,可独立于检验结果选择执行计量过程的位置。另外,由于可独立于检验结果选择在晶片上执行计量的位置,所以不同于在晶片的检验结果产生且可用于使用之前无法确定晶片上待执行缺陷复检的位置的缺陷复检,可在已对晶片执行检验过程之前确定执行计量过程的位置。
用于实施计量过程的当前方法具有数个缺点。举例来说,使用SEM的图案计量(包含(例如)临界尺寸(CD)及重叠测量)的常规配方设置需要待测量位置的先验知识。另外,常规配方设置过程通常包含设计的使用。此外,如果发现用户希望测量一次或持续测量的新所关注图案(POI),那么需要更新计量工具配方。
因此,开发不具有上述缺点的一或多者的用于确定将对样本执行的计量过程的一或多个参数的系统及方法将为有利的。
发明内容
各种实施例的下列描述不应以任何方式解释为限制所附权利要求书的标的物。
一个实施例涉及一种经配置以确定将对样本执行的过程的一或多个参数的系统。所述系统包含测量子系统,所述测量子系统包含至少一能量源及检测器。所述能量源经配置以产生经引导到样本的能量。所述检测器经配置以检测来自所述样本的能量且响应于所述经检测的能量产生输出。所述系统也包含一或多个计算机子系统,其经配置用于:确定所述样本上检测到的缺陷的区域;使所述缺陷的所述区域与所述样本的设计的信息关联;基于所述关联的结果确定所述缺陷的所述区域与所述设计的所述信息之间的空间关系;及基于所述空间关系自动产生待在针对所述样本执行的过程期间使用所述测量子系统测量的所关注区(ROI)。可如本文中描述那样进一步配置所述系统。
另一实施例涉及一种用于确定将对样本执行的过程的一或多个参数的计算机实施方法。所述方法包含上文描述的确定区域、关联、确定空间关系及自动产生ROI的步骤。所述方法的所述步骤由一或多个计算机系统执行。
可如本文中进一步描述那样进一步执行上文描述的所述方法的所述步骤中的每一者。另外,上文描述的所述方法的所述实施例可包含本文中描述的任何其它方法的任何其它步骤。此外,可由本文中描述的所述系统的任一者执行上文描述的所述方法。
另一实施例涉及一种非暂时性计算机可读媒体,其存储可在计算机系统上实行以执行用于确定将对样本执行的过程的一或多个参数的计算机实施方法的程序指令。所述计算机实施方法包含上文描述的所述方法的所述步骤。所述计算机可读媒体可如本文中描述那样进一步配置。可如本文中进一步描述那样执行所述计算机实施方法的所述步骤。另外,所述计算机实施方法(可针对其执行所述程序指令)可包含本文中描述的任何其它方法的任何其它步骤。
附图说明
所属领域的技术人员在获益于优选实施例的以下详细描述的情况下且在参考所附图式之后将变得明白本发明的另外优点,其中:
图1及2是说明如本文中描述那样配置的系统的实施例的侧视图的示意图;
图3是说明本文使用的各种术语(包含测量位点、视场及所关注区)之间的关系的一个实施例的平面视图的示意图;
图4是说明晶片的设计的部分在其出现于设计空间中时的一个实例的平面视图的示意图;
图5是说明图4中展示的设计的部分在其可印刷于晶片上时的一个实例的平面视图的示意图;
图6是说明在图5中展示的设计的部分内具有潜在所关注区的所述设计的部分的一个实施例的平面视图的示意图;
图7到8是说明用于将设计空间中的晶片的设计的一部分与晶片空间中的晶片的设计的部分对准的当前使用方法的结果的不同实例的平面视图的示意图;
图9是说明用于将设计空间中的晶片的设计的一部分与晶片空间中的晶片的设计的部分对准的实施例的结果的一个实例的平面视图的示意图;
图10到12是说明设计及晶片空间中的晶片的设计的一部分的平面视图及其如何可由本文中描述的实施例对准的示意图;
图13是说明晶片空间中的晶片的设计的一部分的平面视图及可如何由本文中描述的实施例确定可跨其执行测量的尺寸的示意图;
图14是说明存储用于引起计算机系统执行本文中描述的计算机实施方法的程序指令的非暂时性计算机可读媒体的一个实施例的框图;
图15到17是说明样本的设计的信息、样本上检测到的缺陷的区域及使用设计的信息及缺陷的区域由本文中描述的实施例执行的各种步骤的结果的各种实施例的平面视图的示意图;及
图18是说明针对样本的设计中的仅一个热点确定的一个以上额外所关注区的一个实施例的平面视图的示意图。
虽然本发明易受各种修改及替代形式影响,但其特定实施例在图式中通过实例展示且将在本文中详细描述。图式可不按比例绘制。然而,应理解,图式及其实施方式并不旨在将本发明限于所揭示的特定形式,而相反地,希望涵盖落于如由所附权利要求书所界定的本发明的精神及范围内的所有修改、等效物及替代方案。
具体实施方式
如本文中使用的术语“设计”及“设计数据”通常是指IC的物理设计(布局)及通过复杂模拟或简单几何及布尔运算从物理设计导出的数据。物理设计可存储于数据结构中,例如图形数据串流(GDS)文件、任何其它标准机器可读文件、所属领域中已知的任何其它适合文件及设计数据库。GDSII文件是用于设计布局数据的表示的一类文件的一者。此类文件的其它实例包含GL1及OASIS文件及专属文件格式(例如RDF数据),其专属于加利福尼亚州米尔皮塔斯市(Milpitas)的科磊公司(KLA-Tencor)。另外,由光罩检验系统获取的光罩的图像及/或其导出物可用作用于设计的“代理”或“若干代理”。此光罩图像或其导出物可在使用设计的本文中描述的任何实施例中充当对于设计布局的替代物。设计可包含2009年8月4日颁予扎法尔(Zafar)等人的共同拥有的第7,570,796号美国专利及2010年3月9日颁予库尔卡尼(Kulkarni)等人的共同拥有的第7,676,077号美国专利中描述的任何其它设计数据或设计数据代理,所述两个专利以宛如全文陈述引用的方式并入本文中。另外,设计数据可为标准单元库数据、集成布局数据、一或多个层的设计数据、设计数据的导出物及完全或部分芯片设计数据。
在一些例子中,来自晶片或光罩的模拟或获取图像可用作用于设计的代理。图像分析也可用作用于设计分析的代理。举例来说,可从印刷于晶片及/或光罩上的设计的图像提取设计中的多边形,假设以足够分辨率获取晶片及/或光罩的图像以使设计的多边形充分成像。另外,本文中描述的“设计”及“设计数据”是指由半导体装置设计者在设计过程中产生且因此可在将设计印刷于任何物理晶片上之前良好地用于本文中描述的实施例中的信息及数据。
优选地,如本文中所使用的术语“设计”或“物理设计”是指如将理想地形成于晶片上的设计。以此方式,本文中描述的设计或物理设计优选将不包含将不印刷于晶片上的设计的特征(例如光学接近校正(OPC)特征),其经添加到设计以增强将特征印刷于晶片上而不实际印刷其自身。以此方式,在一些实施例中,用于本文中进一步描述的自动产生及自动确定步骤的样本的设计不包含不会印刷于样本上的设计的特征。
本文中描述的“设计”及“设计数据”可包含与形成于晶片上的装置的物理意图相关的数据及信息,其可包含上文描述的设计及设计数据的各种类型的任一者。“设计”及“设计数据”也可或替代地包含与形成于晶片上的装置的电意图相关的数据及信息。此信息及数据可包含(例如)接线对照表及SPICE命名法及/或“注释布局”(例如,其中设计包含电接线对照表参数标记)。此数据及信息可用于确定布局或晶片图像的哪些部分在一或多个电子方面中是关键的。
现参考图式,应注意,图未按比例绘制。特定来说,在很大程度上放大图的一些元件的尺度以强调元件的特性。也应注意,所述图未按相同比例绘制。已使用相同元件符号指示可经类似配置的展示于一个以上图中的元件。除非本文中另有说明,否则所描述且展示的任何元件可包含任何适合市售元件。
一个实施例涉及一种经配置以确定将对样本执行的计量过程的一或多个参数的系统。在一个实施例中,样本包含晶片。在另一实施例中,样本包含光罩。晶片及光罩可包含所属领域中已知的任何晶片及光罩。
在图1中展示此系统的一个实施例。所述系统包含测量子系统,所述测量子系统包含至少一能量源及检测器。能量源经配置以产生引导到样本的能量。检测器经配置以从样本检测能量且响应于所述所检测的能量产生输出。
在一个实施例中,引导到样本的能量包含光,且从样本检测的能量包含光。举例来说,在图1中展示的系统的实施例中,测量子系统10包含经配置以将光引导到样本14的照明子系统。所述照明子系统包含至少一个光源。举例来说,如图1中所展示,照明子系统包含光源16。在一个实施例中,照明子系统经配置以按可包含一或多个倾斜角及/或一或多个法向角的一或多个入射角将光引导到样本。举例来说,如图1中所展示,来自光源16的光被引导穿过光学元件18及接着穿过透镜20到光束分离器21,光束分离器21按法向入射角将光引导到样本14。入射角可包含任何适当入射角,其可取决于(例如)样本及待在样本上检测的缺陷的特性而变化。
照明子系统可经配置以在不同时间按不同入射角将光引导到样本。举例来说,测量子系统可经配置以更改照明子系统的一或多个元件的一或多个特性,使得光可按不同于图1中展示的入射角的入射角引导到样本。在一个此实例中,测量子系统可经配置以移动光源16、光学元件18及透镜20,使得光以不同入射角引导到样本。
在一些例子中,测量子系统可经配置以在相同时间按一个以上入射角将光引导到样本。举例来说,照明子系统可包含一个以上照明通道,所述照明通道的一者可包含如图1中展示的光源16、光学元件18及透镜20,且所述照明通道的另一者(未展示)可包含可不同或相同配置的类似元件,或可包含至少一光源及可能一或多个其它组件(例如本文中进一步描述的组件)。如果在与其它光相同的时间将此光引导到样本,那么按不同入射角引导到样本的光的一或多个特性(例如,波长、偏光等等)可不同,使得可在检测器处将源自按不同入射角照明样本的光彼此区分。
在另一例子中,照明子系统可包含仅一个光源(例如,图1中展示的源16)且来自所述光源的光可由照明子系统的一或多个光学元件(未展示)而分成不同光学路径(例如,基于波长、偏光等等)。接着,可将不同光学路径中的每一者中的光引导到样本。多个照明通道可经配置以在相同时间或不同时间(例如,当使用不同照明通道以按顺序照明样本时)将光引导到样本。在另一例子中,相同照明通道可经配置以在不同时间将具有不同特性的光引导到样本。举例来说,在一些例子中,光学元件18可经配置为光谱滤光器且可以多种不同方式(例如,通过改变光谱滤光器)改变光谱滤光器的性质使得可在不同时间将不同波长的光引导到样本。照明子系统可具有所属领域中已知的用于按顺序或同时按不同或相同入射角将具有不同或相同特性的光引导到样本的任何其它适合配置。
在一个实施例中,光源16可包含宽带等离子体(BBP)光源。以此方式,由光源产生且引导到样本的光可包含宽带光。然而,光源可包含任何其它适合光源(例如激光器)。激光器可包含所属领域中已知的任何适合激光器且可经配置以产生任何适合波长或所属领域中已知的波长的光。另外,激光器可经配置以产生单色或近单色光。以此方式,激光器可为窄带激光器。光源也可包含产生多个离散波长或波带的光的多色光源。
来自光学元件18的光可由透镜20聚焦到光束分离器21。虽然透镜20在图1中展示为单折射光学元件,但应理解,实践上,透镜20可包含将来自光学元件的光组合地聚焦到样本的若干折射及/或反射光学元件。图1中展示且本文中描述的照明子系统可包含任何其它适合光学元件(未展示)。此类光学元件的实例包含(但不限于)偏光组件、光谱滤光器、空间滤光器、反射光学元件、变迹器、光束分离器、孔径及可包含所属领域中已知的任何此类适合光学元件的类似者。另外,系统可经配置以基于用于计量的照明的类型而更改照明子系统的元件的一或多者。
测量子系统也可包含经配置以引起光扫描遍及样本的扫描子系统。举例来说,测量子系统可包含在测量期间在其上安置样本14的载物台22。扫描子系统可包含可经配置以移动样本使得光可扫描遍及样本的任何适合机械及/或机器人组合件(包含载物台22)。另外或替代地,测量子系统可经配置使得测量子系统的一或多个光学元件执行光遍及样本的某一扫描。可以任何适合方式使光扫描遍及样本。
测量子系统进一步包含一或多个检测通道。一或多个检测通道的至少一者包含检测器,所述检测器经配置以检测归因于通过测量子系统照明样本而来自样本的光且响应于所检测光产生输出。举例来说,图1中展示的测量子系统包含两个检测通道,一个检测通道由集光器24、元件26及检测器28形成且另一检测通道由集光器30、元件32及检测器34形成。如图1中展示,两个检测通道经配置以按不同收集角收集且检测光。在一些例子中,一个检测通道经配置以检测镜面反射光且另一检测通道经配置以检测并非从样本镜面反射(例如,散射、衍射等)的光。然而,两个或两个以上检测通道可经配置以检测来自样本的相同类型的光(例如,镜面反射光)。虽然图1展示包含两个检测通道的测量子系统的实施例,但测量子系统可包含不同数目个检测通道(例如,仅一个检测通道或两个或两个以上检测通道)。虽然在图1中将每一集光器展示为单折射光学元件,但应理解,每一集光器可包含一或多个折射光学元件及/或一或多个反射光学元件。
一或多个检测通道可包含所属领域中已知的任何适合检测器。举例来说,检测器可包含光电倍增管(PMT)、电荷耦合装置(CCD)及时间延迟积分(TDI)相机。检测器也可包含所属领域中已知的任何其它适合检测器。检测器也可包含非成像检测器或成像检测器。以此方式,如果检测器是非成像检测器,那么每一检测器可经配置以检测散射光的某些特性(例如强度)但不可经配置以检测依据成像平面内的位置而变化的此类特性。因而,由包含于测量系统的每一检测通道中的每一检测器产生的输出可为信号或数据,而非图像信号或图像数据。在此类例子中,计算机子系统(例如系统的计算机子系统36)可经配置以从检测器的非成像输出产生样本的图像。然而,在其它例子中,检测器可经配置为经配置以产生成像信号或图像数据的成像检测器。因此,系统可经配置以以若干方式产生本文中描述的图像。
应注意,本文中提供图1以大体上说明可包含于本文中描述的系统实施例中的测量子系统的配置。显然,可更改本文中描述的测量子系统布置以如在设计商业计量系统时通常执行那样优化系统的性能。另外,可使用例如商业上可购自科磊公司的SpectraShape系列工具及Archer系列工具的现有计量系统(例如,通过将本文中描述的功能性添加到现存计量系统)实施本文中描述的系统。对于一些此类系统,本文中描述的方法可提供为计量系统的任选功能性(例如,除了计量系统的其它功能性之外)。替代地,可“从头开始”设计本文中描述的计量系统以提供全新计量系统。
系统的计算机子系统36可以任何适合方式(例如,经由一或多个传输媒体,所述一或多个传输媒体可包含“有线”及/或“无线”传输媒体)耦合到测量子系统的检测器使得计算机子系统可接收在样本的扫描期间由检测器产生的输出。计算机子系统36可经配置以使用如本文中描述的检测器的输出执行若干功能及本文中进一步描述的任何其它功能。可如本文中描述那样进一步配置此计算机子系统。
此计算机子系统(以及本文中描述的其它计算机子系统)在本文中也可称为计算机系统。本文中描述的计算机子系统或系统中的每一者可采取多种形式,包含个人计算机系统、图像计算机、主计算机系统、工作站、网络设备、因特网设备或其它装置。一般来说,术语“计算机系统”可经广泛定义以涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。计算机子系统或系统也可包含所属领域中已知的任何适合处理器(例如并行处理器)。另外,计算机子系统或系统可包含具有高速处理及软件的计算机平台(作为独立工具或网络工具)。
如果系统包含一个以上计算机子系统,那么不同计算机子系统可彼此耦合,使得如本文中进一步描述那样可在所述计算机子系统之间发送图像、数据、信息、指令等等。举例来说,计算机子系统36可由可包含所属领域中已知的任何适合有线及/或无线传输媒体的任何适合传输媒体耦合到计算机子系统102(如由图1中的虚线所展示)。两个或两个以上此类计算机子系统也可由共享计算机可读存储媒体(未展示)而有效耦合。
虽然测量子系统在上文描述为光学或基于光的测量子系统,但所述测量子系统可为基于电子束的测量子系统。举例来说,在一个实施例中,引导到样本的能量包含电子,且从样本检测的能量包含电子。以此方式,能量源可为电子束源。在图2中展示的一个此实施例中,测量子系统包含耦合到计算机子系统124的电子柱122。
也如图2中展示,电子柱包含经配置以产生由一或多个元件130聚焦到样本128的电子的电子束源126。电子束源可包含(例如)阴极源或发射极尖端,且一或多个元件130可包含(例如)枪透镜、阳极、限束孔径、闸阀、束电流选择孔径、物镜及扫描子系统,其全部可包含所属领域中已知的任何此类适合元件。
从样本返回的电子(例如,次级电子)可由一或多个元件132聚焦到检测器134。一或多个元件132可包含(例如)扫描子系统,所述扫描子系统可为包含在元件130中的相同扫描子系统。
电子柱可包含所属领域中已知的任何其它适合元件。另外,可如2014年4月4日颁予蒋(Jiang)等人的第8,664,594号美国专利、2014年4月8日颁予小岛(Kojima)等人的第8,692,204号美国专利、2014年4月15日颁予固本(Gubbens)等人的第8,698,093号美国专利及2014年5月6日颁予麦克唐纳(MacDonald)等人的第8,716,662号美国专利中描述那样进一步配置电子柱,所述专利以宛如全文陈述引用的方式并入本文中。
虽然电子柱在图2中展示为经配置使得电子按倾斜入射角引导到样本且按另一倾斜角从样本散射,但应理解,电子束可以任何适合角引导到样本且从样本散射。另外,基于电子束的测量子系统可经配置以使用多个模式来产生样本的图像(例如,运用不同照明角、收集角等等)。基于电子束的测量子系统的多个模式可在测量子系统的任何图像产生参数方面不同。
如上文所描述,计算机子系统124可耦合到检测器134。检测器可检测从样本的表面返回的电子,借此形成所述样本的电子束图像。所述电子束图像可包含任何适合电子束图像。计算机子系统124可经配置以使用检测器的输出及/或电子束图像执行本文中描述的任何功能。计算机子系统124可经配置以执行本文中描述的任何额外步骤。可如本文中描述那样进一步配置包含图2中展示的测量子系统的系统。
注意,本文中提供图2以大体上说明可包含在本文中描述的实施例中的基于电子束的测量子系统的配置。如同上文描述的光学测量子系统,可更改本文中描述的基于电子束的测量子系统布置以如在设计商业计量系统时通常执行那样优化测量子系统的性能。另外,可使用例如商业上可购自科磊公司的eDR-xxxx系列的工具的现存计量或高分辨率缺陷复检系统(例如,通过将本文中描述的功能性添加到现存计量系统)来实施本文中描述的系统。对于一些此类系统,本文中描述的方法可提供为系统的任选功能性(例如,除系统的其它功能性之外)。替代地,可“从头开始”设计本文中描述的系统以提供全新系统。
虽然测量子系统在上文描述为基于光或基于电子束的测量子系统,但所述测量子系统可为基于离子束的测量子系统。可如图2中展示那样配置此测量子系统,可使用所属领域中已知的任何适合离子束源替换电子束源除外。另外,测量子系统可为任何其它适合基于离子束的测量子系统,例如包含在市售聚焦离子束(FIB)系统、氦离子显微镜(HIM)系统及次级离子质谱仪(SIMS)系统中的测量子系统。
包含于本文中描述的系统实施例中的一或多个计算机子系统经配置用于基于样本的设计自动产生待在针对样本执行的计量过程期间使用测量子系统测量的所关注区(ROI)。由于基于样本的设计确定ROI,所以ROI可称为“基于设计的ROI”。另外,计量过程(如本文中描述那样针对其确定一或多个参数)可称为“设计驱动的计量过程”。
图3提供本文中使用的各种术语(包含ROI)的一些内容背景。举例来说,图3展示以测量位点302为中心的测量子系统(例如本文中描述的所述测量子系统的一者)的视场(FOV)300。测量位点可为经检测缺陷(通过检验及/或复检检测)的位点或取样位点。在计量过程期间晶片上的每一FOV位置可与测量位点(将针对其执行计量过程)的仅一者相关联。举例来说,在计量过程期间,扫描电子显微镜(SEM)或其它测量子系统可以从测量位点到测量位点的方式驱动。
如在图3中也展示,在FOV 300内,可定位多个ROI 304、306及308。尽管在图3中展示三个ROI,但任何一个FOV中可存在任何数目个ROI(即,一或多个ROI)。如在图3中进一步展示,ROI可定位于FOV内的各种位置中,且尽管三个ROI展示为在FOV中不重叠,但在一些例子中,ROI可在FOV中在某种程度上重叠。在ROI中的每一者内,可选择执行至少一个测量,此可如本文进一步描述那样进行自动选择或确定。尽管图3未展示将形成于定位在图3中展示的FOV中的晶片的区域中的任何图案化特征,但测量一般将针对图案化特征的一或多个特性。
为说明可在不同ROI中执行的不同测量,图3将这些不同测量抽象地说明为展示尺寸的范围及方向的双头箭头,可跨所述尺寸执行此类测量。举例来说,如在图3中展示,可在ROI 304中在一个方向上跨ROI在所述方向上的整个尺寸的仅一部分执行测量310。可在ROI306中在不同方向上跨ROI在所述方向上的整个尺寸执行测量312。另外,可在垂直方向上跨ROI 308执行测量314及316。测量314可跨ROI在所述测量方向上的整个尺寸的仅一部分执行,而测量316可跨ROI在所述测量方向上的整个尺寸执行。因此,如本文进一步描述,不同测量可在不同ROI中执行,且在任一个ROI中执行的测量可如本文进一步描述那样选择或确定。
一或多个计算机子系统也经配置用于基于分别定位于ROI的第一及第二子集中的样本的设计的部分自动确定在使用测量子系统的计量过程期间在ROI的第一及第二子集中执行的一或多个测量的一或多个参数。在第一子集中执行的一或多个测量的一或多个参数单独地且独立于在第二子集中执行的一或多个测量的一或多个参数而确定。换句话来说,可基于仅定位于第一子集中的设计的部分针对ROI的第一子集确定一或多个参数,可基于仅定位于第二子集中的设计的部分针对ROI的第二子集确定一或多个参数等等。另外,尽管本文中关于第一及第二子集描述一些实施例,但应理解,可针对ROI的两个以上子集(例如,ROI的两个或两个以上子集)执行由计算机子系统执行的步骤。此外,ROI的子集中的每一者可包含一或多个ROI。举例来说,ROI的第一子集可包含仅一个ROI,而ROI的第二子集可包含一个以上ROI。以此方式,本文中描述的实施例经配置用于自动化图案保真度测量计划产生。本文中描述的实施例也可经配置用于执行所产生的图案保真度测量计划。
在一个实施例中,在计量过程的设置期间执行自动产生及自动确定。以此方式,方法可包含在设置期间使用晶片的物理设计的自动ROI产生。另外,可使图案保真度测量的配方设置完全自动化,这是由于数千个独有位点的ROI可在设置期间自动产生。
在另一实施例中,在计量过程的运行时间期间实时执行自动产生及自动确定。以此方式,本文中描述的实施例可经配置用于自动化实时图案保真度测量计划产生。另外,方法可包含在运行时间期间使用晶片的物理设计的自动ROI产生。
本文中描述的实施例也可产生计量测量计划而无需待测量结构的先验知识。举例来说,本文中描述的实施例不必使用由另一系统或方法针对待测量结构产生的信息执行功能。因此,本文中描述的实施例针对测量计划产生提供优于当前使用的方法及系统的数个优点。举例来说,在新的过程节点,由检验工具检测的图案偏差将需要定量分析来确定其是否满足是“缺陷”的准则。无法提前预测这些缺陷候选者可能在何处出现,因此,需要实时自动化计量计划产生。
在一些实施例中,自动产生包含在计量过程的设置期间执行设计的基于规则的搜索。举例来说,可使图案保真度测量的配方设置完全自动化,这是由于可在设置期间使用晶片的物理设计的基于规则搜索来自动产生数千个独有位点的ROI。以此方式,本文中描述的实施例可经配置用于基于规则的自动ROI产生。
将ROI产生的规则应用于设计可以数个不同方式执行。举例来说,基于规则的方法可为非图像处理方法,其中将规则应用于设计数据以产生ROI。可使用CAD软件来执行此类应用。在另一实例中,可使用基于图像处理的方法,其可包含将设计数据呈现为图像且接着使用规则作为输入以使用图像处理算法产生ROI。以此方式,设计数据可由各种类型的设计分析软件及/或算法消费以便使用规则作为输入而产生ROI。
在用于自动产生ROI的基于规则的搜索的一个实施例中,可针对每一不同测量类型产生一个规则。换句话来说,规则1可用于测量类型1,规则2可用于测量类型2等等。另外,每一规则不可用于一个以上测量类型。以此方式,每一规则可定义待形成于晶片上的设计中的图案的特性,所述特性将使图案的测量类型的测量适于所述图案。举例来说,可设计用于线宽测量类型的规则以将跨图案的相对大区段具有基本上均匀尺寸的图案或图案的部分识别为用于线宽测量类型的候选者。
在一些此类例子中,规则中的每一者可针对包含于任何一个FOV中的图案中的任一者及/或所有者而执行。因此,可在每一FOV基础上执行所有规则。由于每一规则可识别针对其而写入所述规则的类型的测量的可能位置,所以每一规则可识别所述FOV的数个可能ROI,其中测量类型的每一可能位置对应于ROI中的一者。因此,将每一规则应用于每一FOV的结果可包含FOV中的一或多个ROI位置。因而,将多个规则应用于每一FOV可在每一FOV中产生一或多个ROI位置,一些所述位置可对应于不同测量类型。在一些此类例子中,FOV内的ROI位置中的每一者可对应于仅一种类型的仅一个测量。然而,FOV内的多个ROI位置可在FOV内彼此(部分或完全)重叠(例如,当适合在FOV的相同部分中执行两种不同类型的两个不同测量时)是可能的。在重叠ROI的此类例子中,每一个别ROI可对应于仅一种测量类型的仅一个测量。换句话来说,每一ROI可存在仅一种测量类型。因此,为针对给定ROI位置执行多个测量,可产生多个ROI,其中每一ROI具有相同ROI界限(或位置、坐标等)但各自具有不同测量类型。
总而言之,因此,对于晶片上的任何一个测量位点,可针对所述测量位点指定一个FOV。所有规则可针对每一FOV运行。由于运行所有规则,因此每一FOV每一规则的一或多个ROI可凭借每一ROI一个测量产生。可针对每一FOV/测量位点重复相同步骤,直到已处理所有FOV/测量位点。
在一个实施例中,一或多个计算机子系统包含电子设计自动化(EDA)工具的计算机子系统。举例来说,针对在运行时的ROI产生,方法可使用EDA物理设计分析工具或将定制算法应用到物理设计。在一些此类例子中,设计片段(design clip)或设计的另一表示可由物理设计分析软件自动分析以确定设计片段或设计的另一表示内的有效测量。在一个此实例中,针对在运行时的ROI产生,算法可基于图案的给定段是笔直/平行(即,结构/图案的两个边缘彼此平行)、弯曲(例如,在拐角上)还是在线的端部处而对设计自动分段。EDA工具可包含任何适当市售EDA工具。在一些此类实施例中,本文中描述的计算机子系统中的一或多者(例如,计算机子系统102)可经配置为EDA工具。
在另一实施例中,针对ROI的第一子集自动确定的一或多个参数导致在ROI的第一子集中执行的第一类型的测量,针对ROI的第二子集自动确定的一或多个参数导致在ROI的第二子集中执行的第二类型的测量,且第一及第二类型的测量彼此不同。以此方式,方法可包含在ROI产生过程期间自动确定测量类型。每一ROI可存在一个测量类型且可在ROI产生过程期间自动确定。因而,本文中描述的实施例可经配置用于自动产生具有针对每一ROI的适当测量类型的计量计划。举例来说,计量计划产生可包含针对每一FOV根据物理设计自动定义ROI及测量类型。自动定义ROI及测量类型可使用设计分析算法及软件来执行。一或多个参数也可包含在ROI中待执行测量类型的位置。ROI中待执行测量类型的位置可如本文中进一步描述那样确定。
可执行本文中描述的计量过程来确定晶片上的图案与设计中的图案不同之处。特定来说,当图案经设计以印刷于晶片上时,其几乎从未如其经设计那样确切地印刷于晶片上。如所设计图案与如所印刷图案的此类差异可归因于用来将图案印刷于晶片上的工艺、工具及材料的固有限制以及所述工艺、工具及材料中的任何误差。
在图4及5中展示印刷于晶片上的图案可如何不同于如所设计图案的一个实例。特定来说,如在图4中展示,晶片(在图4中未展示)的设计的部分400可包含三个不同图案402、404及406。图案402是可包含于晶片的设计中的线结构的实例。图案404是可包含于晶片的设计中的接点结构的实例,且图案406是可包含于晶片的设计中的多边形结构的实例。
尽管在图4(及本文中描述的其它图)中展示包含于晶片的设计中的结构的一些实例,但所述实例并不意在表示任何特定晶片的任何特定设计。而是,如所属领域的一般技术人员将了解,晶片的设计可包含呈许多不同布置且呈许多不同数目的许多不同类型结构。图4(及本文中描述的其它图)中展示的结构仅意在说明一些假想晶片结构来进一步理解本文中描述的各种实施例。
归因于用来印刷设计的部分400中展示的结构的工具、材料及过程的工艺限制,所述结构将未必如其包含于设计中那样印刷于晶片上。举例来说,如在图5中展示,代替部分400中具有如设计中展示的尖锐90度拐角的图案402、404及406,图案将具有至少某种程度修圆拐角。另外,结构的任一者可具有尺寸(例如跨结构的各种点处的宽度)变化。举例来说,如在图5中展示,图案406相比于跨此结构的多个点处的结构的设计特性具有一些线宽变化。
因此可基于如所设计图案的特性(可能结合关于图案的潜在问题的一些先验知识)如本文中描述那样自动选择ROI及每一ROI的测量类型。在图6中展示针对图5中展示的图案的数个可能ROI。尽管关于图5中展示的图案展示这些可能ROI,但ROI可实际上基于对应于图5中展示的图案的设计(即,基于图4中展示的图案)而确定。
在图6中展示的实施例中,可针对经设计以具有跨特征的一部分的基本上均匀尺寸的所述特征的所述部分确定ROI 600、602及604。举例来说,可针对经设计以具有跨特征402的一部分的基本上均匀尺寸的所述部分产生ROI 600,且可针对经设计以具有跨特征406的部分的基本上均匀尺寸的所述部分产生ROI 602及604。针对这些ROI自动选择的测量类型可为线宽测量,其可用于检测图案化特征中的颈缩或膨胀问题。
可针对所述特征的两者(特征402及406)之间的空间自动产生另一ROI(ROI 606),所述空间经设计以具有跨ROI的基本上相同尺寸。由本文中描述的实施例针对此ROI自动选择的测量类型可包含间隙测量(或两个特征之间的距离或距离的某一统计量度)。可执行间隙测量以检测两个图案化特征之间的桥接问题。
本文中描述的实施例也可经配置以在一或多个特征的端部处及/或附近自动产生数个ROI。举例来说,如在图6中展示,可针对特征402的端部自动产生ROI 608及610,而可针对特征406的端部自动产生ROI 612及614。针对这些ROI选择的测量类型可为线端位置、线端拉回、线端距离(例如,直线的两个线端之间的距离)或可用于描述如所设计特征对如所印刷特征的端部的相对位置的某一其它测量类型。
也可针对设计中的一或多个图案化特征的拐角自动产生一或多个ROI。举例来说,如在图6中展示,可针对特征406的拐角产生ROI 616及618。针对这些ROI选择的测量类型可为曲率、半径、距离、弧面积或可用于描述拐角的形状的某一其它测量类型。
可由本文中描述的实施例针对设计中的接点图案化特征自动产生另一ROI。举例来说,如在图6中展示,可针对接点特征404产生ROI 620。针对此ROI选择的测量类型可为直径、宽度、高度、半径、面积或可用来描述如所印刷接点如何不同于如所设计接点的另一测量类型。
可针对计量过程确定的其它测量类型包含尖端到尖端(两个线端之间的间隙的测量)、尖端-线(线端与线之间的间隙的测量)、线长度(直线的长度的测量)及拐角到拐角测量。
因此,如上文描述,本文中描述的实施例可经配置以执行基于设计将晶片的设计的至少一部分分段为针对计量过程的ROI。另外,一些段可包含直线段、笔直间隙段、线端段、拐角段及接点段。可在设计中以本文中描述的数个不同方式确定不同段及对应ROI。举例来说,可通过将一或多个规则应用于设计而确定段或ROI。在另一实例中,可如本文进一步描述那样识别穿过设计中的图案化特征的假想中心线(在其不为设计的部分或不印刷于晶片上的意义上是假想的),且接着,所述中心线可用于将图案化特征分段为段及/或ROI。举例来说,穿过图案化特征的笔直中心线可用于将笔直中心线延伸穿过的图案化特征的部分识别为直线段。在另一实例中,穿过两个图案化特征之间的空间的笔直中心线可用于将笔直中心线延伸穿过的空间的部分识别为直间隙段。在额外实例中,其中两个直线以90度角相交的图案化特征的一部分可识别为拐角段。可使用假想中心线以类似方式识别本文中描述的其它段。
一旦已确定用于计量过程的各种位置(例如,测量位点位置、对准位点位置、自动聚焦位点位置等),计量配方设置就可包含各种额外步骤,可使用物理晶片对计量工具执行所述额外步骤的一些步骤。举例来说,一或多个位置可定位于测量子系统的FOV中。一旦一或多个位置定位于测量子系统的FOV中,便可使用测量子系统的参数(例如,光学、电子束或成像参数)的不同值产生测量子系统的输出。接着,可比较使用参数的不同值产生的不同输出以确定哪些参数最适用于针对一或多个位置的计量过程中。另外,可针对将在相同计量过程中测量的不同位置选择不同测量子系统参数。举例来说,可确定最佳(且因此选择)用于一种类型的ROI中的一个测量类型的一组测量子系统参数,同时可确定最佳(且因此选择)用于另一不同类型的ROI中的另一不同测量类型的另一不同组测量子系统参数。以类似方式,可在逐位置类型基础上确定由计算机子系统应用于由测量子系统产生的输出的一或多个方法及/或算法的一或多个参数(使得不同方法及/或算法及/或相同方法及/或算法的不同参数可应用于在晶片上的不同类型的位置处产生的输出)。
在一些实施例中,计算机子系统经配置用于通过将检测器的输出与ROI的第一子集及第二子集的样本的设计对准而在计量过程期间确定所述样本上的位置。举例来说,计算机子系统可经配置用于自动SEM到设计精细对准(例如,使用SEM的FOV中的几何形状)。由于全局对准不确保由测量子系统产生的图像中的结构的中心线与设计结构的对准,因此可执行SEM到设计精细对准。
在将测量子系统的输出与设计对准的一些实施例中,经绘制穿过输出及设计中的图案化特征的假想中心线可用于精细对准(而本文中进一步描述的对准标记可用于晶片或一或多个FOV的全局对准)。图7及8说明当使用输出及设计中的特征的边缘以用于对准时可出现的一些问题。举例来说,如在图7中展示,设计的一部分可包含两个特征(线700及多边形702)。另外,对应于设计的部分的由测量子系统产生的输出的一部分可包含针对两个特征(线704及多边形706)的输出。设计中的特征及测量子系统的输出中的特征归因于如上文进一步描述那样将设计印刷于晶片上而看似不同。
可在所关注图案的上边缘或下边缘处使用边缘到边缘方法来对准测量子系统的输出(例如,SEM图像)与设计。举例来说,如在图7中展示,如果多边形702及706的水平部分的下边缘708用于对准,那么针对多边形的区域710及712中的多边形706执行的线端测量将产生一个测量。然而,如在图8中展示,如果多边形702及706的水平部分的上边缘800用于对准,那么针对多边形的区域710及712中的多边形706执行的线端测量将产生不同的测量。以此方式,取决于多边形的哪一边缘用于设计到输出的对准,线端测量将产生不同结果,这由于数个明显原因(例如,线端拉回测量是不一致的)而是不利的。
因此,代替使用边缘到边缘对准,本文中描述的实施例可使用输出中及设计中的特征的中心来执行测量子系统输出到设计的对准。举例来说,如在图9中展示,如果多边形702及706的中心用于对准,那么将产生不同于使用上文描述的边缘对准方法的任一者的情况的测量以用于针对多边形的区域710及712中的多边形706执行的线端测量。然而,使用特征的中心来将测量子系统的输出与设计对准将产生从ROI到ROI的更一致对准,借此提供ROI的基本上一致测量(例如,拐角测量、线端拉回测量及宽度测量)。使用特征的中心而非其边缘进行对准也可改进针对严重失真图案且在FOV不具有用于对准所关注图案的许多特征时的对准稳健性。
图10到12说明设计的一部分中及测量子系统输出中的图案化特征的中心可如何用于对准设计与输出。举例来说,如在图10中展示,样本的设计的一部分可包含四个不同特征(线1000、1002及1004的部分及多边形1006)。如在图10中进一步展示,可通过包含于设计的部分中的每一特征的部分的整体确定假想中心线。举例来说,可针对线1000、1002及1004的部分确定假想中心线1008、1010及1012。另外,可针对多边形1006确定假想中心线1014。可以任何适当方式确定假想中心线。
也可针对如在测量子系统输出中出现的图案化特征确定假想中心线。举例来说,如在图11中展示,测量子系统输出中的设计的一部分可包含对应于图10中展示特征的四个不同特征(例如,线1100、1102及1104的部分及多边形1106)。如在图11中进一步展示,可通过包含于设计的此部分中的每一特征的部分的整体确定假想中心线。举例来说,可针对线1100、1102及1104的部分确定假想中心线1108、1110及1112。另外,可针对多边形1106确定假想中心线1114。可如本文中进一步描述那样确定假想中心线。
由于可再现地确定设计中的经图案化特征的中心线且由于应能够基本上可再现地确定输出中的经图案化特征的中心线,所以假想中心线可用以相对可再现地对准设计中的经图案化特征与输出中的经图案化特征。举例来说,如在图12中所展示,中心线1008与1108的对准1200可用以可再现地对准设计中的线1000与输出中的线1100。在另一实例中,中心线1010与1110的对准1202可用以可再现地对准设计中的线1002与输出中的线1102。另外,中心线1012与1112的对准1204可用以可再现地对准设计中的线1004与输出中的线1104。此外,中心线1014与1114的对准1206可用以可再现地对准设计中的多边形1006与输出中的多边形1106。
当然,为对准设计的一部分中的特征与测量子系统的输出中的设计的相同部分中的特征,并非为产生所有特征的彼此对准而必须使所述部分中的所有特征的所有中心线彼此对准。举例来说,在图12中展示的实例中,设计中的多边形的中心线与输出中的多边形的中心线的对准可用以产生针对多边形以及设计的此部分中的剩余特征的精细设计到输出对准。可再现地能够对准设计中的特征与测量子系统输出中的特征将改进使用对准的结果执行的测量的一致性。
在另一实施例中,测量的参数包含跨其执行测量的一或多个尺寸的边界。举例来说,计算机子系统可经配置以用于测量界限的自动产生。可在运行时间时(在设置期间无需参数)针对每一独有位点自动确定测量界限。
在一些实施例中,可使用本文中进一步描述的中心线确定跨其执行测量的尺寸的边界。举例来说,如在图13中所展示,形成于晶片上的设计的一部分可包含四个经图案化特征1300、1302、1304及1306,其在图13中展示为其可形成于晶片上且接着由测量子系统进行成像。可针对本文中进一步描述的特征的每一者产生假想中心线1308、1310、1312及1314。也可针对经图案化特征之间的空间产生假想中心线。可由设计中的两个邻近特征之间的中点来界定针对所述空间的中心线。举例来说,可基于特征1300与任何其它邻近特征(例如,特征1302)的中心线之间的中点而界定中心线1316。可基于特征1302与此特征的左侧上且延伸超过特征1300的任何其它邻近特征(在图13中未展示)的中心线之间的中点而界定中心线1318。可基于特征1304与任何其它邻近特征(例如,特征1302及1306)的中心线之间的中点而界定中心线1320。可基于特征1302与1306的中心线之间的中点而界定中心线1322。另外,可基于特征1306与此特征的右侧上的任何邻近特征(在图13中未展示)的中心线之间的中点而界定中心线1324。尽管图13中展示的中心线描述为关于如在测量子系统输出中出现的经图案化特征而界定,但中心线也可或替代地基于如在设计本身中出现的经图案化特征而界定。另外,尽管经图案化特征之间的空间中的中心线在上文描述为基于经图案化特征中的中心线而界定,但所述空间中的中心线可基于经图案化特征的一些其它特性(例如,经图案化特征的边缘)而界定。
经图案化特征之间的空间中的中心线接着可用作用于执行的经图案化特征的任何测量的边界。举例来说,如在图13中所展示,如果将针对经图案化特征1304测量此经图案化特征的临界尺寸(CD),那么可沿着线1326的一者从经图案化特征的一侧上的中心线1320的位置到经图案化特征的另一侧上的中心线1320的位置且在基本上垂直于经图案化特征1304内的中心线1312的一方向上执行测量。以此方式,可沿正交于穿过经图案化特征的中心线的方向上执行测量。尽管三个线1326在图13中展示为表示可跨其执行针对经图案化特征1304的不同测量的尺寸,但可在沿着经图案化特征内的中心线的任何适合位置处执行任适合数目个此类测量。另外,可在基本上平行于所述特征的中心线的方向上执行测量。举例来说,如在图13中所展示,可沿着线1328的一者执行测量,且尽管未在图13中展示,但也可由经图案化特征之间的空间中的中心线确定此类测量的边界,如本文中进一步描述。此外,尽管在图13中未展示,但跨其执行测量的尺寸可与经图案化特征及/或经图案化特征之间的空间的中心线以除了正交以外的某一角度相交(例如,对于测量半径、对于线端拉回测量、对于线端距离测量等)。
使用经图案化特征之间的空间中的中心线作为对经图案化特征执行的任何测量的边界可有利地确保测量在经图案化特征的外部开始及结束,借此确保跨经图案化特征的整个尺寸执行测量且确保测量的边界充分地在经图案化特征外部使得可以充分准确性及/或置信度确定在测量期间产生的输出中的经图案化特征的边缘。例如,如果测量开始所处的边界太靠近于经图案化特征的边缘,那么输出内的经图案化特征的边缘的位置可容易与测量边界混淆且/或可在测量边界噪声中丢失。然而,如本文所描述那样使用经图案化特征之间的空间中的中心线来确定测量的边界将基本上消除经图案化特征边缘检测中的任何此类误差。
以类似方式,如果将针对两个经图案化特征之间的空间执行本文中所描述的测量(例如,以测量两个特征之间的间隙),那么可基于围绕所述空间的经图案化特征内的中心线而确定所述测量的边界。以此方式,所述测量可在充分地超过所述空间的边缘的位置处开始及结束,借此确保跨所述空间的整个尺寸执行所述测量且确保可以相对高准确性及/或置信度确定所述空间的边缘。
在一个实施例中,所述测量包含自动确定在形成于样本上的一或多个结构的一或多个边缘的所述测量期间由检测器产生的输出中的位置。以此方式,本文中所描述的实施例可经配置以用于SEM边缘位置的自动确定。在一些例子中,可使用本文中进一步描述的1D梯度量变曲线确定边缘位置。举例来说,可通过发现1D梯度量变曲线内的最强正或负梯度峰值而自动确定边缘位置。换句话来说,可选择1D梯度量变曲线中的峰值点作为边缘位置。接着可基于边缘位置确定特征的CD或其它属性。举例来说,可通过使用正交于经绘制穿过结构的中心的线的1D梯度量变曲线的正/负梯度峰值、零交叉或负/正梯度峰值定位顶部、中间或底部边缘位置而确定顶部、中间或底部CD。然而,除了使用梯度量变曲线以外,也可使用其它测量算法来定位边缘。
在另一实施例中,所述计算机子系统经配置以用于基于所述测量的结果而自动产生用于ROI的第一子集及第二子集的一者的一或多个属性。以此方式,本文中所描述的实施例可经配置以用于自动产生用于每一ROI的测量统计及属性。每一ROI的测量统计可独立于每一其它ROI的计量结果而确定。可使用对ROI的多个测量产生各种测量统计(例如,最大值(Max)、最小值(Min)、均值(Mean)、平均值(Average)、中值(Median)、标准偏差(StandardDeviation)、范围(Range)及总和(Sum))。在另一实例中,所述计算机子系统可经配置以用于自动产生其它属性,例如形成于晶片上的经图案化结构的一维(1D)灰度量变曲线。1D灰度量变曲线可通过沿着正交于穿过经图案化结构的中心线或平行于穿过经图案化结构的中心线的线产生的输出而自动产生。所述计算机子系统也可经配置以用于自动产生1D梯度量变曲线,所述1D梯度量变曲线可通过采取如上文所描述那样确定的1D灰度量变曲线的梯度而自动产生。在一些例子中,ROI内的多个测量可包含每个1D灰度或梯度量变曲线一个测量。测量统计可涉及实际CD、正δCD及负δCD,其中δCD提供相对于设计的CD测量。另外,可使用平行或正交于穿过结构的中心线的1D灰度量变曲线确定各种类型的基于灰度或梯度的属性(例如峰值局部灰度差、峰值正或负梯度等)。可使用本文中所描述的实施例确定的测量统计及/或属性也不限于本文中所描述者。
在额外实施例中,一或多个计算机子系统经配置以用于基于一或多个测量的结果而自动产生用于第一子集及第二子集的一者中的ROI的多个例子的一或多个属性,且比较用于多个例子的两者或两者以上的一或多个属性的至少一者以识别多个例子的两者或两者以上中的离群点。以此方式,本文中所描述的实施例可经配置以用于跨晶片上的各个位点的测量统计及属性的相对比较以确定离群点。可跨晶片上的各个位点比较用于ROI中的每一者的测量统计及属性以确定离群点以用于缺陷检测。
在另一实施例中,一或多个计算机子系统经配置以用于自动选择设计中的一或多个对准位点,且计量过程包含确定在计量过程期间样本上的一或多个对准位点的至少一者的一或多个位置,且基于样本上的至少一个对准位点的一或多个位置而确定样本上的第一子集及第二子集中的ROI的一或多者的一或多个位置。举例来说,本文中所描述的实施例可经配置以用于利用物理设计分析自动产生对准位点(用于粗略对准)。在此实例中,在计量计划产生期间,对于每一FOV,所述计算机子系统可经配置以使用物理设计自动确定针对每一测量位点的独有对准位点及自动聚焦位点。可使用设计分析算法及软件执行自动确定独有对准位点及自动聚焦位点。
在一些实施例中,本文中所描述的系统可经配置以对包含测量子系统及计算机子系统中的至少一者的计量工具执行每一FOV的计量计划。在一个此实施例中,所述系统可执行每一FOV的自动聚焦且接着每一FOV的锚定点对准。在一些此类例子中,所述系统可从设计数据库提取针对锚定点及测量位点的设计片段以用于自动聚焦及/或锚定点对准。所述系统可进一步经配置以用于每一FOV的测量位点对准且执行用于测量位点的计量计划,例如在FOV内的ROI中执行选定类型的测量。所述计算机子系统接着可产生每一ROI的测量数据。
在一些实施例中,所述计量过程包含仅基于在第一子集及第二子集中的ROI的一者中执行的一或多个测量而确定在所述一个ROI中是否存在缺陷。换句话来说,ROI中的缺陷检测可不基于在任何其它ROI中产生的输出(在与ROI相同的裸片中或在与所述ROI所在的裸片不同的裸片中)或使用此输出产生的任何测量。举例来说,可比较仅使用在ROI中产生的输出针对所述ROI产生的测量结果与阈值,且可将高于阈值的任何测量结果确定为缺陷,而可不将低于阈值的任何测量结果确定为缺陷(或反之亦然)。另外,可使用一个以上阈值(例如,上阈值及下阈值)及/或任何其它适合缺陷检测方法及/或算法执行此缺陷检测。
以此方式,确定用于其的一或多个参数的计量过程可包含基于ROI的单个裸片缺陷检测。可执行此缺陷检测以通过在ROI位置处产生各种类型的属性(例如,CD测量、梯度量值、局部灰度对比度等)而检测各种缺陷类型(例如,图案缺陷、缺失及/或欠填充外延层、硅锗(SiGe)缺陷等)。
与本文中所描述的实施例相比,当前使用的用于基于ROI的单个裸片缺陷检测的方法使用参考图像或参考轮廓(所获取或产生)以用于缺陷检测。与基于ROI的单个裸片缺陷检测相比,所获取图像方法具有一半产出量。所产生图像或轮廓方法经受产生参考的复杂性及不准确性。
在一个实施例中,在ROI的第一及第二子集的一者中执行的一或多个测量包含ROI的一者相对于ROI的其它者的CD测量的CD测量。以此方式,针对其确定一或多个参数的测量可为相对CD测量,其中可比较给定晶片上的给定所关注图案(POI)的多个例子的CD。换句话来说,CD测量可为相对测量而非绝对测量。与本文中所描述的实施例相比,当前使用的用于相对CD测量的方法使用CD-SEM工具,其中定义每一位点的多个ROI的配方设置是非常费力且费时的过程,且因此可针对CD测量测量每一位点的基本上有限数目个ROI及每一裸片的有限数目个独有位点。
在额外实施例中,在ROI的第一子集及第二子集的一者中执行的一或多个测量包含ROI的一者相对于ROI的其它者的重叠测量的重叠测量。以此方式,确定用于其的一或多个参数的测量可为相对重叠测量。换句话来说,重叠测量可为相对测量,而非绝对测量。可在多重图案化制造过程(例如,双重图案化、三重图案化或四重图案化)、间隔物间距分割制造过程等期间测量重叠误差。另外,可测量形成于晶片上的当前层与形成于晶片上的先前层之间的重叠误差。与本文中所描述的实施例相比,当前使用的用于相对重叠测量的方法使用CD-SEM工具,其中用以定义每一位点的多个ROI的配方设置是非常费力且费时的过程且因此可针对重叠测量而测量每一位点的基本上有限数目个ROI以及每一裸片的有限数目个独有位点。
在一些实施例中,所述样本包含过程窗鉴定(PWQ)晶片,且所述自动地产生包含基于设计及对样本执行的检验过程的结果而自动地产生将在计量过程期间测量的ROI。以此方式,确定用于其的一或多个参数的测量可包含对PWQ晶片上的图案缺陷的自动化复检(例如,使用CD测量),可由检验工具(例如商业上可购自科磊公司的检验工具的一者)执行的对晶片的PWQ检验检测所述图案缺陷。在一些例子中,由PWQ检验所检测的缺陷可用作计量的热点,且在计量热点处执行的测量及检测可用于改善PWQ窗(例如,针对其执行PWQ的过程参数的窗)。当前使用的用于图案缺陷的自动化PWQ复检的方法执行由PWQ检验发现的图案缺陷的手动或自动化基于设计的复检。手动方法是不准确且不可靠的(例如,用户可错失完全图案故障或可能无法辨别极其细微(例如,3nm到7nm)CD变化),且基于设计的方法需要发现与计量步骤之间的配方设置。
可如在以下美国专利中所描述那样执行PWQ检验:2005年6月7日颁予彼得森(Peterson)等人的第6,902,855号美国专利、2008年8月26日颁予彼得森(Peterson)等人的第7,418,124号美国专利、2010年8月3日颁予开可(Kekare)等人的第7,769,225号美国专利、2011年10月18日颁予帕克(Pak)等人的第8,041,106号美国专利以及2012年7月3日颁予彼得森(Peterson)等人的第8,213,704号美国专利,所述美国专利宛如全文陈述引用的方式并入本文中。本文中所描述的实施例可包含在这些专利中描述的任何方法的任何步骤且可如在这些专利中所描述那样进一步配置。可如在这些专利中所描述那样印刷PWQ晶片。
在另一实施例中,在对样本执行的制造工艺的线内监测期间对所述样本执行计量过程。以此方式,确定用于其一或多个参数的计量过程可包含在线内监测期间执行的计量过程(即,对由生产制造工艺产生的晶片执行的测量)。可针对例如门临界尺寸均匀性(CDU)测量、线边缘粗糙度(LER)/线宽粗糙度(LWR)测量、CD/重叠测量等的测量执行此类计量过程。
在另一实施例中,自动地产生包含基于设计及对样本执行的检验过程的结果而自动地产生将在计量过程期间测量的ROI。举例来说,也可针对由检验所检测的缺陷的位置执行线内监测,使得所检测缺陷的位置基本上用作检验引导的计量的“热点”。在一些此类实施例中,计量的结果可与检验的结果相关。举例来说,在一些例子中,由检验产生的图案保真度特征可与在计量期间执行的测量相关。
与本文中所描述的实施例相比,当前使用的用于线内监测期间的计量的方法使用CD-SEM工具在特定计量目标(例如,印刷于晶片上的刻划线中)处执行CD/重叠测量,且由于配方设置在定义ROI中是相当费力的,因此不能够自动地测量晶片上的数千个独有位点。一些其它当前使用的用于线内监测的方法包含使用SEM复检工具以从数百万个热点位置随机地取样若干位置以使用裸片到裸片模式执行临界点检验(CPI)。然而,由于随机取样热点位置,当前使用的方法可错失相当大数目个热点缺陷。
在额外实施例中,一或多个计算机子系统经配置以用于比较在ROI的第一子集及第二子集的一者中执行的一或多个测量与ROI的第一子集及第二子集的所述一者的设计意图且基于所述比较的结果而修改光学接近校正(OPC)模型。以此方式,可针对设计意图的OPC模型验证执行确定用于其一或多个参数的计量过程。与本文中所描述的实施例相比,当前使用的用于对设计意图的OPC模型验证的方法使用CD-SEM工具,其中用以定义每一位点的多个ROI的配方设置是非常费力且费时的过程且因此可针对CD测量而测量每一位点的基本上有限数目个ROI以及每一裸片的有限数目个独有位点。对于OPC,需要自动地发现弱结构且立即及/或自动地设置及测量每一裸片的数千个独有位点。
在另一实施例中,一或多个计算机子系统经配置以用于基于一或多个测量而检测ROI的第一子集及第二子集的一者中的缺陷且报告一或多个测量作为所检测缺陷的缺陷属性。以此方式,计量过程可包含报告图案保真度测量作为由再检测算法报告的缺陷位置处的缺陷属性。与本文中所描述的实施例相比,当前使用的方法不报告测量统计作为缺陷属性的部分且因此无法量化图案失真是否是妨害、部分断裂、完全断裂、部分桥接或完全桥接。
本文中所描述的实施例具有优于当前使用的用于确定计量过程的一或多个参数的方法的数个优点。举例来说,本文中所描述的实施例提供基本上快速自动化实时机构以产生数千个独有位点的ROI且接着自动地产生用于跨各个位点的每一ROI的各个测量统计及属性(使用给定位点的SEM图像及物理设计片段),其接着可用以服务本文中所描述的各个使用情形。
另一实施例涉及一种用于确定将对样本执行的计量过程的一或多个参数的计算机实施方法。所述方法包含上文描述的自动地产生及自动地确定步骤。
可如本文中进一步描述那样执行所述方法的步骤中的每一者。所述方法也可包含可由本文中所描述的测量子系统及/或计算机子系统或系统执行的任何其它步骤。自动地产生及自动地确定步骤由一或多个计算机系统执行,所述一或多个计算机系统可根据本文中所描述的实施例的任一者而配置。另外,可由本文中所描述的系统实施例的任一者来执行上文所描述的方法。
额外实施例涉及一种非暂时性计算机可读媒体,其存储可在计算机系统中执行以用于执行用于确定将对样本执行的计量过程的一或多个参数的计算机实施方法的程序指令。在图14中展示此实施例。特定来说,如图14中所示,非暂时性计算机可读媒体1400包含可在计算机系统1404上执行的程序指令1402。计算机实施的方法可包含本文中描述的任何方法的任何步骤。
实施例如本文中描述的所述方法的方法的程序指令1402可存储于计算机可读媒体1400上。计算机可读媒体可为存储媒体,例如磁盘或光盘、磁带或所属领域中已知的任何其它适当非暂时性计算机可读媒体。
可以各种方式的任一者实施程序指令,包含基于程序的技术、基于组件的技术及/或面向对象技术等等。举例来说,可视需要使用ActiveX控件、C++对象、JavaBeans、微软基础类别(“MFC”)、SSE(SIMD串流扩展)或其它技术或方法实施程序指令。
计算机系统1404可根据本文中描述的实施例的任一者配置。
本文中描述的额外实施例包含经配置以确定将对样本执行的过程的一或多个参数的系统。系统包含测量子系统,所述测量子系统可根据本文中描述的实施例的任一者配置。在一个实施例中,样本包含晶片。在另一实施例中,样本包含光罩。晶片及光罩可包含所属领域中已知的任何适当晶片及光罩。
本文中进一步描述的实施例经配置用于用于自动化图案保真度评估及监测的区域(或ROI)产生。本文中描述的实施例可用于自动产生计量及/或检验位点以用于半导体操作中的热点监测。
图案保真度及重叠问题对于装置合格率变得越来越关键。传统上,手动产生测量位点。举例来说,当前及先前使用的用于热点监测的方法一直通过手动工作驱动。在一个此实例中,针对给定热点位置,出于计量目的,用户将必须手动绘制区域的子集。虽然此方法可对有限数目个位点(例如,数十个位点)有效,但当将监测在热点内具有数十个位点的数百个热点位置时是不可行的。举例来说,待监测的位点数目及图案类型不断增大,且设置计量及检验位点的手动方法是不够的。特定来说,设置这数百个区域是基本上费时且低效的。在一个此实例中,绘制数十个位点的计量位点(或定界框)可花费一小时。然而,绘制数百个位点的此类计量位点会造成用户疲劳且需要过多时间来产生计量配方。因此,现存手动方法具有限制。此外,SEM图像的视觉复检通常不足以从损坏图案中确定良好图案且也缺乏量化能力来做出客观决策。
需要监测基本上大量位点及需要图案保真度量化的SEM图像的组合推进了本文中描述的新方法。另外,产品开发及监测需要基本上准确、自动化的计量位点放置及测量度量。
系统包含一或多个计算机子系统,其可包含本文中描述的计算机子系统及计算机系统实施例中的任一者。一或多个计算机子系统经配置用于确定在样本上检测到的缺陷的区域。可通过检验在样本上检测缺陷,检验可包含光学检验(例如,宽带光学检验或基于光散射的光学检验)或基于电子束的检验(例如,使用SEM执行)。也可通过对样本的物理版本执行的其它过程(例如计量)检测缺陷。然而,可通过对设计执行的一或多个过程检测缺陷,例如通过模拟设计将如何形成于晶片上(例如,光刻模拟、蚀刻模拟等)。另外,缺陷可为样本上的用户定义的位置,用户怀疑缺陷可能存在于所述位置处或知晓缺陷存在于所述位置处。
确定在样本上检测到的缺陷的区域可包含缺陷中心的自动化识别,所述缺陷可为本文中描述的缺陷中的任一者,例如通过物理样本的检验检测到的缺陷或通过模拟识别的热点。接着可围绕缺陷的经识别中心且以所述经识别中心为中心确定缺陷的区域。以此方式,所述计算机子系统可经配置用于故障位点及其受影响区的自动区域界定。可如本文中描述那样进一步确定缺陷的区域。
在一个实施例中,缺陷的区域通过在缺陷的图像中围绕缺陷绘制的定界框界定。举例来说,定界框可基于设计空间中的缺陷位置而绘制。在一个此实例中,定界框可基于缺陷区域(例如,缺陷像素)确定。另外,可使用技术(例如设计规则检查(DRC))来计算定界框。
在另一实施例中,缺陷的区域通过在缺陷的基于电子束图像中围绕缺陷绘制的自由形式区域界定。举例来说,缺陷的区域可为SEM图像的“斑点”或受影响区域。类似“斑点”可基于其它类型的图像(例如光学图像)确定。可通过本文中描述的所述计算机子系统且以所属领域中已知的任何适当方式自动绘制自由形式区域。
一或多个计算机子系统也经配置用于将缺陷的区域与样本的设计的信息相互关联。用于本文中描述的实施例中的样本的设计可包含本文中描述的设计或设计数据的任一者。另外,用于本文中描述的实施例中的设计可包含适用于自动测量区域产生的任何设计布局数据格式。这些格式包含开放格式(例如GDS、OSASIS、文字)或专属格式(例如RDF(可购自科磊公司))。可将适当数据格式或转换格式馈送到本文中描述的自动计量/检验区域产生器实施例中。
在一个实施例中,设计的信息包含设计的一个以上层的信息。举例来说,设计的信息可包含在其上检测到缺陷的设计的层上方及/或下方的层的信息。以此方式,本文中描述的步骤或功能可相对于样本的设计的一个以上层执行。设计的一个以上层的信息可包含本文中描述的设计信息的任一者。
在另一实施例中,设计的信息不包含不会印刷于样本上的设计的特征的信息。举例来说,设计的信息优选不包含包含于样本的设计数据中但实际上不印刷于样本上的特征,例如光学接近校正(OPC)特征。以此方式,所述设计的信息可比在设计信息包含OPC特征及不会印刷于样本上的其它特征的信息的情况下更准确地反映希望设计如何印刷于样本上。
在一些实施例中,设计的信息包含设计中的图案化特征的信息,且相互关联包含将缺陷的区域与设计中的图案化特征重叠。举例来说,所述计算机子系统可经配置用于将光学或基于SEM斑点与设计的信息重叠以如本文中进一步描述那样自动确定ROI且如本文中进一步描述那样自动确定ROI的度量。将缺陷的区域与样本的设计的信息相互关联可通过以某一方式将设计对准于由测量子系统的检测器产生的输出而执行。在2010年3月9日颁予库尔卡尼(Kulkarni)等人的第7,676,077号美国专利案中描述可用于将测量子系统的输出对准于设计的方法及系统的实例,所述专利案以宛如全文陈述引用的方式并入本文中。将缺陷的区域与设计的信息相互关联也可涉及确定设计中的缺陷的区域的设计数据空间坐标。
在一个实施例中,设计的信息包含由一或多个计算机子系统基于设计而非缺陷确定的设计中的额外ROI的信息。以此方式,额外ROI可称为“无监督ROI”,其中额外ROI仅基于设计确定且不由任何其它信息(例如缺陷信息)“监督”或更改。举例来说,所述计算机子系统可经配置以使用设计布局文件而基于给定位置自动产生ROI。在无监督模式中,可基于设计节点确定临界区。另外,可针对无监督模式中的多边形群组中的最弱点自动识别额外ROI。ROI类型可包含(但不限于)与空间、线及邻近图案相关联的位点。另外,确定由所述计算机子系统执行的额外ROI可包含用于重叠计量的自动化位点选择。
额外ROI可使用若干设计分析工具(例如本文中进一步描述者)的一或多者(或其组合)来自动确定。虽然本文中进一步描述的设计分析工具当前未以此方式使用,但可修改工具及数据流以如本文中进一步描述那样执行自动化计量位点产生。因此,本文中描述的实施例提供之前未尝试自动化的根本上新方法。举例来说,作为本文中描述的实施例的替代例,用户可配备工具以允许针对给定热点手动绘制所关注区域。然而,这是基本上费时过程且基本上容易出错。
在另一实施例中,设计的信息包含由一或多个计算机子系统凭借基于设计而非缺陷执行的图案匹配确定的设计中的额外ROI的信息。举例来说,物理图案匹配可包含使用设计中的多边形的一些信息来匹配设计中的其它多边形的信息。可如本文中进一步描述那样执行此匹配。接着,可基于图案匹配结果以任何适当方式确定额外ROI的信息。
在额外实施例中,设计的信息包含由一或多个计算机子系统凭借基于设计而非缺陷执行的几何匹配确定的设计中的额外ROI的信息。举例来说,几何匹配可包含使用一或多个规则来寻找设计的特定基于几何形状的特性的例子。一或多个规则可具有所属领域中已知的任何适当格式且可以所属领域中已知的任何方式产生或获取。基于几何形状的特性可包含样本的设计的任何基于几何形状的特性,例如具有特定形状的几何形状或具有特定尺寸、间距、周期、定向等的特定类型的几何形状。接着,可基于几何匹配以任何适当方式确定额外ROI的信息。
在一些实施例中,设计的信息包含由一或多个计算机子系统基于设计(而非缺陷)的单元信息确定的设计中的额外ROI的信息。可基于单元信息通过使用关于单元内的多边形或结构的一些信息确定额外ROI以识别额外ROI。单元信息可包含于本文中描述的设计数据的任一者中或从设计数据的任一者获取。接着,可基于单元信息以任何适当方式确定额外ROI的信息。
在另一实施例中,设计的信息包含由一或多个计算机子系统基于设计(而非缺陷)的图形表示的图像处理确定的设计中的额外ROI的信息。设计数据可以任何适当方式转换为设计的图形表示,且图形表示可具有任何适当格式。接着,可对图形表示执行图像处理以识别具有特定图像特性的图形表示的特定部分。接着,可基于图形表示以任何适当方式确定额外ROI的信息。
所述计算机子系统也可经配置以使用本文中描述的技术(例如图案匹配、几何匹配、单元信息及图像处理)的两者或两者以上的组合来界定ROI。
在一个实施例中,设计的信息包含基于设计(而非缺陷)的热点信息确定的设计中的额外ROI的信息。可以所属领域中已知的任何适当方式产生或获取热点信息。在2010年3月9日颁予库尔卡尼等人的第7,676,077号美国专利案中描述可用于产生或获取热点信息的方法及系统的实例,所述专利案以宛如全文陈述引用的方式并入本文中。接着,可基于热点信息以任何适当方式确定额外ROI的信息。
在另一实施例中,设计的信息包含基于设计(而非缺陷)中的热点的信息确定的设计中的额外ROI的信息,且仅针对热点中的一者确定一个以上额外ROI。图18说明包含一个以上额外ROI的热点的一个实施例。举例来说,如在图18中展示,热点1800可包含两个较宽特征1804之间的相对窄空间1802。因而,空间1802可为CD中的临界空间。热点1800也包含与热点中的其它特征间隔开的相对窄线1806。因而,线1806可为CD中的临界线。因此,此热点可包含至少三个不同额外ROI。可仅针对空间1802产生一个额外ROI 1808。可仅针对线1806产生另一额外ROI 1810。可根据本文中描述的实施例的任一者确定额外ROI。
如本文中进一步描述,在一些例子中,所述计算机子系统可经配置以自动确定额外ROI。因此,本文中描述的实施例的一个优点在于热点(或有时称为设计中的弱点)的子区域可出于计量监测目的而自动识别。换句话来说,本文中描述的实施例可经配置用于无监督监测设计中的潜在较弱或最弱位点。针对可需要监测的给定热点可存在数十个区域,且本文中描述的所述计算机子系统可经配置以执行自动化基于算法的方法来识别这些区域。
一或多个计算机子系统进一步经配置用于基于相互关联的结果确定缺陷的区域与设计的信息之间的空间关系。在一些实施例中,设计的信息包含基于设计而非缺陷确定的设计中的额外ROI的信息,且确定空间关系包含确定哪些额外ROI与缺陷的区域在空间上重叠。举例来说,一旦缺陷的区域已与设计信息相关联,那么可确定空间关系,例如设计信息的哪些元素或哪一(些)额外ROI与缺陷的区域(至少部分)重叠,设计信息的哪些元素或哪一(些)额外ROI最靠近缺陷的区域,设计的一或多个元素与缺陷的区域之间的一或多个距离,额外ROI的一或多者与缺陷的区域之间的一或多个距离等。空间关系可以任何适当格式表达,例如,表达为指示哪些设计元素或哪一(些)额外ROI与缺陷的区域(至少部分)重叠或最靠近缺陷的区域的一或多个识别符、缺陷的区域与设计信息的一或多个特征或一或多个额外ROI之间的一或多个尺寸等。
一或多个计算机子系统也经配置用于基于空间关系自动产生待在针对样本执行的过程期间使用测量子系统测量的ROI。以此方式,由所述计算机子系统执行的步骤的输入数据可为设计布局、缺陷位置(例如,故障图案位置)、热点、已知及未知位置及对设计信息执行的过程(例如,基于图像的算法),且接着可自动识别及产生测量区域或所关注区域。因而,所述计算机子系统可经配置以执行监督模式,其中基于缺陷、故障图案位点或规定位置自动确定ROI。因此,本文中描述的ROI可称为“经监督”ROI。以此方式,本文中描述的实施例可经配置用于确定用于检验引导的计量(或本文中描述的另一过程)的ROI,且任选地执行检验引导的计量(或本文中描述的另一过程)。经监督ROI类型包含(但不限于)与空间、线及邻近图案相关联的位点。因此,本文中描述的实施例的输出可包含需要测量及/或检验的ROI或监测区。接着,这些输出可经变换以进行本文中描述的操作的一者(例如,计量、检验或复检操作)。产生ROI步骤的结果可包含可用于识别样本或另一样本上的ROI的任何信息(例如,ROI名称、数字或其它识别符、ROI的设计或样本坐标等)。
在一个实施例中,自动产生ROI包含选择与缺陷的区域在空间上重叠的额外ROI的一者作为待针对缺陷测量的ROI。图15说明此自动产生ROI的一个实施例。举例来说,如在图15中展示,可将设计(例如设计片段1500)的信息输入到计算机子系统。如在图15中展示,设计片段可包含表示设计中的特征的数个不同多边形。设计片段1500中展示的阴影多边形表示形成于样本上的特征,而非阴影区域表示特征之间的空间。
所述计算机子系统可使用设计片段中的信息(及可能设计片段自身)以基于已知图案保真度度量预定义额外ROI。换句话来说,可在任何给定过程中执行的测量类型可用于搜索此类测量的潜在候选者的设计信息。举例来说,基于设计片段信息,可由所述计算机子系统基于线端拉回、宽度、空间、拐角等预定义ROI。在一个此实例中,如果测量的类型包含宽度测量,那么可搜索经设计以具有低于特定值的宽度的特征的设计信息,此使其比更宽的特征更易于出现缺陷(例如,开口)。在图15中展示的实施例中,可基于此类度量确定数个不同ROI。这些ROI可包含(例如)线端拉回ROI 1502、宽度ROI 1504、空间ROI 1506及拐角ROI 1508。由于在无用以监督ROI确定的任何其它信息的情况下基于设计确定ROI,所以ROI可为无监督的。
在一个实施例中,缺陷的区域由在缺陷的基于电子束图像中围绕缺陷绘制的自由形式区域界定(例如,如本文进一步描述的SEM斑点),设计的信息包含基于设计而非缺陷确定的设计中的额外ROI的信息(其可包含本文中描述的任何此信息),确定空间关系包含确定哪一额外ROI与缺陷的区域在空间上重叠或最靠近缺陷的区域(此可如本文进一步描述那样执行),且自动产生ROI包含将与缺陷的区域在空间上重叠或最靠近缺陷的区域的额外ROI的一者选择或优先化为待针对缺陷测量的ROI。以此方式,可基于SEM位置(斑点)重选或优先化潜在计量位点,使得测量最可能的缺陷位点。举例来说,关于ROI的信息可与本文中描述的缺陷的区域(例如来自样本检验或模拟的缺陷区域或SEM斑点)的一者组合。在一个此实例中,如在图15中展示,SEM斑点1510可与上文描述的ROI(例如,ROI 1502、1504、1506及1508)的信息重叠。如在图15中进一步展示,其上重叠SEM斑点的设计的信息可包含ROI的信息而非设计片段的图案化特征的信息。然而,其上重叠SEM斑点的设计的信息也可包含图案化特征的信息(例如在设计片段1500中展示的图案化特征)。与SEM斑点在空间上重叠或最靠近SEM斑点的ROI可接着经选择或优先化为用于针对ROI执行的过程(例如,计量、检验等)中的对应于SEM斑点的缺陷的ROI。举例来说,如在图15中展示,由于SEM斑点1510与宽度ROI 1504的一者重叠,所以所述ROI可经选择用于或优先化为待针对对应于SEM斑点的缺陷执行的测量。因此,可导出仅ROI 1504a的信息作为ROI信息1512以用于例如本文中进一步描述的所述过程的一者的过程中。然而,在将针对无监督ROI执行所述过程的例子中,也可导出所有ROI的信息用于所述过程中。
在另一实施例中,自动产生ROI包含基于缺陷的区域与设计的信息之间的空间关系更改缺陷的区域及将缺陷的经更改区域指定为ROI。举例来说,所述计算机子系统可经配置用于通过利用规定位置与设计布局之间的互动而自调整ROI的大小(校正ROI)。在一个此实例中,测量位点可通过重叠设计布局而自校正。举例来说,所述计算机子系统可经配置用于通过将潜在缺陷点与设计布局中的线或空间重叠而自调整ROI的大小。基于缺陷或规定位点,可基于设计自动校正计量区域。
在一个实施例中,设计的信息包含设计中的图案化特征的信息,且自动产生ROI包含基于缺陷的区域及邻近于缺陷的区域的图案化特征确定ROI的区域。邻近于缺陷的区域的图案化特征可包含与缺陷的区域(部分或完全)重叠、与缺陷的区域间隔开但邻近于所述区域、是最靠近缺陷的区域的图案化特征、围绕缺陷的区域等的图案化特征。举例来说,本文中描述的实施例不仅可自动确定计量位点的放置,而且可基于缺陷位置及围绕其的紧邻多边形重调计量区域的大小。基本上,使用检验以识别图案变化或其它缺陷,使用SEM或其它测量子系统输出以改进缺陷的确切位置,且通过将设计纳入考虑而改进计量区域,其中基于多边形自身的线、空间等的确切尺寸优化计量位点。可如本文中描述那样进一步执行此确定ROI的区域。
在另一实施例中,设计的信息包含设计中的图案化特征的信息(其可包含本文中描述的任何此信息),且自动产生ROI包含基于缺陷的区域或缺陷的区域附近的图案化特征中的一或多者这两者中的最小者而确定ROI的区域。举例来说,本文中描述的实施例可经配置用于将计量位点重新定大小为缺陷区域或缺陷附近的多边形或空间这两者中的最小者。可如本文中进一步描述那样执行此重调计量位点的大小。
图16说明自校正ROI大小的一个实施例。举例来说,例如本文中描述的样本的检验可检测位置1600处的桥接缺陷。接着,可针对所述缺陷确定区域1602。在此例子中,可通过基于设计空间中的缺陷位置确定的定界框界定区域。可如本文中描述那样确定定界框。接着,可使定界框与设计的信息重叠。举例来说,如在图16中展示,可使定界框与设计片段1604重叠。在此设计片段中,非阴影区域对应于包含于设计片段中的图案化特征,而阴影区域对应于图案化特征之间的空间。接着,可执行布尔运算以自校正缺陷的区域的大小以配合图案化特征之间的空间(所述区域与之重叠)的宽度。举例来说,如在图16中展示,可通过减小区域1602的大小使得其在图案化特征之间的空间上方及下方不延伸超出所述空间而将所述区域的大小自校正到ROI 1606的大小。以此方式,通过将设计信息用作“切割器”,缺陷的区域可经自校正以精确界定测量的区域,其接着可用作本文中描述的过程中的缺陷的ROI。
图17说明自校正ROI的大小的一个实施例。举例来说,例如本文中描述的样本的检验可检测位置1700处的开口缺陷及位置1702处的线端短路缺陷。接着,可针对位置1700处的缺陷确定区域1704,且可针对位置1702处的缺陷确定区域1706。在此例子中,可通过基于设计空间中的缺陷位置确定的定界框单独界定每一缺陷位置的区域。可如本文中描述那样确定定界框。接着,可使边界框与设计的信息重叠。举例来说,如在图17中展示,可使定界框与设计片段1710重叠。在此设计片段中,阴影区域对应于包含于设计片段中的图案化特征,而非阴影区域对应于图案化特征之间的空间。接着,可执行布尔运算以自校正缺陷的区域的大小以配合与其在空间上重叠的图案化特征的宽度。举例来说,如在图17中展示,可通过减小区域1704的大小使得其不延伸超出与其在空间上重叠的图案化特征而将所述区域的大小自校正到ROI 1712的大小。另外,如在图17中展示,可通过减小区域1706的大小使得其不延伸超出与其在空间上重叠的图案化特征而将所述区域的大小自校正到ROI 1714的大小。以此方式,通过将设计信息用作“切割器”,缺陷的区域可经自校正以精确界定测量的区域,其接着可用作本文中描述的过程中的缺陷的ROI。
在一些实施例中,设计的信息包含由一或多个计算机子系统基于设计而非缺陷确定的设计中的额外ROI的信息,且在过程期间测量额外区的一或多者。举例来说,如在本文中进一步描述,所述计算机子系统可经配置用于无监督ROI产生,其中仅基于设计信息确定额外ROI。另外,所述计算机子系统经配置用于经监督ROI产生,其中基于与缺陷信息组合的设计信息确定所述ROI。以此方式,可自动识别已知缺陷区域(经监督)及所有潜在弱位点(无监督)的两个位置。可在对样本执行的相同过程中测量两个类型的ROI。在一些此类例子中,所述ROI及额外ROI的信息可包含可用于确定任何给定ROI是否为经监督ROI或无监督ROI的一些标志(例如,标记、ID等)。
所述ROI及额外ROI可取决于ROI自身以任何适当方式测量。举例来说,所述计算机子系统可经配置以确定待在所述ROI以及额外ROI中独立且单独执行的测量的一或多个参数。在一个此实例中,所述ROI的测量的所述参数可基于接近所述ROI的设计的部分的信息(可能与缺陷的信息组合)而确定,而额外ROI的测量的所述参数可仅基于接近额外ROI的设计的部分的信息而确定。以此方式,用于ROI的测量的参数可不同于用于额外ROI的测量的参数。
在另一实施例中,一或多个计算机子系统经配置用于自动确定在使用测量子系统的过程期间在ROI中执行的一或多个测量的一或多个参数。所述计算机子系统可使用设计布局及层感知区域来识别测量类型(或测量方法)。另外,所述计算机子系统可经配置以自动设置度量或如何测量每一ROI。所述计算机子系统也可经配置用于将度量指派到相应ROI以自动执行所有选定ROI的测量。一或多个参数可包含测量的任何参数,例如测量方向、测量类型等。如果针对一个以上ROI确定参数,那么可基于ROI的测量类型(或测量方法)对ROI自动分组且可针对每一类型产生索引(例如,ID)。举例来说,如果使用相同测量类型测量两个ROI,那么这两个ROI可独立于其它ROI而分为一组,其它ROI可分组为不同组的ROI。以此方式,本文中描述的实施例可经配置以使用用于搜索(例如,设计、光学图像、SEM图像、图案匹配、几何搜索、单元信息等)来识别ROI及将ROI指派到ROI类型以用于自动化产生度量的技术的组合。
在一个实施例中,在线内监测对样本执行的制造过程期间对样本执行过程。另外,系统可经配置用于基于本文中描述的ROI执行过程。以此方式,本文中描述的实施例可经配置以执行自动化过程以识别ROI且基于ROI执行过程(例如本文中进一步描述的过程中的一者)。因而,本文中描述的实施例可经配置用于完全自动化设置(例如,识别区域以监测等)及量化图案化保真度及变化。因此,本文中描述的实施例实现在半导体处理环境中监测图案保真度的总流程的关键部分。也可在每一步骤使用一或多个算法及工具平台调谐数据。
在另一实施例中,过程包含计量过程,且系统经配置为计量工具。以此方式,如本文中描述那样识别的ROI可包含自动识别的计量位点。计量过程及计量工具可如本文中描述那样进一步配置。
在额外实施例中,过程包含检验过程,且系统经配置为检验工具。以此方式,如本文中描述那样识别的ROI可包含自动识别的检验位点。检验过程及检验工具可如本文中描述那样进一步配置。举例来说,图1及2中展示的系统可经配置以通过更改系统的一或多个参数而用于检验而非计量。特定来说,图1及2中展示的系统可经配置以使能量扫描遍及样本且响应于检测能量按低于将用于计量的分辨率的分辨率及/或按高于将用于计量的速度的速度产生输出。更改图1及2中展示的系统的参数(例如分辨率、速度等)可以所属领域中已知的任何适当方式执行。以此方式,图1及2中展示的系统可经配置以在相对短时间段内产生样本的实质部分的输出,其接着可由一或多个计算机子系统使用而以任何适当方式(例如,通过将一或多个缺陷检测算法应用到输出,其可包含所属领域中已知的任何适当缺陷检测算法)检测样本上的缺陷。检验过程及检验工具可经配置用于样本的基于光的检验、样本的基于电子束的检验或系统的其它基于带电粒子的检验。特定来说,如本文中进一步描述,图1及2中展示的系统可经配置以产生具有光、电子或其它带电粒子的样本的输出。
在另一实施例中,过程包含缺陷复检过程,且系统经配置为缺陷复检工具。过程也可包含所属领域中已知的任何其它样本分析过程。缺陷复检或其它分析过程及工具可如本文中描述那样进一步配置。举例来说,图1及2中展示的系统可经配置以通过更改系统的一或多个参数以用于缺陷复检或其它分析而非计量,此可如上文进一步描述那样执行,可执行更改以使系统适用于缺陷复检或其它分析而非更改图1及2中展示的系统以用于检验除外。缺陷复检或其它分析过程及工具可经配置用于样本的基于光的缺陷复检或其它分析、样本的基于电子束的缺陷复检或其它分析或系统的其它基于带电颗粒粒子的缺陷复检或其它分析。特定来说,如本文中进一步描述,图1及2中展示的系统可经配置以产生具有光、电子或其它带电颗粒粒子的样本的输出。
另一实施例涉及一种用于确定将对样本执行的过程的一或多个参数的计算机实施方法。所述方法包含确定上文描述的确定区域、使区域相互关联、确定空间关系及自动产生ROI步骤的步骤。
可如本文中进一步描述那样执行所述方法的所述步骤中的每一者。所述方法也可包含可由本文中描述的测量子系统及/或计算机子系统或系统执行的任何其它步骤。由一或多个计算机系统执行确定区域、使区域相互关联、确定空间关系及自动产生ROI步骤,所述一或多个计算机计算机系统可根据本文中描述的实施例的任一者配置。尽管所述一或多个计算机子系统在本文中描述为包含测量子系统的系统的部分,但所述一或多个计算机子系统也可经配置为并非包含处置样本的物理版本且对样本的物理版本执行测量的能力的计量、检验、复检或其它系统的部分的独立计算机子系统。另外,可由本文中描述的系统实施例的任一者执行上文描述的方法。
额外实施例涉及一种非暂时性计算机可读媒体,其存储可在计算机系统中执行以执行用于确定将对样本执行的过程的一或多个参数的计算机实施方法的程序指令。此实施例可如本文中进一步描述且如图14中展示那样配置。所述计算机实施方法可包含本文中描述的任何方法的任何步骤。
在一些实施例中,由检测器产生的输出包含样本的图像,由检测器针对样本上的不同区域产生不同图像,且使样本上的多个图案化特征在不同图像中成像。如本文中进一步描述,检测器可产生样本的不同区域的图像。多个图案化特征可包含经设计以形成于样本(例如光罩或晶片)上的任何图案化特征。举例来说,如本文中进一步描述,多个图案化特征可包含接点或线/空间对。
在一个此实施例中,一或多个计算机子系统经配置用于:自动定位不同图像中的多个图案化特征;确定不同图像中的经定位多个图案化特征的一或多个特性;及确定针对不同图像中的经定位多个图案化特征所确定的一或多个特性的一或多个统计资料。举例来说,本文中描述的实施例可使用算法对图像处理工具执行,所述图像处理工具在SEM复检图像中读取且输出这些图像中的图案化特征的经确定CD或其它特性的测量及统计资料。取决于待量化的图案类型及/或缺陷类型(例如接点阵列或线-空间图案),可使用任何若干方法来处理图像。尽管在本文中关于CD描述一些实施例,但应理解,CD可用图案化特征的任何其它适当特性替代且所述实施例可以与本文中描述相同的方式起作用。
可如本文中进一步描述那样(通过将图像中的图案化特征对准于参考中的图案化特征)或以任何其它方式执行自动定位不同图像中的多个图案化特征。可如本文中进一步描述那样或以所属领域中已知的任何其它适当方式确定不同图像中的经定位多个图案化特征的一或多个特性。可如本文中进一步描述那样或以所属领域中已知的任何其它适当方式执行确定一或多个特性的一或多个统计资料。一或多个统计资料可包含本文中描述的统计资料的任一者(例如平均值)或任何其它适当统计资料(例如中位数、标准偏差、平均值及类似物)。
在一个此实例中,可按顺序读取图像。图像处理算法可自动定位任何所关注特征。举例来说,图像中的每一接点的位置或每一线空间对的位置可由所述计算机子系统自动定位。接着,算法可测量每一特征的CD且可显示每一图像的结果。在量化所有图像结束时,CD测量的详细统计资料可经保存以供用户进一步分析。在接点阵列的实例中,待测量的量可为图像中的每一接点的X及Y中的直径以及所有接点之间的距离(即,单元大小)。所述计算机子系统接着可通过图像中的接点的一者产生图像强度量变曲线,从此可测量接点孔的直径。举例来说,一个接点孔的图像中的灰度可根据跨接点孔的一个直径的位置绘制。量变曲线的半高全宽(FWHM)接着可经测量且确定为接点孔的直径。
当需要新的图案类型或控制量时,本文中描述的实施例基本上是灵活的且可容易添加新的算法。将图像以及任何相关测量图案属性显示给用户。接着,可保存这些测量及属性以供进一步使用。可通过新的缺陷属性对图像分类且可将所得统计分布并入到初始光学检验的结果文件中。
在一些实施例中,不同区域包含样本上的区域(在样本上检测且针对缺陷复检选择的缺陷定位于所述区域中),且在针对缺陷执行的缺陷复检过程期间由测量子系统产生不同图像。举例来说,在晶片检验期间,可在样本上检测缺陷。接着,针对缺陷复检选择缺陷的样品。缺陷复检涉及仅在样本上缺陷所处的区域处获取样本的图像。因此,在缺陷复检中,针对包含于样品中的每一缺陷,可在样本上的离散位置处(一次一个缺陷位置)获取一系列图像。本文中描述的实施例可使用如本文中进一步描述的所述图像来确定不同图像中的图案化特征的一或多个特性。因而,本文中描述的实施例可仅使用在缺陷复检期间仅在先前在样本上检测的缺陷的位置处产生的图像(而不产生或获取任何额外图像)来确定图像中的图案化特征的特性。
在一个实施例中,多个图案化特征包含接点。接点可包含用于制造样本上任何类型装置的任何类型设计的任何类型接点。在另一实施例中,测量子系统经配置为电子束显微镜。以此方式,本文中描述的实施例可经配置用于使用由SEM或其它适当电子束显微镜(其可如本文中进一步描述那样配置)产生的图像来测量接点。在一些实施例中,一或多个特性包含接点的临界尺寸(CD)。接点的CD可包含接点的直径或接点的任何其它适当CD。
在一个此实例中,使用基本上高分辨率SEM复检工具复检由光学缺陷寻找设备找到的缺陷。在这些复检图像中,可基本上准确地测量缺陷大小及其它图像特征及CD。本文中描述的实施例允许用户有效地扫描遍及基本上大量此类SEM复检图像且自动测量这些图像内的许多CD,从而将反馈给予光学检验工具。举例来说,在含有数百个接点的SEM图像中,可测量所有接点的直径以量化过程步骤的一致性,以及测量所有接点之间的距离,此可在双重或多重图案化过程步骤的情况中量化重叠的准确度。双重或多重图案化步骤一般涉及在不同过程步骤中将单个层的不同部分印刷于样本上。因此,可使用本文中描述的实施例有效且准确地确定在一个过程步骤中印刷于样本的一个层上的第一特征相对于在另一过程步骤中印刷于样本的相同层上的特征的定位的定位。本文中描述的实施例显著缩短在初始光学缺陷寻找设备上产生结果的时间。
在特定实例中,本文中描述的实施例应用于具有经编程缺陷的接点层。在散布图中测量且绘制所有接点直径。特定来说,产生图像中的所有接点的X及Y维度的散布图。由收缩接点构成的经编程缺陷容易突出。举例来说,接点直径的“云”的大小给出对接点大小的准确度及可重复性的实时视觉反馈。任何统计离群点(例如,收缩接点)可以某一方式(例如)使用色彩(例如红色)标记,且可在光学检验中检测为缺陷。直径正常接点的展开度(标准偏差)设置哪些缺陷大小可在自然发生的过程变化外部检测的限制。另外,产生1000个图像中的所有接点大小的直方图分布。可在基本上短时间量内基本上准确地执行100,000个测量。
SEM复检图像通常已用于量化先前由检验工具检测的缺陷。本文中描述的实施例提供特征及CD(例如SEM复检图像中的基本上大量接点直径及单元大小)作为缺陷检测过程的整体部分。此能力在过程控制中是有价值的,从而将CD测量信息反馈到光学检验工具的缺陷检测过程。此能力可扩展到SEM图像中的许多不同特征测量。此能力显著缩短缺陷检测过程产生结果的时间。
与本文中所描述的实施例相比,潜在缺陷区域的相对大量SEM图像可从晶片上的许多位置收集。应用工程师接着可在计算机屏幕上显示这些图像中的每一者且确定图像中是否存在缺陷。通过围绕缺陷绘制框而测量所述缺陷的大小且计数两个维度上的像素是简单的,但以此方式测量图像中的许多(数百个)特征的CD是不切实际的。通常,测量仅执行到整数个像素的精确度。因此,关于CD的统计资料保持稀疏且并非相对准确。
因此,相较于本文中所描述的实施例,上文描述的当前使用的方法具有数个缺点。举例来说,SEM图像的手动分类系基本上劳动密集型且耗时的。随着设计规则收缩,给定层的过程变化变为关键变量。在分配时间内,工程师可仅测量图像中或一组图像中的基本上有限数目个CD。测量的准确度可为用户相依的,且在存在图像噪声的情况下,这些测量可为主观的且反复变化。
在另一实施例中,多重图案化特征包含线及空间对。举例来说,可通过沿着沟槽测量每一像素处的线-间距而检查具有垂直线-空间图案的图像。可产生针对线-空间图案确定的尺寸的散布图。使用此散布图,可如上文描述那样识别任何离群点线-空间。可针对沟槽宽度或深度(例如平均宽度、最小深度、最大深度、最小宽度及最大宽度)的各种统计资料根据沟槽宽度产生沟槽深度/对比度的另一图,其可基于针对基本上大量图像(例如,1000个图像)中的线-空间测量的尺寸而确定。
在额外实施例中,一或多个计算机子系统进一步经配置用于基于经确定的一或多个统计资料而对多个图案化特征自动分类。举例来说,本文中描述的实施例可经配置用于使用SEM复检图像进行自动接点分类。接点可自动分类为缺陷(例如,收缩接点、放大接点、错位接点等)或可基于经确定的一或多个统计资料以某一其它方式分类。
本文中描述的实施例具有优于用于测量图案化特征的特性的其它方法及系统的数个优点。举例来说,随着设计规则收缩,印刷特征中的基本上小像差(例如线边缘粗糙度(LER)或接点大小)变为关键测量,其(并非仅缺陷自身)在过程控制中是重要的。本文中描述用于测量CD的实施例不需要专用CD工具且是比SEM复检图像中的CD的人为测量更快且更准确的数量级。因此,本文中描述的实施例相对于使用SEM复检工具成像的图案中的CD的手动测量及分类可节省大量时间。结果是更可重复的且并非用户相依。因此,测量是更准确且可重复。由于可在基本上短时间量内测量缺陷及特征,所以实施例可为缺陷检测过程及过程限定的整体部分。另外,给予用户关于给定过程步骤的一致性的更好统计资料,可处理更大样品。另外,可将由本文中描述的实施例产生的结果反馈到光学检验工具以用于进一步调谐检验配方。此配置可在特性化PWQ或FEM晶片方面具有特定优点。
鉴于此描述,所属领域的技术人员将了解本发明的多种方面的另外修改及替代实施例。举例来说,提供用于确定将对样本执行的过程的一或多个参数的方法及系统。因此,将此描述理解为仅为说明性且是用于教示所属领域的技术人员执行本发明的一般方式的目的。应理解,应将本文中展示且描述的本发明的形式视为目前优选实施例。在受益于本发明的此描述之后,如所属领域的技术人员将了解,元件及材料可替代本文中说明且描述的那些元件及材料,可颠倒部分及过程且可独立利用本发明的某些特征。可对本文中描述的元件做出改变而不脱离如所附权利要求书中所描述的本发明的精神及范围。

Claims (37)

1.一种经配置以确定将对样本执行的过程的一或多个参数的系统,其包括:
测量子系统,其包括至少一能量源及检测器,其中所述能量源经配置以产生引导到样本的能量,且其中所述检测器经配置以检测来自所述样本的能量且响应于所述检测到的能量而产生输出;及
一或多个计算机子系统,其经配置用于:
确定在所述样本上检测到的缺陷的区域;
将所述缺陷的所述区域与所述样本的设计的信息相互关联;
基于所述相互关联的结果确定所述缺陷的所述区域与所述设计的所述信息之间的空间关系;及
基于所述空间关系自动产生待在针对所述样本执行的过程期间使用所述测量子系统测量的所关注区。
2.根据权利要求1所述的系统,其中所述缺陷的所述区域通过在所述缺陷的图像中围绕所述缺陷绘制的定界框界定。
3.根据权利要求1所述的系统,其中所述缺陷的所述区域由在所述缺陷的基于电子束的图像中围绕所述缺陷绘制的自由形式区域界定。
4.根据权利要求1所述的系统,其中所述设计的所述信息包括所述设计的一个以上层的信息。
5.根据权利要求1所述的系统,其中所述设计的所述信息不包含不会印刷于所述样本上的所述设计的特征的信息。
6.根据权利要求1所述的系统,其中所述设计的所述信息包括所述设计中的图案化特征的信息,且其中所述相互关联包括将所述缺陷的所述区域与所述设计中的所述图案化特征重叠。
7.根据权利要求1所述的系统,其中所述设计的所述信息包括由所述一或多个计算机子系统基于所述设计而非所述缺陷确定的所述设计中的额外所关注区的信息。
8.根据权利要求1所述的系统,其中所述设计的所述信息包括由所述一或多个计算机子系统凭借基于所述设计而非所述缺陷执行的图案匹配来确定的所述设计中的额外所关注区的信息。
9.根据权利要求1所述的系统,其中所述设计的所述信息包括由所述一或多个计算机子系统凭借基于所述设计而非所述缺陷执行的几何匹配来确定的所述设计中的额外所关注区的信息。
10.根据权利要求1所述的系统,其中所述设计的所述信息包括由所述一或多个计算机子系统基于所述设计而非所述缺陷的单元信息来确定的所述设计中的额外所关注区的信息。
11.根据权利要求1所述的系统,其中所述设计的所述信息包括由所述一或多个计算机子系统基于所述设计而非所述缺陷的图形表示的图像处理来确定的所述设计中的额外所关注区的信息。
12.根据权利要求1所述的系统,其中所述设计的所述信息包括基于所述设计而非所述缺陷的热点信息确定的所述设计中的额外所关注区的信息。
13.根据权利要求1所述的系统,其中所述设计的所述信息包括基于所述设计而非所述缺陷中的热点的信息来确定的所述设计中的额外所关注区的信息,且其中仅针对所述热点中的一者确定一个以上所述额外所关注区。
14.根据权利要求1所述的系统,其中所述设计的所述信息包括基于所述设计而非所述缺陷确定的所述设计中的额外所关注区的信息,其中所述确定所述空间关系包括确定哪些所述额外所关注区与所述缺陷的所述区域在空间上重叠,且其中所述自动产生包括选择与所述缺陷的所述区域在空间上重叠的所述额外所关注区中的一者作为待针对所述缺陷测量的所述所关注区。
15.根据权利要求1所述的系统,其中所述自动产生包括基于所述缺陷的所述区域与所述设计的所述信息之间的所述空间关系更改所述缺陷的所述区域及将所述缺陷的所述经更改区域指定为所述所关注区。
16.根据权利要求1所述的系统,其中所述设计的所述信息包括所述设计中的图案化特征的信息,且其中所述自动产生包括基于所述缺陷的所述区域及邻近于所述缺陷的所述区域的所述图案化特征确定所述所关注区的区域。
17.根据权利要求1所述的系统,其中所述设计的所述信息包括所述设计中的图案化特征的信息,且其中所述自动产生包括基于所述缺陷的所述区域或所述缺陷附近的所述图案化特征中的一或多者这两者中的最小者而确定所述所关注区的区域。
18.根据权利要求1所述的系统,其中所述缺陷的所述区域由在所述缺陷的基于电子束的图像中围绕所述缺陷绘制的自由形式区域界定,其中所述设计的所述信息包括基于所述设计而非所述缺陷确定的所述设计中的额外所关注区的信息,其中所述确定所述空间关系包括确定所述额外所关注区中的哪一者与所述缺陷的所述区域在空间上重叠或最接近所述缺陷的所述区域,且其中所述自动产生包括将与所述缺陷的所述区域在空间上重叠或最接近所述缺陷的所述区域的所述额外所关注区中的一者选择或优先化为待针对所述缺陷测量的所述所关注区。
19.根据权利要求1所述的系统,其中所述设计的所述信息包括由所述一或多个计算机子系统基于所述设计而非所述缺陷确定的所述设计中的额外所关注区的信息,且其中在所述过程期间测量所述额外区中的一或多者。
20.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置用于自动确定在所述过程期间使用所述测量子系统在所述所关注区中执行的一或多个测量的一或多个参数。
21.根据权利要求1所述的系统,其中由所述检测器产生的所述输出包括所述样本的图像,其中由所述检测器针对所述样本上的不同区域产生不同图像,其中所述样本上的多个图案化特征在所述不同图像中成像,且其中所述一或多个计算机子系统进一步经配置用于自动定位所述不同图像中的所述多个图案化特征,确定所述不同图像中的所述经定位多个图案化特征的一或多个特性,及确定针对所述不同图像中的所述经定位多个图案化特征确定的所述一或多个特性的一或多个统计资料。
22.根据权利要求21所述的系统,其中所述不同区域包括所述样本上的其中定位有在所述样本上检测到的且针对缺陷复检选择的缺陷的区域,且其中在针对所述缺陷执行的缺陷复检过程期间由所述测量子系统产生所述不同图像。
23.根据权利要求21所述的系统,其中所述多个图案化特征包括接点。
24.根据权利要求23所述的系统,其中所述测量子系统进一步经配置为电子束显微镜。
25.根据权利要求23所述的系统,其中所述一或多个特性包括所述接点的临界尺寸。
26.根据权利要求21所述的系统,其中所述多个图案化特征包括线与空间对。
27.根据权利要求21所述的系统,其中所述一或多个计算机子系统进一步经配置用于基于所述经确定的一或多个统计资料而将所述多个图案化特征自动分类。
28.根据权利要求1所述的系统,其中在线内监测对所述样本执行的制造过程期间对所述样本执行所述过程。
29.根据权利要求1所述的系统,其中所述过程包括计量过程,且其中所述系统进一步经配置为计量工具。
30.根据权利要求1所述的系统,其中所述过程包括检验过程,且其中所述系统进一步经配置为检验工具。
31.根据权利要求1所述的系统,其中所述过程包括缺陷复检过程,且其中所述系统进一步经配置为缺陷复检工具。
32.根据权利要求1所述的系统,其中所述样本包括晶片。
33.根据权利要求1所述的系统,其中所述样本包括光罩。
34.根据权利要求1所述的系统,其中经引导到所述样本的所述能量包括光,且其中从所述样本检测到的所述能量包括光。
35.根据权利要求1所述的系统,其中经引导到所述样本的所述能量包括电子,且其中从所述样本检测到的所述能量包括电子。
36.一种非暂时性计算机可读媒体,其存储可在计算机系统上执行以执行用于确定将对样本执行的过程的一或多个参数的计算机实施方法的程序指令,其中所述计算机实施方法包括:
确定在样本上检测到的缺陷的区域;
将所述缺陷的所述区域与所述样本的设计的信息相互关联;
基于所述相互关联的结果确定所述缺陷的所述区域与所述设计的所述信息之间的空间关系;及
基于所述空间关系自动产生待在针对所述样本执行的过程期间使用测量子系统测量的所关注区,其中所述测量子系统包括至少一能量源及检测器,其中所述能量源经配置以产生引导到所述样本的能量,且其中所述检测器经配置以检测来自所述样本的能量且响应于所述检测到的能量而产生输出。
37.一种用于确定将对样本执行的过程的一或多个参数的计算机实施方法,其包括:
确定在样本上检测到的缺陷的区域;
将所述缺陷的所述区域与所述样本的设计的信息相互关联;
基于所述相互关联的结果确定所述缺陷的所述区域与所述设计的所述信息之间的空间关系;及
基于所述空间关系自动产生待在针对所述样本执行的过程期间使用测量子系统测量的所关注区,其中所述测量子系统包括至少一能量源及检测器,其中所述能量源经配置以产生引导到所述样本的能量,其中所述检测器经配置以检测来自所述样本的能量且响应于所述检测到的能量而产生输出,且其中所述确定所述区域、所述相互关联、所述确定所述空间关系及所述自动产生是由一或多个计算机系统执行。
CN201680047524.3A 2015-08-28 2016-08-27 自定向计量和图案分类 Active CN107924850B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562211375P 2015-08-28 2015-08-28
US62/211,375 2015-08-28
US15/247,774 2016-08-25
US15/247,774 US10483081B2 (en) 2014-10-22 2016-08-25 Self directed metrology and pattern classification
PCT/US2016/049157 WO2017040351A1 (en) 2015-08-28 2016-08-27 Self directed metrology and pattern classification

Publications (2)

Publication Number Publication Date
CN107924850A true CN107924850A (zh) 2018-04-17
CN107924850B CN107924850B (zh) 2022-06-28

Family

ID=58188172

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680047524.3A Active CN107924850B (zh) 2015-08-28 2016-08-27 自定向计量和图案分类

Country Status (6)

Country Link
JP (1) JP6914249B2 (zh)
KR (1) KR102340756B1 (zh)
CN (1) CN107924850B (zh)
IL (1) IL257205B (zh)
TW (1) TWI684225B (zh)
WO (1) WO2017040351A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI808265B (zh) * 2018-10-08 2023-07-11 美商科磊股份有限公司 用於半導體基板之臨界尺寸量測的以深度學習為基礎之自適應關注區域

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997710B2 (en) * 2017-10-18 2021-05-04 Kla-Tencor Corporation Adaptive care areas for die-die inspection
CN116503397B (zh) * 2023-06-26 2023-09-01 山东天通汽车科技股份有限公司 基于图像数据的车内传输带缺陷检测方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030205678A1 (en) * 2000-06-15 2003-11-06 Notte John A. Apparatus and method for applying feedback control to a magnetic lens
US20040227079A1 (en) * 2003-05-14 2004-11-18 Hitachi High-Technologies Corporation Inspection apparatus for circuit pattern
US20070288219A1 (en) * 2005-11-18 2007-12-13 Khurram Zafar Methods and systems for utilizing design data in combination with inspection data
US20120141011A1 (en) * 2009-06-02 2012-06-07 Hitachi High-Technologies Corporation Defect image processing apparatus, defect image processing method, semiconductor defect classifying apparatus, and semiconductor defect classifying method
CN102937599A (zh) * 2012-10-25 2013-02-20 中国科学院自动化研究所 一种通过x射线检测含金属被测物的无损检测系统和方法
CN105431932A (zh) * 2013-06-26 2016-03-23 科磊股份有限公司 用于过程监视及良率管理的所计算电性能度量

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1928583A4 (en) 2005-09-01 2010-02-03 Camtek Ltd METHOD AND SYSTEM FOR ESTABLISHING A TEST PROCEDURE
KR101789004B1 (ko) * 2005-11-18 2017-10-20 케이엘에이-텐코 코포레이션 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
JP2008235575A (ja) * 2007-03-20 2008-10-02 Toshiba Corp パターン測定方法、パターン測定装置およびプログラム
JP5118872B2 (ja) * 2007-03-30 2013-01-16 株式会社日立ハイテクノロジーズ 半導体デバイスの欠陥観察方法及びその装置
JP5408852B2 (ja) * 2007-08-09 2014-02-05 株式会社日立ハイテクノロジーズ パターン測定装置
JP5412169B2 (ja) * 2008-04-23 2014-02-12 株式会社日立ハイテクノロジーズ 欠陥観察方法及び欠陥観察装置
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
JP2012068051A (ja) * 2010-09-21 2012-04-05 Toshiba Corp パターン欠陥検査装置およびパターン欠陥検査方法
US8656323B2 (en) * 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
JP5948138B2 (ja) * 2012-05-11 2016-07-06 株式会社日立ハイテクノロジーズ 欠陥解析支援装置、欠陥解析支援装置で実行されるプログラム、および欠陥解析システム
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
KR102019534B1 (ko) * 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US9619876B2 (en) * 2013-03-12 2017-04-11 Kla-Tencor Corp. Detecting defects on wafers based on 2D scatter plots of values determined for output generated using different optics modes
US9183624B2 (en) * 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
KR102393311B1 (ko) 2016-03-31 2022-05-02 호야 가부시키가이샤 반사형 마스크 블랭크의 제조 방법, 반사형 마스크 블랭크, 반사형 마스크의 제조 방법, 반사형 마스크, 및 반도체 장치의 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030205678A1 (en) * 2000-06-15 2003-11-06 Notte John A. Apparatus and method for applying feedback control to a magnetic lens
US20040227079A1 (en) * 2003-05-14 2004-11-18 Hitachi High-Technologies Corporation Inspection apparatus for circuit pattern
US20070288219A1 (en) * 2005-11-18 2007-12-13 Khurram Zafar Methods and systems for utilizing design data in combination with inspection data
US20120141011A1 (en) * 2009-06-02 2012-06-07 Hitachi High-Technologies Corporation Defect image processing apparatus, defect image processing method, semiconductor defect classifying apparatus, and semiconductor defect classifying method
CN102937599A (zh) * 2012-10-25 2013-02-20 中国科学院自动化研究所 一种通过x射线检测含金属被测物的无损检测系统和方法
CN105431932A (zh) * 2013-06-26 2016-03-23 科磊股份有限公司 用于过程监视及良率管理的所计算电性能度量

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI808265B (zh) * 2018-10-08 2023-07-11 美商科磊股份有限公司 用於半導體基板之臨界尺寸量測的以深度學習為基礎之自適應關注區域

Also Published As

Publication number Publication date
JP6914249B2 (ja) 2021-08-04
IL257205A (en) 2018-03-29
TWI684225B (zh) 2020-02-01
KR102340756B1 (ko) 2021-12-16
TW201727789A (zh) 2017-08-01
KR20180037055A (ko) 2018-04-10
WO2017040351A1 (en) 2017-03-09
IL257205B (en) 2021-01-31
CN107924850B (zh) 2022-06-28
JP2018530911A (ja) 2018-10-18

Similar Documents

Publication Publication Date Title
US10483081B2 (en) Self directed metrology and pattern classification
JP7093828B2 (ja) 自動式パターン忠実度測定計画生成
US20210231581A1 (en) Method for performing smart semiconductor wafer defect calibration
CN106415807B (zh) 使用高分辨率全裸片图像数据进行检验
TWI603409B (zh) 用於半導體檢查處方創建、缺陷檢閱及計量之適應性取樣
US10074036B2 (en) Critical dimension uniformity enhancement techniques and apparatus
KR101381309B1 (ko) 계측 샘플링 계획을 생성하기 위한 컴퓨터-구현 방법들, 캐리어 매체들 및 시스템들
KR102386536B1 (ko) 시편 상의 관심 패턴의 하나 이상의 특성의 결정
US8755045B2 (en) Detecting method for forming semiconductor device
JP2002100660A (ja) 欠陥検出方法と欠陥観察方法及び欠陥検出装置
JP2011017705A (ja) パターン検査装置、パターン検査方法および記録媒体
CN113412485B (zh) 用于选择设计文件的系统、计算机可读媒体及实施方法
CN109804462A (zh) 用于大量图案搜索的检验和设计之间的漂移的自动校正的系统及方法
KR20200131340A (ko) 웨이퍼 위치의 형상 메트릭 기반의 스코어링
CN107924850A (zh) 自定向计量和图案分类
KR102506721B1 (ko) 어레이 구역에 대한 결함 샘플 생성
CN108475422B (zh) 在电子束图像中确定缺陷的位置
JP5402458B2 (ja) 微細パターン測定方法及び微細パターン測定装置
KR20180033262A (ko) 검사 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant