JP2018530911A - 自発的計測法及びパターン分類 - Google Patents

自発的計測法及びパターン分類 Download PDF

Info

Publication number
JP2018530911A
JP2018530911A JP2018510925A JP2018510925A JP2018530911A JP 2018530911 A JP2018530911 A JP 2018530911A JP 2018510925 A JP2018510925 A JP 2018510925A JP 2018510925 A JP2018510925 A JP 2018510925A JP 2018530911 A JP2018530911 A JP 2018530911A
Authority
JP
Japan
Prior art keywords
design
defect
sample
information
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018510925A
Other languages
English (en)
Other versions
JP6914249B2 (ja
Inventor
アレン パーク
アレン パーク
アジャイ グプタ
アジャイ グプタ
ジャン ラウバー
ジャン ラウバー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/247,774 external-priority patent/US10483081B2/en
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2018530911A publication Critical patent/JP2018530911A/ja
Application granted granted Critical
Publication of JP6914249B2 publication Critical patent/JP6914249B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)
  • Data Mining & Analysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

試料上で実行されるべきプロセスのパラメータを決定するための方法及びシステムが提供される。一つのシステムは、試料上で検出された欠陥のエリアを決定するように構成された一つ又はそれ以上のコンピュータサブシステムを含む。このコンピュータサブシステムはまた、その欠陥のエリアを試料に対する設計の情報と相関させて、欠陥のエリアと設計の情報との間の空間的関係を相関の結果に基づいて決定するようにも構成される。加えて、コンピュータサブシステムは、その空間的関係に基づいて測定サブシステムを用いて試料に対して実行されるプロセスの間に、測定されるべき対象領域(ROI)を自動的に生成するように構成される。

Description

本発明は一般的に、パターン形態の正確な特性付けのための自動化されたパターン計測サイト配置及び最適化に関しており、パターンは、局在化された限界寸法(CD)変化、ライン又はスペース幅の変化、及び曲率を含むが、これらに限られるわけではない。ある実施形態は、試料に対して実行されるべき計測プロセスの一つ又はそれ以上のパラメータを決定するための方法及びシステムに関する。
以下の記述及び例は、本セクションにそれらが含まれるという理由により、従来技術とされるものではない。
検査プロセスは、ウエハ上の欠陥を検出して製造プロセスにおけるより高い歩留り、及びこれにより、より高い利益をもたらすために、半導体製造プロセスの間の様々なステップで使用される。検査は常に、半導体デバイスの製造における重要な部分である。しかし、半導体デバイスの寸法が減少するにつれて、より小さな欠陥がデバイスを動作させなくする可能性があるために、検査は、許容可能な半導体デバイスの成功する製造にとって、より一層重要になってきている。
欠陥レビューは典型的には、検査プロセスによって欠陥であると検出された欠陥を再検出すること、及び高倍率光学システム又は走査型電子顕微鏡(SEM)のいずれかを使用して、より高い解像度で欠陥についての付加的な情報を生成することを伴う。したがって欠陥レビューは、検査によって欠陥が検出されているウエハ上の離散的な位置で実行される。欠陥レビューによって生成された欠陥に対するより高い解像度のデータは、プロファイル、粗さ、より正確なサイズ情報などのような欠陥についての属性を決定するために、より適している。欠陥レビューは検査によってウエハ上に検出された欠陥に対して実行されるので、検出された欠陥の位置で欠陥レビューのために使用されるパラメータは、検査プロセスによって決定された欠陥の属性に基づいて決定され得る。しかし、検出された欠陥の位置で欠陥レビューのために使用される出力獲得パラメータ(例えば光学的、電子ビームなどのパラメータ)は、欠陥の位置における又はその周囲における設計部分についての情報に基づいては、一般的に使用されない。なぜなら、そのような情報は一般的に、検出された欠陥に対して検査レビューの間に実行される出力獲得機能には無関係だからである。
計測プロセスもまた、プロセスをモニタ及び制御するために、半導体製造プロセスの間の様々なステップで使用される。計測プロセスは、検査プロセスでは欠陥がウエハ上で検出されるのに対して、計測プロセスは現時点で使用されている検査ツールを使用して決定されることができないウエハの一つ又はそれ以上の特性を測定するために使用されるという点で、検査プロセスとは異なっている。例えば、計測プロセスは、プロセスの間にウエハ上に形成される形状の寸法(例えば、ライン幅、厚さ、など)のようなウエハの一つ又はそれ以上の特性を、プロセスのパフォーマンスがその一つ又はそれ以上の特性から決定されることができるように、測定するために使用される。加えて、そのウエハの一つ又はそれ以上の特性が許容できない(例えば、その特性についての所定の範囲外である)場合には、そのウエハの一つ又はそれ以上の特性の測定が、プロセスによって製造された追加のウエハが許容可能な特性を有するようにプロセスの一つ又はそれ以上のパラメータを変更するために使用され得る。
計測プロセスはまた、検査によって検出された欠陥が欠陥レビューにて再訪問される欠陥レビュープロセスとは異なって、計測プロセスは欠陥が検出されていない位置で実行され得るという点で、欠陥レビュープロセスとも異なっている。言い換えると、欠陥レビューとは異なり、ウエハ上で計測プロセスが実行される位置は、そのウエハ上で実行された検査プロセスの結果から独立し得る。具体的には、計測プロセスが実行される位置は、検査結果からは独立して選ばれ得る。加えて、計測が実行されるウエハ上の位置は検査結果から独立して選ばれ得るので、検査レビューが実行されるべきウエハ上の位置がウエハに対する検査結果が生成されて使用可能になるまで決定されることができない欠陥レビューとは異なり、計測プロセスが実行される位置は、検査プロセスがウエハ上で実行される前に決定され得る。
米国特許出願公開第2009/0290782号
計測プロセスを設定するために使用される現在の方法は数多くの欠点を有する。例えば、SEMを使用するパターン計測のための従来の項目設定(例えば、限界寸法(CD)及び上書き測定を含む)は、測定対象の位置についての事前の知識を必要とする。加えて、従来の項目設定プロセスは、しばしば設計の使用を含む。さらに、ユーザが一度又は継続的に測定したいと思う新しい対象パターン(POI)が発見されると、計測ツール項目の更新が求められる。
したがって、試料上で実行されるべき計測プロセスの一つ又はそれ以上のパラメータを決定する、上述した欠点の一つ又はそれ以上を有さないシステム及び方法を開発することが有益である。
様々な実施形態の以下の記述は、いかなる方法でも、添付の請求項の主題を限定するとみなされるべきではない。
一つの実施形態は、試料上で実行されるべきプロセスの一つ又はそれ以上のパラメータを決定するように構成されたシステムに関する。このシステムは、少なくともエネルギー源と検出器とを含む測定サブシステムを含む。エネルギー源は、試料に向けられるエネルギーを生成するように構成される。検出器は、試料からのエネルギーを検出して、その検出されたエネルギーに応じた出力を生成するように構成される。このシステムはまた、試料上で検出された欠陥のエリアを決定し、その欠陥のエリアを試料に対する設計の情報と相関させて、欠陥のエリアと設計の情報との間の空間的関係を相関の結果に基づいて決定し、その空間的関係に基づいて測定サブシステムを用いて試料に対して実行されるプロセスの間に、測定されるべき対象領域(ROI)を自動的に生成する一つ又はそれ以上のコンピュータサブシステムも含む。このシステムはさらに、ここに記述されるように構成され得る。
他の実施形態は、試料上で実行されるべきプロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法に関する。この方法は、上述されたエリアの決定、相関、空間的関係の決定、及びROIの自動生成のステップを含む。この方法のステップは、一つ又はそれ以上のコンピュータシステムによって実行される。
上述された方法のステップの各々は、さらにここで記述されるように、さらに実行され得る。加えて、上述された方法の実行形態は、ここに記述された任意の他の方法の任意の他のステップを含み得る。さらに、上述された方法は、ここに記述されたシステムのいずれかによって実行され得る。
他の実施形態は、試料上で実行されるべきプロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法を実行するために、コンピュータシステムで実行可能なプログラム命令を記憶している非一時的コンピュータ読み取り可能媒体に関する。このコンピュータ実行形の方法は、上述された方法のステップを含む。このコンピュータ読み取り可能媒体は、ここに記述されるようにさらに構成され得る。コンピュータ実行形の方法のステップは、さらにここに記述されるように実行され得る。加えて、プログラム命令が実行可能であるコンピュータ実行形の方法は、ここに記述された任意の他の方法の任意の他のステップを含み得る。
本発明のさらなる効果は、好適な実施形態の以下の詳細な記述の恩恵で、且つ添付の図面を参照することで、当業者に明らかになるであろう。
ここに記述されるように構成されたシステムの実施形態の側面図を描く模式図である。 ここに記述されるように構成されたシステムの実施形態の側面図を描く模式図である。 測定サイト、視野、及び対象領域を含む、ここで使用される様々な用語の間の関係の一つの実施形態の平面図を描く模式図である。 ウエハに対する設計の一部の一例の平面図を、その設計の一部が設計空間に現れるように描く模式図である。 図4に示された設計の一部の一例の平面図を、その設計の一部がウエハ上に印刷され得るように描く模式図である。 図5に示された設計の一部のある実施形態の平面図を、その設計の一部の内部の潜在的な対象領域とともに描く模式図である。 設計空間におけるウエハに対する設計の一部をウエハ空間におけるウエハに対する設計の一部と位置合わせするために現在使用されている方法の異なる例の平面図を描く模式図である。 設計空間におけるウエハに対する設計の一部をウエハ空間におけるウエハに対する設計の一部と位置合わせするために現在使用されている方法の異なる例の平面図を描く模式図である。 設計空間におけるウエハに対する設計の一部をウエハ空間におけるウエハに対する設計の一部と位置合わせするためのある実施形態の結果の一例の平面図を描く模式図である。 設計及びウエハ空間におけるウエハに対する設計の一部の平面図、ならびに、ここに記述される実施形態によってそれらがどのように位置合わせされることができるかを描く模式図である。 設計及びウエハ空間におけるウエハに対する設計の一部の平面図、ならびに、ここに記述される実施形態によってそれらがどのように位置合わせされることができるかを描く模式図である。 設計及びウエハ空間におけるウエハに対する設計の一部の平面図、ならびに、ここに記述される実施形態によってそれらがどのように位置合わせされることができるかを描く模式図である。 ウエハ空間におけるウエハに対する設計の一部の平面図、ならびに、測定が実行され得る寸法がここに記述される実施形態によってどのように決定されることができるかを描く模式図である。 ここに記述されるコンピュータ実行形の方法をコンピュータシステムに実行させるためのプログラム命令を記憶している非一時形コンピュータ読み取り可能媒体の一つの実施形態を描くブロック図である。 試料に対する設計の情報の様々な実施形態、試料上に検出された欠陥のエリア、ならびに、設計の情報及び欠陥のエリアを使用してここに記述される実施形態によって実行される様々なステップの結果を描く模式図である。 試料に対する設計の情報の様々な実施形態、試料上に検出された欠陥のエリア、ならびに、設計の情報及び欠陥のエリアを使用してここに記述される実施形態によって実行される様々なステップの結果を描く模式図である。 試料に対する設計の情報の様々な実施形態、試料上に検出された欠陥のエリア、ならびに、設計の情報及び欠陥のエリアを使用してここに記述される実施形態によって実行される様々なステップの結果を描く模式図である。 試料に対する設計における唯一のホットスポットに対して決定された一つより多くの付加的な領域の一つの実施形態の平面図を描く模式図である。
本発明は様々な改変及び代替的な形態を許容し得るが、その特定の実施形態が、図面における例によって示され、且つここに詳細に記述される。図面は同じ縮尺とは限らない。しかし、図面及びそれらに対する詳細な記述が本発明を開示された特定の形態に限定することは意図されておらず、むしろ、添付の請求項によって規定される本発明の思想及び範囲内に含まれる全ての改変、等価物、及び代替物をカバーすることが意図されていることが、理解されるべきである。
ここで一般的に使われる「設計」及び「設計データ」という用語は、複雑なシミュレーション又は単純な配置上の及びブール関数の操作を通して導出されたICの物理的な設計(レイアウト)及びデータを指す。物理的な設計は、グラフィックデータストリーム(GDS)ファイル、任意の他の標準的な機械読み取り可能ファイル、当該技術で既知の任意の他の適切なファイル、及び設計データベースのようなデータ構造に記憶され得る。GDSIIファイルは、設計レイアウトデータの表現のために使用されるクラスのファイルの一つである。そのようなファイルの他の例は、GL1及びOASISファイル、ならびにKLA-Tencor、Milpitas、Califに付属するRDFデータのようなプロプライエタリーファイルフォーマットを含む。加えて、レチクル検査システム及び/又はその派生物によって獲得されるレチクルのイメージは、設計に対する「プロキシ(単数)」又は「プロキシ(複数)」として使用されることができる。そのようなレチクルイメージ又はその派生物は、設計を使用するここで記述される任意の実施形態において、設計レイアウトの代わりとして機能することができる。設計は、任意の他の設計データ、あるいは共通して所有される2009年8月4日付けでZafarらに対して発行された米国特許第7,570,796号及び2010年3月9日付けでKulkarniらに対して発行された米国特許第7,676,077号に記述された設計データプロキシを含み得て、これらの特許の両方が、あたかも完全にここに提示されているかのように参照によって援用される。加えて、設計データは、標準的なセルライブラリデータ、集積レイアウトデータ、一つ又はそれ以上の層に対する設計データ、設計データの派生物、ならびに完全な又は部分的なチップ設計データであることができる。
いくつかの例では、シミュレーションされたかウエハ又はレチクルから得られたイメージが、設計に対するプロキシとして使用されることができる。イメージ分析がまた、設計分析に対するプロキシとして使用されることができる。例えば、設計におけるポリゴンは、ウエハ及び/又はレチクルのイメージが設計のポリゴンを適切にイメージングするために十分な解像度で獲得されると仮定して、ウエハ及び/又はレチクル上に印刷された設計のイメージから抽出され得る。加えて、ここで記述される「設計」及び「設計データ」は、設計プロセスにおいて半導体デバイス設計者によって生成される情報及びデータを指し、したがって、任意の物理的なウエハ上に設計を印刷するよりも十分に先立って、ここで記述される実施形態での使用のために利用可能である。
好ましくは、「設計」又は「物理的設計」という用語は、それらの用語がここで使用されているように、ウエハ上に理想的に形成された設計を指す。このようにして、ここに記述される設計又は物理的設計は、好ましくは、それ自身が実際に印刷されること無しにウエハ上の形状の印刷を強調するために設計に追加される光学的近接補正(OPC)形状のような、ウエハ上に印刷されない設計の形状は含まない。このようにして、いくつかの実施形態において、さらにここで記述される自動生成及び自動決定ステップのために使用される試料に対する設計は、試料上に印刷されない設計の形状は含まない。
ここで記述されている「設計」及び「設計データ」は、ウエハ上に形成されているデバイスのための物理的な意図に関連したデータ及び情報を含み得て、それらは上述された様々なタイプの設計及び設計データのいずれかを含み得る。「設計」及び「設計データ」はまた、あるいは代替的に、ウエハ上に形成されているデバイスのための電気的な意図に関連したデータ及び情報を含み得る。そのような情報及びデータは、例えば、ネットリスト及びSPICEの名称、及び/又は「注釈付きレイアウト」(例えば設計が電気的なネットリストパラメータのラベリングを含む場合)を含み得る。そのようなデータ及び情報は、一つ又はそれ以上の電気的な局面にて、レイアウト又はウエハイメージのどの部分が重要であるかを決定するために使用され得る。
ここで図面を見ると、図面が縮尺に合っていないことに留意されたい。特に、図面の要素のいくつかの縮尺は、その要素の特性を強調するために非常に誇張されている。図面が同じ縮尺で描かれていないことにも留意されたい。同ように構成され得る一つより多くの図面に示されている要素は、同じ参照番号を使用して示されている。そうではないとここで示されていない限り、記述され且つ示されている要素のいずれかは、任意の適切な商業的に利用可能な要素を含み得る。
ある実施形態は、試料上で実行されるべき計測プロセスの一つ又はそれ以上のパラメータを決定するように構成されたシステムに関する。ある実施形態では、試料はウエハを含む。他の実施形態では、試料はレチクルを含む。ウエハ及びレチクルは、当該技術で既知の任意のウエハ及びレチクルを含み得る。
そのようなシステムの一つの実施形態が図1に示されている。このシステムは、少なくともエネルギー源と検出器とを含む測定サブシステムを含む。エネルギー源は、試料に向けられるエネルギーを生成するように構成される。検出器は、試料からのエネルギーを検出し、検出されたエネルギーに応じた出力を生成するように構成される。
ある実施形態では、試料に向けられるエネルギーは光を含み、試料から検出されるエネルギーは光を含む。例えば、図1に示されるシステムの実施形態では、測定サブシステム10は、試料14に光を向けるように構成された照明サブシステムを含む。この照明サブシステムは、少なくとも一つの光源を含む。例えば、図1に示されるように、この照明サブシステムは光源16を含む。ある実施形態では、照明サブシステムは、一つ又はそれ以上の入射角で試料に光を向けるように構成され、これは一つ又はそれ以上の斜め角度及び/又は一つ又はそれ以上の鉛直角度を含み得る。例えば、図1に示されるように、光源16からの光は、光学要素18及びその後にレンズ20を通してビームスプリッタ21に向けられ、これがその光を鉛直入射角度で試料14に向ける。入射角度は任意の適切な入射角度を含み得て、これは例えば、試料及びその試料上で検出されるべき欠陥の特性に依存して変わり得る。
照明サブシステムは、異なるタイミングに異なる入射角度で光を試料に向けるように構成され得る。例えば、測定サブシステムは、光が図1に示されたものとは異なる入射角度で試料に向けられることができるように、照明サブシステムの一つ又はそれ以上の要素の一つ又はそれ以上の特性を変えるように構成され得る。一つのそのような例では、測定サブシステムは、光が異なる入射角度で試料に向けられるように、光源16、光学要素18、及びレンズ20を動かすように構成され得る。
いくつかの場合には、測定サブシステムは、同時に一つよりも多くの入射角度で光を試料に向けるように構成され得る。例えば、照明サブシステムは一つより多くの照明チャンネルを含み得て、その照明チャンネルの一つは図1に示すように光源16、光学要素18、及びレンズ20を含み得て、照明チャンネルの他の一つ(図示されず)は、異なって構成され得るか又は同じであり得る同様の光学要素を含み得るか、あるいは、少なくとも一つの光源と、恐らくはさらにここで記述されるような一つ又はそれ以上の他の構成部品とを含み得る。そのような光が他の光と同時に試料に向けられると、異なる入射角度での試料の照射から発生する光が検出器でお互いに区別されることができるように、異なる入射角度で試料に向けられた光の一つ又はそれ以上の特性(例えば波長、偏光など)が異なり得る。
他の場合には、照明サブシステムは光源を1つのみ含み(例えば図1に示される光源16)、その光源からの光は、照明サブシステムの一つ又はそれ以上の光学要素(図示されず)によって異なる光路に(例えば波長、偏光などに基づいて)分けられ得る。異なる光路の各々における光は、それから試料に向けられ得る。複数の照明チャンネルが、同時に又は異なるタイミングで(例えば異なる照明チャンネルが引き続いて試料を照明するために使用されるとき)試料に光を向けるように構成され得る。他の場合には、同じ照明チャンネルが、異なるタイミングで、異なる特性を有する試料に光を向けるように構成され得る。例えば、いくつかの場合には、光学要素18は空間フィルタとして構成され得て、空間フィルタの性質が、異なる波長の光が異なるタイミングで試料に向けられることができるように、様々な方法で(例えば空間フィルタを変えることによって)変えられることができる。照明サブシステムは、異なる又は同じ特性を有する光を試料に異なる又は同じ入射角度で連続的に又は同時に向けるために、当該技術で既知の任意の他の適切な構成を有し得る。
ある実施形態では、光源16は広帯域プラズマ(BBP)光源を含み得る。この方法では、光源から生成されて試料に向けられた光は広帯域光を含み得る。しかし、光源は、レーザのような任意の他の適切な光源を含み得る。レーザは、当該技術で既知である任意の適切なレーザを含み得て、当該技術で既知である任意の適切な一つの波長又は複数の波長で光を生成するように構成され得る。加えて、レーザは単色又は近単色である光を生成するように構成され得る。この方法では、レーザは狭帯域レーザであり得る。光源はまた、複数の離散した一つの波長又は複数の波長で光を生成する多色光源を含み得る。
光学要素18からの光は、レンズ20によってビームスプリッタ21にフォーカスされ得る。レンズ20は図1には単一の屈折型光学要素として示されているが、実用的には、レンズ20は、組み合わせて光を光学要素から試料にフォーカスする多数の屈折型及び/又は反射型の光学要素を含み得る。図1に示されて且つここで記述される照明サブシステムは、任意の他の適切な光学要素(図示されず)を含み得る。そのような光学要素の例は、当該技術で既知の任意のそのような適切な光学要素を含み得る偏光素子、分光フィルタ、空間フィルタ、反射型光学要素、アポディザ、ビームスプリッタ、開口などを含むが、それらに限定されるものではない。加えて、システムは、計測のために使用される照明のタイプに基づいて、照明サブシステムの要素の一つ又はそれ以上を変えるように構成され得る。
測定サブシステムはまた、光に試料上を走査させるように構成された走査サブシステムも含み得る。例えば、測定サブシステムはステージ22を含み得て、測定中はその上に試料14が置かれる。走査サブシステムは、光が試料上を走査されることができるように試料を動かすように構成されることができる任意の適切な機械的及び/又はロボット的アセンブリを含み得る。加えて、または代替的に、測定サブシステムは、測定サブシステムの一つ又はそれ以上の光学要素が試料上での光の何らかの走査を実行するように構成され得る。光は、任意の適切な様式で試料上を走査され得る。
測定サブシステムはさらに、一つ又はそれ以上の検出チャンネルを含む。一つ又はそれ以上の検出チャンネルの少なくとも一つは、測定サブシステムによる試料の照明による試料から光を検出して且つその検出された光に反応した出力を生成するように構成された検出器を含む。例えば、図1に示される測定サブシステムは2つの検出チャンネルを含み、一方はコレクタ24、要素26、及び検出器28によって構成され、他方はコレクタ30、要素32、及び検出器34によって構成されている。図1に示されるように、これら2つの検出チャンネルは、異なる収集角度で光を収集し且つ検出するように構成される。いくつかの例では、一方の検出チャンネルは正反射された光を検出するように構成され、他方の検出チャンネルは試料から正反射されていない(例えば散乱された、回折された、など)光を検出するように構成される。しかし、検出チャンネルの2つ又はそれ以上が、試料からの同じタイプの光(例えば正反射された光)を検出するように構成されてもよい。図1は2つの検出チャンネルを含む測定サブシステムの一つの実施形態を示しているが、測定サブシステムは、異なる数の検出チャンネル(例えば一つの検出チャンネルのみ、あるいは2つ又はそれ以上の検出チャンネル)を含み得る。図1にはコレクタの各々が単一の屈折型光学要素として示されているが、コレクタの各々は、一つ又はそれ以上の屈折型光学要素及び/又は一つ又はそれ以上の反射型光学要素を含み得ることを理解されたい。
一つ又はそれ以上の検出チャンネルは、当該技術で既知の任意の適切な検出器を含み得る。例えば、検出器は、光電子増倍管(PMT)、電荷結合素子(CCD)、及び時間遅れ集積(TDI)カメラを含み得る。検出器はまた、当該技術で既知の任意の他の適切な検出器を含み得る。検出器はまた、非撮像検出器又は撮像検出器を含み得る。この方法では、検出器が非撮像検出器であるならば、検出器の各々は、散乱光の強度のようなある特性を検出するように構成され得るが、そのような特性を撮像平面内の位置の関数として検出するようには構成されていなくてよい。そのため、その測定システムの検出チャンネルの各々に含まれた検出器の各々によって生成される出力は信号又はデータであり得るが、イメージ信号又はイメージデータではない。そのような場合には、システムのコンピュータサブシステム36のようなコンピュータサブシステムが、検出器の非撮像出力から試料のイメージを生成するように構成され得る。しかし、他の場合には、検出器は、撮像信号又はイメージデータを生成するように構成された撮像検出器として構成され得る。したがって、システムは、ここで記述されたイメージを数多くの方法で生成するように構成され得る。
図1が、ここで記述されるシステムの実施形態に含まれ得る測定サブシステムの構成を一般的に描くためにここに提供されていることに留意されたい。明らかに、ここに記述された測定サブシステムの構成は、商用の計測システムを設計するときに普通に実行されるように、システムのパフォーマンスを最適化するように変えられ得る。加えて、ここに記述されたシステムは、KLA-Tencorから商業的に入手可能なSpectraShapeファミリーのツール及びArcherシリーズのツールのような、現存している計測システムを使用して(例えば、ここで記述される機能を現存している計測システムに追加することによって)具現化され得る。いくつかのそのようなシステムに対して、ここで記述された方法は、計測システムのオプションの機能として(例えば、計測システムの他の機能に加えて)提供され得る。あるいは、ここで記述される計測システムは、完全に新しい計測システムを提供するために「ゼロから」設計され得る。
システムのコンピュータサブシステム36は、測定サブシステムの検出器に、コンピュータサブシステムが試料の操作中に検出器によって生成された出力を受け取ることができるように、任意の適切な方法で(例えば、「有線の」及び/又は「無線の」伝送媒体を含み得る一つ又はそれ以上の伝送媒体を介して)結合され得る。コンピュータサブシステム36は、ここで記述されたような検出器の出力を使用した多数の機能、及びここでさらに記述される任意の他の機能を実行するように構成され得る。このコンピュータサブシステムは、ここで記述されるようにさらに構成され得る。
このコンピュータサブシステムは(ここで記述される他のコンピュータサブシステムと同ように)、ここではコンピュータシステムとも称され得る。ここで記述されるコンピュータサブシステム又はシステムの各々は、パーソナルコンピュータシステム、イメージコンピュータ、メインフレームコンピュータシステム、ワークステーション、ネットワーク機器、インターネット機器、又は他の装置を含む様々な形態を取り得る。一般に、「コンピュータシステム」という用語は、メモリ媒体からの命令を実行する一つ又はそれ以上のプロセッサを有する任意の装置を包含するように広く規定され得る。コンピュータサブシステム又はシステムはまた、パラレルプロセッサのような当該技術で既知の任意の適切なプロセッサも含み得る。加えて、コンピュータサブシステム又はシステムは、高速処理及びソフトウエアを有するコンピュータプラットフォームを、スタンドアローン又はネットワーク接続されたツールのいずれかとして、含み得る。
システムが一つより多くのコンピュータサブシステムを含むなら、そのときには、さらにここで記述されるようにコンピュータサブシステムの間でイメージ、データ、情報、命令などが送られることができるように、異なるコンピュータサブシステムがお互いに結合され得る。例えば、コンピュータサブシステム36は(図1に点線によって示されるように)コンピュータサブシステム102と、当該技術で既知の任意の適切な有線及び/又は無線の伝送媒体を含み得る任意の適切な伝送媒体によって結合され得る。そのようなコンピュータサブシステムの2つ又はそれ以上はまた、共有されたコンピュータ読み取り可能な記憶媒体(図示されず)によっても、有効に結合され得る。
測定サブシステムは上記では光学的な又は光に基づく測定サブシステムとして記述されているが、測定サブシステムは電子ビームに基づく測定サブシステムであってもよい。例えば、一つの実施形態では、試料に向けられるエネルギーは電子を含み、試料から検出されるエネルギーは電子を含む。この方法では、エネルギー源は電子ビーム源であり得る。図2に示される一つのそのような実施形態では、測定サブシステムは電子カラム122を含み、これはコンピュータサブシステム124に結合されている。
図2にも示されているように、電子カラムは、一つ又はそれ以上の要素130によって試料128にフォーカスされる電子を生成するように構成された電子ビーム源126を含む。電子ビーム源は例えばカソード源又はエミッタチップを含み得て、一つ又はそれ以上の要素130は、例えばガンレンズ、アノード、ビーム制限開口、ゲートバルブ、ビーム電流選択開口、対物レンズ、及び走査サブシステムを含み、これらの全てが、当該技術で既知の任意のそのような適切な要素を含み得る。
試料から戻ってくる電子(例えば二次電子)は、一つ又はそれ以上の要素132によって検出器134にフォーカスされる。一つ又はそれ以上の要素132は例えば走査サブシステムを含み、これは要素130に含まれるものと同じ走査サブシステムであり得る。
電子カラムは、当該技術で既知の任意の他の適切な要素を含み得る。加えて、電子カラムはさらに、2014年4月4日付けでJiangらに対して発行された米国特許第8,664,594号、2014年4月8日付けでKojimaらに対して発行された米国特許第8,692,204号、2014年4月15日付けでGubbensらに対して発行された米国特許第8,698,093号、及び2014年5月6日付けでMacDonaldらに対して発行された米国特許第8,716,662号に記述されるように構成され得る。これらの特許は、あたかも完全にここに提示されているかのように、参照によって援用される。
電子カラムは、図2では、電子が試料に対して斜めの入射角度で向けられて試料から他の斜めの角度で散乱されるように構成されていると示されているが、電子ビームは任意の適切な角度で試料に向けられて且つ散乱され得ることを理解されたい。加えて、電子ビームに基づく測定サブシステムは、試料のイメージを生成するために複数のモード(例えば、異なる照射角度、衝突角度を有する、など)を使用するように構成され得る。電子ビームに基づく測定サブシステムの複数のモードは、測定サブシステムの任意のイメージ生成パラメータにて異なり得る。
コンピュータサブシステム124は、上述のように検出器134に結合され得る。検出器は、試料の表面から戻ってくる電子を検出し得て、それによって試料の電子ビームイメージを形成する。電子ビームイメージは、任意の適切な電子ビームイメージを含み得る。コンピュータサブシステム124は、検出器の出力及び/又は電子ビームイメージを使用して、ここに記述される機能のいずれかを実行するように構成され得る。コンピュータサブシステム124は、ここに記述される任意の追加のステップを実行するように構成され得る。図2に示された測定サブシステムを含むシステムは、ここに記述されるようにさらに構成され得る。
図2が、ここで記述される実施形態に含まれ得る電子ビームに基づく測定サブシステムの構成を一般的に描くためにここに提供されていることに留意されたい。上述された光学的な測定サブシステムにおいてのように、ここに記述された電子ビームに基づく測定サブシステムの構成は、商用の計測システムを設計するときに普通に実行されるように、測定サブシステムのパフォーマンスを最適化するように変えられ得る。加えて、ここに記述されたシステムは、KLA-Tencorから商業的に入手可能なeDR-xxxxシリーズのツールのような、現存している計測又は高解像度検出レビューシステムを使用して(例えば、ここで記述される機能を現存している計測システムに追加することによって)具現化され得る。いくつかのそのようなシステムに対して、ここで記述された方法は、計測システムのオプションの機能として(例えば、計測システムの他の機能に加えて)提供され得る。あるいは、ここで記述される計測システムは、完全に新しい計測システムを提供するために「ゼロから」設計され得る。
測定サブシステムは上記では光に基づく又は電子ビームに基づく測定サブシステムとして記述されているが、測定サブシステムはイオンビームに基づく測定サブシステムであってもよい。そのような測定サブシステムは、電子ビーム源が当該技術で既知の任意の適切なイオンビーム源に置き換えられることを除いて、図2に示されるように構成され得る。加えて、その測定サブシステムは、商業的に入手可能な収束イオンビーム(FIB)システム、ヘリウムイオン顕微鏡(HIM)システム、及び二次イオン質量分光分析(SIMS)システムに含まれるような任意の他の適切なイオンビームに基づく測定サブシステムであってもよい。
ここに記述されたシステムの実施形態に含まれる一つ又はそれ以上のコンピュータサブシステムは、試料に対する設計に基づいて、試料に対して測定サブシステムを用いて実行される計測プロセスの間に、測定されるべき対象領域(ROI)を自動的に生成するように構成されている。ROIは試料に対する設計に基づいて決定されるので、ROIは「設計に基づくROI」と称され得る。加えて、一つ又はそれ以上のパラメータがここで記述されるように決定される計測プロセスは、「設計主導の計測プロセス」と称され得る。
図3は、ROIを含むここで使用される様々な用語に対するいくつかの文脈を提供する。例えば、図3は、測定サブシステムに対する視野(FOV)300を、ここに記述されているものの一つが測定サイト302にセンタリングされているように示している。測定サイトは、検出された(検査及び/又はレビューによって検出された)欠陥のサイト又はサンプリングされたサイトであり得る。計測プロセスの間のウエハ上の各FOV位置は、計測プロセスが実行される測定サイトの一つのみに関連付けられ得る。例えば、計測プロセスの間に、走査電子顕微鏡(SEM)又はその他の測定サブシステムは、一つの測定サイトから次の測定サイトへ駆動され得る。
図3にまた示されているように、FOV300内部には複数のROI304、306、及び308が位置され得る。3つのROIが図3に示されているが、任意の一つのFOVには任意の数のROI(すなわち一つ又はそれ以上のROI)が存在し得る。図3にさらに示されているように、ROIはFOV内部の様々な位置に置かれ得て、3つのROIがFOV内でオーバーラップしていないように示されているが、ある場合には、ROIはFOV内でいくらかオーバーラップし得る。ROIの各々の内部で、少なくとも一つの測定が選択されて実行され、それは、ここでさらに記述されるように、自動的に選択又は決定され得る。図3は、図3に示されたFOVに位置するウエハのエリアに形成されるパターン化された形状を何も示していないが、測定は一般的には、パターン化された形状の一つ又はそれ以上の特性に対するものである。
異なるROIで実行され得る異なる測定を描くために、図3は、これらの異なる測定を抽象的に、そのような測定が実行され得る寸法の程度及び方向を示す二重方向矢印として描いている。例えば、図3に示されるように、測定310は、ROI304にてある方向に、その方向におけるROIの全寸法の一部のみに渡って実行され得る。測定312は、ROI306にて異なる方向に、その方向におけるROIの全寸法に渡って実行され得る。加えて、測定314及び316は、ROI308を横切る垂直方向に実行され得る。測定314が、その測定の方向におけるROIの全寸法の一部のみに渡って実行され得る一方で、測定316が、その測定の方向におけるROIの全寸法に渡って実行され得る。したがって、ここでさらに記述されるように、異なる測定は異なるROIにて実行され得て、任意の一つのROIで実行される測定は、ここでさらに記述されるように選択又は決定される。
一つ又はそれ以上のコンピュータサブシステムはまた、ROIの第1及び第2のサブセットにそれぞれ位置する試料に対する設計の一部に基づいた測定サブシステムを用いた計測プロセスの間に、ROIの第1及び第2のサブセットにおいて実行される一つ又はそれ以上の測定の一つ又はそれ以上のパラメータを自動的に決定するようにも構成される。第1のサブセットにおいて実行される一つ又はそれ以上の測定の一つ又はそれ以上のパラメータは、第2のサブセットにおいて実行される一つ又はそれ以上の測定の一つ又はそれ以上のパラメータとは別個に且つ独立して決定される。言い換えると、一つ又はそれ以上のパラメータは、第1のサブセットに位置する設計の一部のみに基づいてROIの第1のサブセットに対して決定され得て、第2のサブセットに位置する設計の一部のみに基づいてROIの第2のサブセットに対して決定され得て、などである。加えて、いくつかの実施形態が、ここでは第1及び第2のサブセットに関して記述されているが、コンピュータサブシステムによって実行されるべきステップがROIの2つより多くのサブセット(例えばROIの2つ又はそれ以上のサブセット)に対して実行され得ることを理解されたい。さらに、ROIのサブセットの各々は一つ又はそれ以上のROIを含み得る。例えば、ROIの第1のサブセットが一つのROIのみを含み得る一方で、ROIの第2のサブセットは一つより多くのROIを含み得る。この方法では、ここで記述される実施形態は、自動化されたパターン忠実測定計画の生成のために構成される。ここで記述される実施形態はまた、生成されたパターン忠実度測定計画の実行のためにも構成され得る。
ある実施形態では、自動生成及び自動決定は、計測プロセスの設定の間に実行される。このようにして、この方法は、ウエハに対する物理的な設計を使用して、設定の間に自動ROI生成を含み得る。加えて、パターン忠実度測定のための項目設定は、何千もの特有のサイトに対するROIが設定の間に自動的に生成されることができるので、完全に自動化され得る。
他の実施形態では、自動生成及び自動決定は、計測プロセスの実行時間の間に、実行される。この方法では、ここで記述された実施形態は、実行中の自動化されたパターン忠実度測定計画の生成のために構成され得る。加えて、この方法は、ウエハに対する物理的な設計を使用して、実行時間の間に自動ROI生成を含み得る。
ここで記述された実施形態はまた測定対象の構造の事前の知識を有することを必要とせずに、計測測定計画を生成することができる。例えば、ここで記述された実施形態は、必ずしも、測定対象の構造に対して他のシステム又は方法によって生成された情報を使用して実行されるものではない。したがって、ここで記述された実施形態は、測定計画の生成のために現時点で使用されている方法及びシステムに対して数多くの利点を提供する。例えば、新しいプロセスノードにおいて、検査ツールによって検出されたパターンのずれは、それらが「欠陥」であるという基準に合致するかどうかを決定するために定量的な分析を必要とする。これらの欠陥候補が現れるかどうかを事前に予期することはできず、これより、自動化された計測計画の実行中での生成に対する必要性が存在する。
いくつかの実施形態では、自動生成は、計測プロセスの設定の間にルールに基づく設計のサーチを実行することを含む。例えば、パターン忠実度測定のための項目設定は、何千もの特有のサイトに対するROIが設定の間にウエハに対する物理的設計のルールに基づくサーチを使用して自動的に生成されることができるので、完全に自動化され得る。この方法では、ここで記述された実施形態は、ルールに基づく自動ROI生成のために構成され得る。
ROI生成のためのルールの設計への適用は、数多くの異なる方法で実行され得る。例えば、ルールに基づくアプローチは非イメージ処理アプローチであり得て、そこでは、ルールが設計データに適用されてROIを生成する。そのような適用はCADソフトウエアを使用して実行され得る。他の例では、イメージ処理に基づくアプローチが使用され得て、それは、設計データをイメージとしてレンダリングし、それからイメージ処理アルゴリズムを使用して、ルールを入力として使用してROIを生成することを含み得る。この方法では、設計データは、ルールを入力として使用してROIを生成するために、様々なタイプの設計分析ソフトウエア及び/又はアルゴリズムによって消費され得る。
ROIを生成するためのルールに基づくアプローチの一つの実施形態では、一つのルールが各々の異なる測定タイプのために生成され得る。言い換えると、ルール1は測定タイプ1のためのものであり得て、ルール2は測定タイプ2のためのものであり得て、などである。加えて、各ルールは一つより多くの測定タイプのためのものでなくてもよい。この方法では、各ルールは、その測定タイプの測定をそのパターンに対して適したものにするウエハ上に形成された設計におけるパターンの特性を規定し得る。例えば、ライン幅測定タイプに対するルールは、パターンの比較的大きな区間を横切る実質的に一様な寸法を有するパターン又はパターンの一部を、ライン幅測定タイプに対する候補として識別するように設計され得る。
いくつかのそのような場合には、ルールの各々が、任意の一つのFOVに含まれるパターンのいずれか及び/又は全てに対して実行され得る。したがって、ルールの全てがFOVベースで実行され得る。各ルールは、それが対象として書かれた測定タイプについての可能な位置を識別し得るので、各ルールは、そのFOVについて可能な多数のROIを識別し得て、ある測定タイプについての各々の潜在的な位置はROIの一つに対応する。したがって、各ルールを各FOVに適用する結果は、FOVにおける一つ又はそれ以上のROIを含み得る。そのため、複数のルールを各FOVに適用すると各FOVに一つ又はそれ以上のROIを生成し得て、それらのいくつかは異なる測定タイプに対応し得る。いくつかのそのような実施形態では、FOV内部のROI位置の各々は、一つのタイプのみの一つの測定のみに対応し得る。しかし、FOV内部の複数のROI位置は、そのFOV内部でお互いに(部分的に又は完全に)オーバーラップし得ることが可能である(例えば、FOVの同じ部分にて、2つの異なるタイプの2つの異なる測定を実行することが適当であるとき)。そのような場合には、オーバーラップしているROIのうち各々の個別のROIは、一つの測定タイプのみの一つの測定のみに対応し得る。言い換えると、ROIあたり一つの測定タイプのみが存在し得る。したがって、所与のROI位置に対して複数の測定を実行するためには複数のROIが生成され得て、各ROIが同じROI(又は位置、座標など)で結束されているが、各々が異なる測定タイプを有する。
したがって要約すると、ウエハ上の任意の一つの測定サイトについて、一つのFOVがその測定サイトに対して指定され得る。全てのルールは各FOVに対して実行され得る。ルールの全てを実行する結果として、ルールあたりFOVあたり一つ又はそれ以上のROIが、ROIあたり一つの測定で生成され得る。同じステップが、FOV/測定サイトの全てが処理されるまで、各FOV/測定サイトに対して繰り返され得る。
一つの実施形態では、一つ又はそれ以上のコンピュータサブシステムは、電子設計自動化(EDA)ツールのコンピュータサブシステムを含む。例えば、実行時間におけるROI生成のために、この方法はEDA物理設計分析ツールを使用し得るか、又は汎用アルゴリズムをその物理設計に適用し得る。いくつかのそのような場合には、設計クリップ又は設計のその他の表現が物理設計分析ソフトウエアによって自動的に分析され得て、その設計クリップ又は設計のその他の表現内における有効な測定を決定する。一つのそのような例では、実行時間におけるROI生成のために、一つのアルゴリズムが、パターンの所与のセグメントが真っ直ぐ/平行であるか(すなわち構造/パターンの2つのエッジがお互いに平行であるか)、湾曲しているか(例えばコーナにおいて)、あるいはラインの終わりであるかどうかに基づいて、その設計を自動的にセグメント化し得る。EDAツールは、任意の適切な商業的に入手可能なEDAツールを含み得る。いくつかのそのような実施形態では、ここで記述されたコンピュータサブシステムの一つ又はそれ以上(例えばコンピュータサブシステム102)が、EDAツールとして構成され得る。
他の実施形態では、ROIの第1のサブセットに対して自動的に決定された一つ又はそれ以上のパラメータが、ROIの第1のサブセットにて実行される第1のタイプの測定をもたらし、ROIの第2のサブセットに対して自動的に決定された一つ又はそれ以上のパラメータが、ROIの第2のサブセットにて実行される第1のタイプの測定をもたらし、第1及び第2のタイプの測定はお互いに異なっている。この方法では、この方法は、ROI生成プロセスの間に測定タイプの自動決定を含み得る。ROIあたり一つの測定タイプがあり得て、ROI生成プロセスの間に自動的に決定され得る。そのため、ここで記述される実施形態は、各ROIに対して適切な測定タイプを有する計測計画の自動生成のために構成され得る。例えば、この計測計画の生成は、各FOVに対して、物理的設計からROI及び測定タイプを自動的に規定することを含み得る。ROI及び測定タイプの自動的な規定は、設計分析アルゴリズム及びプログラムを使用して実行され得る。一つ又はそれ以上のパラメータはまた、ROIにおいてその測定タイプが実行されるべき場所を含み得る。ROIにおいてその測定タイプが実行されるべき場所は、ここでさらに記述されるように決定され得る。
ここで記述される計測プロセスは、ウエハ上のパターンが設計におけるパターンからどのように異なるかを決定するために実行され得る。特に、ウエハ上に印刷されるように設計されたパターンが設計されたように正確にウエハ上に印刷されることは、ほぼない。設計されたパターンと印刷されたパターンとのそのような相違は、ウエハ上にパターンを印刷するために使用されるプロセス、ツール、及び材料における本質的な限界、ならびにプロセス、ツール、及び材料における何らかの誤りによることができる。
ウエハ上にどのようにしてパターンが印刷されるかの一つの例は、図4及び図5に示された設計されたパターンから異なっていることができる。特に、図4に示されるように、ウエハに対する設計(図4には図示されず)のある部分400は、3つの異なるパターン402、404、及び406を含み得る。パターン402は、ウエハに対する設計に含まれ得るライン構造の例である。パターン404は、ウエハに対する設計に含まれ得るコンタクト構造の例であり、パターン406は、ウエハに対する設計に含まれ得るポリゴン構造の例である。
ウエハに対する設計に含まれ得る構造のいくつかの例が図4(及びここで記述される他の図面)に示されているが、それらの例は、任意の特定のウエハに対する任意の特定の設計の描写であることは意図されない。その代わりに、当業者には明らかであるように、ウエハに対する設計は、多くの異なるタイプの構造を、多くの異なる配置及び多くの異なる数で含み得る。図4(及びここで記述される他の図面)に示された構造は、ここに記述される様々な実施形態のさらなる理解のために、いくつかの仮のウエハ構造を描くことを意図しているにすぎない。
設計の部分400に示された構造を印刷するために使用されるツール、材料、及びプロセスの本質的な制約のために、その構造は必ずしも、それらが設計に含まれるようにはウエハ上に印刷されない。例えば、図5に示されるように、設計に示されるような鋭い90度のコーナを有する部分400のパターン402、404、及び406の代わりに、パターンは、少なくともいくらか丸められたコーナを有するであろう。加えて、構造のいずれも、構造を横切る様々な点における幅のように、寸法における変動を有し得る。例えば、図5に示されるように、パターン406は、構造を横切る複数の点において、この構造の設計特性に比較していくらかのライン幅の変動を有する。
ROI及びROIあたりの測定タイプは、したがって、設計されたパターンの特性に基づいて、可能であればそのパターンを有する潜在的な問題のいくつかのアプリオリな知識との組み合わせで、ここで記述されたように自動的に選択され得る。図5に示されたパターンに対して数多くの可能なROIが図6に示される。これらの可能なROIは図5に示されたパターンに関して示されているが、ROIは実際には、図5に示されたパターンに対応する設計に基づいて、すなわち図4に示されたパターンに基づいて、選択され得る。
図6に示された実施形態では、ROI600、602、及び604は、形状の一部を横切って実質的に一様な寸法を有するように設計された形状の部分に対して決定され得る。例えば、ROI600は、その部分を横切って実質的に一様な寸法を有するように設計された形状402の一部に対して生成され得て、ROI602及び604は、それらの部分を横切って実質的に一様な寸法を有するように設計された形状406の部分に対して生成され得る。これらのROIに対して自動的に選択された測定タイプはライン幅の測定であり得て、これは、パターン化された形状における括れ又は膨らみの問題を検出するために使用され得る。
他のROI、ROI606は、そのROIを横切る実質的に同じ寸法を有するように設計された形状の2つ、形状402及び406の間のスペースに対して、自動的に生成され得る。このROIに対してここで記述された実施形態によって自動的に選択された測定タイプはギャップ測定(あるいは、これら2つの形状の間の距離、又は距離のいくらか統計的な測定)を含み得る。ギャップ測定は、2つのパターン化された形状の間のブリッジ問題を検出するために実行され得る。
ここで記述された実施形態はまた、形状の一つ又はそれ以上の端において、且つ/又は端の近傍において、多数のROIを自動的に生成するようにも構成され得る。例えば、図6に示されるように、ROI608及び610が形状402の端に対して自動的に生成され得る一方で、ROI612及び614は形状406の端に対して自動的に生成され得る。これらのROIに対して選択された測定タイプは、ライン端位置、ライン端プルバック、ライン端距離(例えば1本の直線の2つのライン端の間の距離)、又は、その形状の端の設計上対印刷上の相対的な位置を記述するために使用されることができるいくつかのその他の測定タイプであり得る。
一つ又はそれ以上のROIはまた、設計におけるパターン化された形状の一つ又はそれ以上のコーナに対しても、自動的に生成され得る。例えば、図6に示されるように、ROI616及び618は、形状406のコーナに対して生成され得る。これらのROIに対して選択される測定タイプは、曲率、半径、距離、弧面積、又はそのコーナの形状を記述するために使用されることができるいくつかのその他の測定タイプであり得る。
その他のROIが、設計におけるコンタクトのパターン化された形状に対して、ここで記述された実施形態によって自動的に生成され得る。例えば、図6に示されるように、ROI620は、コンタクト形状404に対して生成され得る。このROIに対して選択される測定タイプは、直径、幅、高さ、半径、面積、又は、印刷されたコンタクトが設計されたコンタクトからどのように異なっているかを記述するために使用されることができるいくつかのその他の測定タイプであり得る。
計測プロセスのために決定され得るその他の測定タイプは、先端から先端(2つのライン端の間のギャップの測定)、先端からライン(ライン端とラインとの間のギャップの測定)、ライン長(直線の長さの測定)、及びコーナからコーナの測定を含む。
上述のように、したがって、ここで記述される実施形態は、ウエハのための設計の少なくとも一部の計測プロセスのためのROIへの設計に基づくセグメント化を実行するように構成され得る。加えて、セグメントのいくつかは、真っ直ぐなライン(直線)セグメント、真っ直ぐなギャップセグメント、ライン端セグメント、コーナセグメント、及びコンタクトセグメントを含み得る。異なるセグメント及び対応するROIが、ここに記述された多くの異なる方法で、設計にて決定され得る。例えば、セグメント又はROIは、一つ又はそれ以上のルールを設計に適用することによって決定され得る。他の例では、設計におけるパターン化された形状を通る仮想の中心線(それらが設計又はウエハへの印刷の一部ではないという意味で仮想である)が、ここでさらに記述されるように識別され得て、それからそれらの中心線が、パターン化された形状をセグメント及び/又はROIにセグメント化するために使用されることができる。例えば、パターン化された形状を通る真っ直ぐな中心線が、その真っ直ぐな中心線が直線セグメントとして通るパターン化された形状の一部を識別するために使用されることができる。他の例では、2つのパターン化された形状の間の空間を通る真っ直ぐな中心線が、その真っ直ぐな中心線が直線セグメントとして通る空間の一部を識別するために使用されることができる。付加的な例では、2つの直線が90度の角度で交差するパターン化された形状の一部が、コーナセグメントとして識別され得る。ここで記述された他のセグメントは、仮想の中心線を使用して同様の方法にて識別されることができる。
ひとたび計測プロセスのための様々な位置(例えば、測定サイトの位置、位置合わせサイトの位置、オートフォーカスサイトの位置、など)が決定されると、計測項目設定は様々な追加のステップを含み得て、それらのいくつかは、物理的ウエハを使用して計測ツール上で実行され得る。例えば、位置の一つ又はそれ以上が、測定サブシステムのFOVに置かれ得る。ひとたび一つ又はそれ以上の位置が測定サブシステムのFOVに置かれると、測定サブシステムの出力が、測定サブシステムのパラメータ、すなわち光学的、電子ビーム、又はイメージングパラメータに対する異なる値を使用して、生成され得る。パラメータの異なる値を使用して生成された異なる出力はそれから、それらのパラメータのうちのどれが、一つ又はそれ以上の位置に対して計測プロセスにて使用されるためにベストであるかを決定するために比較され得る。加えて、異なる測定サブシステムパラメータが、同じ計測プロセスにて測定される異なる位置に対して選択され得る。例えば、測定サブシステムパラメータの一つのセットが、あるタイプのROIでのある測定タイプに対してベストであると決定され(且つしたがって選択され)得る一方で、測定サブシステムパラメータの他の異なるセットが、他の異なるタイプのROIにおける他の異なる測定タイプに対してベストであると決定され(且つしたがって選択され)得る。同様の方法で、測定サブシステムによって生成される出力に対してコンピュータサブシステムによって適用される一つ又はそれ以上の方法及び/又は一つ又はそれ以上のアルゴリズムの一つ又はそれ以上のパラメータは、位置タイプ×位置タイプベースで(異なる方法及び/又はアルゴリズム、及び/又は、同じ方法及び/又はアルゴリズムの異なるパラメータが、ウエハ上の異なるタイプの位置で生成される出力に対して適用され得るように)決定され得る。
いくつかの実施形態では、コンピュータサブシステムは、計測プロセスの間に、検出器の出力を試料の設計に位置合わせすることによって、ROIの第1及び第2のサブセットの試料上の位置を決定するように構成されている。例えば、コンピュータサブシステムは、自動のSEM対設計の微細な位置合わせ(例えばSEMのFOVにおける配置を使用する)のために構成され得る。SEM対設計の微細な位置合わせは、広範囲の位置合わせが測定サブシステム及び設計構造によって生成されたイメージにおける構造の中心線の位置合わせを保証しないので、実行され得る。
測定サブシステムの出力の設計への位置合わせのいくつかの実施形態では、出力及び設計におけるパターン化された形状を通って引かれた仮想中心線が、(ここでさらに記述される位置合わせマークが、ウエハあるいは一つ又はそれ以上のFOVの広範囲の位置合わせのために使用され得るが)微細な位置合わせのために使用され得る。図7及び図8は、出力及び設計における形状のエッジを位置合わせのために使用する際に生じることがあるいくつかの問題を描いている。例えば、図7に示されるように、設計の一部は2つの形状、ライン700及びポリゴン702を含み得る。加えて、測定サブシステムによって生成された出力のうち、設計のある部分に対応する一部は、2つの形状に対する出力、ライン704及びポリゴン706を含み得る。設計と測定サブシステムの出力とにおける形状は、上記でさらに記述されたように、ウエハ上への設計の印刷のために異なって見える。
測定サブシステムの出力(例えばSEMイメージ)は、対象のパターンの上側エッジ又は下側エッジにおけるエッジ対エッジのアプローチを使用して、設計に位置合わせされることができる。例えば、図7に示されるように、もしポリゴン702及び706の水平部分の下側エッジが位置合わせのために使用されると、そのときには、ポリゴンのエリア710及び712においてポリゴン706に対して実行されたライン端測定は、一つの測定を作り出す。しかし、もし図8に示されるように、ポリゴン702及び706の水平部分の上側エッジが位置合わせのために使用されると、そのときには、ポリゴンのエリア710及び712においてポリゴン706に対して実行されたライン端測定は、異なる測定を作り出す。このようにして、ポリゴンのどのエッジが設計の出力への位置合わせのために使用されるかに応じてライン端測定は異なる結果を作り出し、これは数多くの明白な理由(例えば、ライン端プルバック測定が一致しない)のために不都合である。
したがって、エッジ対エッジの位置合わせを使用する代わりに、ここで記述される実施形態は、出力における且つ設計における形状の中心を使用して、測定サブシステム出力の設計への位置合わせを実行し得る。例えば、図9に示されるように、もしポリゴン702及び706の中心が位置合わせのために使用されるなら、上述したエッジ位置合わせ方法のいずれかが使用される場合とは異なる測定が、ポリゴンのエリア710及び712においてポリゴン706のために実行されたライン端測定に対して作り出され得る。しかし、形状の中心を使用して測定サブシステムの出力と設計とを位置合わせすることは、はるかにより一致したROIからROIへの位置合わせを作り出し、それによって、ROIに対して実質的に一致した測定(例えば、中心測定、ライン端プルバック測定、及び幅測定)を提供する。エッジではなく形状の中心を位置合わせのために使用することはまた、激しく歪んだパターンに対して、及びFOVが対象のパターンの位置合わせのために多くの形状を有さない場合に、位置合わせのロバストさを改善することができる。
図10〜12は、設計の一部における及び測定サブシステム出力におけるパターン化された形状の中心が、設計の出力への位置合わせのためにどのようにして使用されることができるかを描いている。例えば、図10に示されるように、ある試料に対する設計の一部は4つの異なる形状、ライン1000、1002、及び1004の一部とポリゴン1006とを含み得る。図10にさらに示されるように、仮想中心線は、設計のその部分に含まれる各形状部分の全体を通って決定されることができる。例えば、仮想中心線1008、1010、及び1012は、ライン1000、1002、及び1004の一部のために決定され得る。加えて、仮想中心線1014はポリゴン1006のために決定され得る。仮想中心線は、任意の適切な方法で決定され得る。
仮想中心線はまた、それらが測定サブシステム出力に現れるようなパターン化された形状に対しても決定され得る。例えば、図11に示されるように、測定サブシステム出力における設計の一部は、図10に示されたものに対応する4つの異なる形状、例えばライン1100、1102、及び1104の一部とポリゴン1106とを含み得る。図11にさらに示されるように、仮想中心線は、設計のこの部分に含まれる各形状部分の全体を通って決定されることができる。例えば、仮想中心線1108、1110、及び1112は、ライン1100、1102、及び1104の一部のために決定され得る。加えて、仮想中心線1114はポリゴン1106のために決定され得る。仮想中心線は、さらにここで記述されるように決定され得る。
設計におけるパターン化された形状の仮想中心線は再現可能に決定されることができて、出力におけるパターン化された形状の仮想中心線が実質的に再現可能に決定されることができるべきであるので、仮想中心線は、設計におけるパターン化された形状を出力におけるパターン化された形状に、比較的に再現可能に位置合わせするために使用されることができる。例えば、図12に示されるように、中心線1008及び1108の位置合わせ1200は、設計におけるライン1000と出力におけるライン1100とを再現可能に位置合わせするために使用されることができる。他の例では、中心線1010及び1110の位置合わせ1202は、設計におけるライン1002と出力におけるライン1102とを再現可能に位置合わせするために使用されることができる。加えて、中心線1012及び1112の位置合わせ1204は、設計におけるライン1004と出力におけるライン1104とを再現可能に位置合わせするために使用されることができる。さらに、中心線1014及び1114の位置合わせ1206は、設計におけるポリゴン1006と出力におけるポリゴン1106とを再現可能に位置合わせするために使用されることができる。
もちろん、設計の一部における形状を測定サブシステムの出力におけるその設計の同じ部分の形状に位置合わせする際に、全ての形状のお互いの位置合わせを作り出すために、その部分における全ての形状の中心線の全てが位置合わせされなければならないわけではない。例えば、図12に示される例において、設計における及び出力におけるポリゴンの中心線の位置合わせは、ポリゴンならびに設計のこの部分における残りの形状に対する微細な設計対出力の位置合わせを作り出すために使用され得る。設計における形状を測定サブシステム出力における形状に再現可能に位置合わせできることは、位置合わせの結果を使用して実行される測定の一致度を改善する。
さらなる実施形態では、測定のパラメータは、測定が実行される一つ又はそれ以上の寸法の境界を含む。例えば、コンピュータサブシステムは、測定境界の自動生成のために構成され得る。測定境界は、各々の特有なサイトに対して、実行時間において自動的に決定され得る(設定の間にはパラメータは必要とされない)。
いくつかの実施形態では、測定が実行される寸法の境界は、ここでさらに記述される中心線を使用して決定され得る。例えば、図13に示されるように、ウエハに形成された設計の一部は4つのパターン化された形状1300、1302、1304、及び1306を含み得て、これらは図13に、それらがウエハ上に形成されてから測定サブシステムによってイメージングされたかのように示されている。仮想中心線1308、1310、1312、及び1314が、さらにここで記述されるように、これらの形状の各々に対して生成され得る。仮想中心線はまた、パターン化された形状の間の空間に対しても生成され得る。空間に対する仮想中心線は、設計における2つの隣接する形状の間の中間点によって規定され得る。例えば、中心線1316は、形状1300及び任意の他の隣接する形状(例えば形状1302)の中心線の間の中間点に基づいて規定され得る。中心線1318は、形状1302及び任意の他の隣接する形状(図13には図示されていない)の中心線の間の中間点に基づいて規定され得て、形状1300の上に延在している。中心線1320は、形状1304及び任意の他の隣接する形状(例えば形状1302及び1306)の中心線の間の中間点に基づいて規定され得る。中心線1322は、形状1302及び1306の中心線の間の中間点に基づいて規定され得る。加えて、中心線1324は、形状1306及び任意の他の隣接する形状(図13には図示されていない)の中心線の間の中間点に基づいて規定され得る。図13に示された中心線は、測定サブシステム出力に現れるパターン化された形状に関して規定されていると記述されているが、中心線はまた、あるいは代替的に、設計自身に現れるパターン化された形状に関して規定され得る。加えて、パターン化された形状の間のスペースにおける中心線はパターン化された形状における中心線に基づいて規定されると記述されているが、スペースにおける中心線は、パターン化された形状の何らかの他の特性(例えばパターン化された形状のエッジ)に基づいて規定され得る。
パターン化された形状の間のスペースにおける中心線はそれから、実行されるパターン化された形状の任意の測定に対する境界として使用され得る。例えば、図13に示されるように、パターン化された形状1304の限界寸法(CD)がこのパターン化された形状に対して測定されるとすると、この測定は、パターン化された形状の片側における中心線1320の位置からパターン化された形状の反対側における中心線1320の位置まで、パターン化された形状1304の内部の中心線1312に実質的に垂直な方向に、ライン1326の一つに沿って実行され得る。このようにして、測定は、パターン化された形状を通る中心線に直交する方向に実行され得る。パターン化された形状1304に対する異なる測定が実行される寸法を示す3本のライン1326が図13に示されているが、任意の数のそのような適切な測定が、パターン化された形状の内部の中心線に沿って、任意の適切な位置で実行され得る。加えて、測定は、形状の中心線に実質的に平行な方向に実行され得る。例えば、図13に示されるように、測定はライン1328の一つに沿って実行され得て、図13には示されていないが、そのような測定の境界はまた、ここでさらに記述されるように、パターン化された形状の間のスペースにおける中心線によって決定され得る。さらに、図13には示されていないが、測定が実行される寸法は、パターン化された形状及び/又はパターン化された形状の間のスペースの中心線と、直交ではない何らかの角度で(例えば、半径を測定するために、ライン端プロバック測定のために、ライン端距離測定のために、など)交差し得る。
パターン化された形状の間のスペースの中心線をそのパターン化された形状にて実行される任意の測定のための境界として使用することは、パターン化された形状の外側で測定が開始及び終了することを効果的に確実にし得て、それによって測定がパターン化された形状の全寸法に渡って実行されること、ならびに、測定の間に十分な正確さ及び/又は確度を持って生成された出力にてパターン化された形状のエッジが決定されることができるように測定の境界がパターン化された形状の十分に外側にあることを確実にする。例えば、測定が始まる境界がパターン化された形状のエッジに近すぎると、出力内部におけるパターン化された形状のエッジの位置は、測定境界と容易に混同され得て、且つ/又は測定境界ノイズの中に埋もれ得る。しかし、ここで記述されたようにパターン化された形状の間のスペースの中心線を使用して測定の境界を決定すると、パターン化された形状の間のエッジの検出における任意のそのような誤りを実質的に除去する。
同様の方法で、ここで記述された方法が2つのパターン化された形状の間のスペースに対して実行される(例えば2つの形状の間のギャップを測定するために)ならば、その測定に対する境界は、スペースを取り囲むパターン化された形状の内部の中心線に基づいて決定され得る。このようにして、測定はスペースのエッジを十分に越えた位置で開始及び終了することができて、それによって、測定がスペースの全寸法に渡って実行され且つスペースのエッジが比較的高い正確さ及び/又は確度で決定されることができることを保障する。
ある実施形態では、測定は、試料に形成された一つ又はそれ以上の構造の一つ又はそれ以上のエッジの測定の間に検出器によって生成される出力における位置を自動的に決定することを含む。このようにして、ここで記述される実施形態は、SEMエッジ位置の自動決定のために構成され得る。いくつかの例では、エッジ位置は、ここにさらに記述される1D傾きプロファイルを使用して決定され得る。例えば、エッジ位置は、1D傾きプロファイルにおける最も強い正又は負の傾きピークを見出すことによって、自動的に決定され得る。言い換えると、1D傾きプロファイルにおけるピーク点がエッジ位置として選択されることができる。形状のCD又はその他の属性が、それからそのエッジ位置に基づいて決定されることができる。例えば、頂部、中間、又は底部CDは、正/負の傾きピーク、構造の中心を通って引かれた線に直交する1D傾きプロファイルの零クロス又は負/正の傾きピークを使用して頂部、中間、又は底部のエッジ位置を位置決めすることによって、決定されることができる。しかし、エッジは、傾きプロファイルを使用する以外の他の測定アルゴリズムを使用して、位置決めされることができる。
他の実施形態では、コンピュータサブシステムが、測定の結果に基づいて、ROIの第1及び第2のサブセットの一つに対して、一つ又はそれ以上の属性を自動的に生成するように構成される。このようにして、ここで記述される実施形態は、各ROIに対する測定統計値及び属性の自動生成のために構成され得る。各ROIに対する測定統計値は、他のROIごとの計測結果からは独立して決定され得る。様々な測定統計値(例えば、最大、最小、期待値、平均、中央値、標準偏差、範囲、及び合計)が、ROIを有する複数の測定を使用して生成され得る。他の例では、コンピュータサブシステムは、ウエハに形成されたパターン化された構造の1次元(1D)グレースケールプロファイルのような他の属性の自動生成のために構成され得る。1Dグレースケールプロファイルは、パターン化された構造を通る中心線に直交するか又はパターン化された構造を通る中心線に平行であるかのいずれかである線に沿って生成された出力によって、自動的に生成され得る。コンピュータサブシステムはまた、1Dグレースケールプロファイルの自動生成のためにも構成され得て、これは、上述されたように決定される1Dグレースケールプロファイルの傾きを取ることによって自動的に生成され得る。いくつかの例では、ROI内部の複数の測定は、1Dグレースケール又は傾きプロファイルあたり一つの測定を含み得る。測定統計値は、実際のCD、正のΔCD、及び負のΔCDに関係し得て、ΔCDは設計に対するCD測定を提供する。加えて、構造を通る中心線に平行又は直交する1Dグレースケールプロファイルを使用した(ピークの局所グレーレベル差、ピークの正又は負の傾き、などのような)様々なタイプのグレースケール又は傾きに基づく属性が、決定されることができる。ここで記述された実施形態を使用して決定されることができる測定統計値及び/又は属性もまた、ここで記述されるものには限定されない。
追加の実施形態において、一つ又はそれ以上のコンピュータサブシステムが、一つ又はそれ以上の測定の結果に基づいて第1及び第2のサブセットの一つにおけるROIの複数の例に対して一つ又はそれ以上の属性を自動的に生成し、且つ2つ又はそれ以上の複数の例に対する一つ又はそれ以上の属性の少なくとも一つを比較して、2つ又はそれ以上の複数の例における異常値を識別するように、構成される。このようにして、ここで記述された実施形態は、測定統計値及び属性をウエハ上の様々なサイトに渡って想定的に比較して異常値を決定するように構成され得る。ROIの各々に対する測定統計値及び属性はウエハ上の様々なサイトに渡って比較されることができて、欠陥検出のための異常値を決定する。
さらなる実施形態では、一つ又はそれ以上のコンピュータサブシステムが、設計における一つ又はそれ以上の位置合わせサイトを自動的に選択するように構成されて、計測プロセスは、計測プロセスの間に試料上の一つ又はそれ以上の位置合わせサイトのうちの少なくとも一つの一つ又はそれ以上の位置を決定し、試料上の少なくとも一つの位置合わせサイトの一つ又はそれ以上の位置に基づいて、試料上の第1及び第2のサブセットにおけるROIの一つ又はそれ以上の位置を決定することを含む。例えば、ここで記述される実施形態は、物理的設計分析により(粗い位置合わせのための)位置合わせサイトを自動的に生成するように構成され得る。一つのそのような例では、計測計画の生成の間に、各FOVに対して、コンピュータサブシステムは、物理的設計を使用して各測定サイトに対する特有の位置合わせサイト及びオートフォーカスサイトを自動的に決定するように構成され得る。特有の位置合わせサイト及びオートフォーカスサイトを自動的に決定することは、設計分析アルゴリズム及びソフトウエアを使用して実行され得る。
いくつかの実施形態では、ここで記述されたシステムは、測定サブシステム及び少なくとも一つのコンピュータサブシステムを含む計測ツール上でFOVごとに計測計画を実行するように構成され得る。一つのそのような実施形態では、システムはFOVごとにオートフォーカスを実行し、その後にFOVごとにアンカー点位置合わせを実行し得る。いくつかのそのような実施形態では、システムは、アンカー点及び測定サイトに対する計画クリップを、オートフォーカス及び/又はアンカー点位置合わせのために使用されるべき設計データベースから取り出し得る。システムはさらに、FOVごとの測定サイト位置合わせのために構成され得て、FOV内部のROIにて選択されたタイプの測定を実行するような、測定サイトに対する計測計画を実行し得る。コンピュータサブシステムはそれから、ROIごとに測定データを生成し得る。
いくつかの実施形態では、計測プロセスは、一つのROI内で実行される一つ又はそれ以上の測定のみに基づいて、第1及び第2のサブセット内のROIの一つに欠陥が存在するかどうかを決定することを含む。言い換えると、ROI内の欠陥検出は、任意の他のROI(そのROIと同じダイ内にあるか、又はそのROIが置かれているものとは異なるダイにある)にて生成された出力、あるいはそのような出力を使用して作成された任意の測定には、基づかなくて良い。例えば、あるROIに対して、そのROI内で生成された出力のみを使用して生成された測定結果は、ある閾値と比較され得て、その閾値を越える任意の測定結果は欠陥であると判断され得る一方で、その閾値を越えない測定結果は欠陥ではないと判断され得る(又は、その逆である)。加えて、そのような欠陥検出は、一つより多くの閾値(例えば上側及び下側閾値)、及び/又は任意の他の適切な欠陥検出方法及び/又はアルゴリズムを使用して実行され得る。
このようにして、一つ又はそれ以上のパラメータが決定される計測プロセスは、ROIに基づく単一ダイ欠陥検出を含み得る。そのような欠陥検出は、ROI位置において様々なタイプの属性(例えば、CD測定、傾きの大きさ、局所的なグレーレベルコントラスト、など)を生成することによって、様々な欠陥タイプ(例えば、パターン欠陥、失われた及び/又は十分に満たされていないエピタキシャル層、シリコン・ゲルマニウム(SiGe)欠陥、など)を検出するために実行され得る。
ここで記述された実施形態とは対照的に、ROIに基づく単一ダイ欠陥検出のために現時点で使用されている方法は、欠陥検出のための(獲得又は生成された)参照イメージ又は参照輪郭を使用する。獲得されたイメージによるアプローチは、ROIに基づく単一ダイ欠陥検出に比べて、スループットが半分である。生成されたイメージ又は輪郭によるアプローチは、参照の生成における複雑さ及び不正確さという欠点を有する。
一つの実施形態では、ROIの第1及び第2のサブセットの一方で実行された一つ又はそれ以上の測定が、ROIの他のもののCD測定に関するROIの一つのCD測定を含む。このようにして、一つ又はそれ以上のパラメータが決定される測定は相対的なCD測定であり得て、そこでは、所与のウエハにおける所与の対象パターン(POI)の複数の例のCDが比較され得る。言い換えると、CD測定は絶対測定ではなく相対測定であり得る。ここで記述された実施形態とは対照的に、相対CD測定のために現時点で使用されている方法はCD−SEMツールを使用し、そこでは、サイトごとに複数のROIを規定する項目設定が非常に手作業であって、ダイごとに限られた数の特有のサイトがCD測定のために測定されることができる。
追加の実施形態では、ROIの第1及び第2のサブセットの一方で実行された一つ又はそれ以上の測定が、ROIの他の上書き測定に関するROIの一つの上書き測定を含む。このようにして、一つ又はそれ以上のパラメータが決定される測定は相対的な上書き測定であり得る。言い換えると、上書き測定は絶対測定ではなく相対測定であり得る。上書き誤差は、複数パターニング製造プロセス(例えば二重、三重、又は四重パターニング)、スペーサピッチ分割製造プロセスなどの間に測定され得る。加えて、上書き誤差は、ウエハに形成された現在の層をウエハに形成された先の層との間で測定され得る。ここで記述された実施形態とは対照的に、相対上書き測定のために現時点で使用されている方法はCD−SEMツールを使用し、そこでは、サイトごとに複数のROIを規定する項目設定が非常に手作業で時間が掛かるプロセスであって、そのためにダイごとに実質的に限られた数の特有のサイトが上書き測定のために測定されることができる。
いくつかの実施形態では、試料はプロセス窓適正(PWQ)ウエハを含み、自動生成は、設計ならびにその試料に対して実行される検査プロセスの結果に基づく計測プロセスの間に測定されるべきROIを自動的に生成することを含む。このようにして、一つ又はそれ以上のパラメータが決定される測定はPWQウエハにおけるパターン欠陥の自動化レビューを含み得て(例えばCD測定を使用する)、これはKLA-Tencorから商業的に入手可能な検査ツールの一つのような検査ツールによって実行されるウエハのPWQ検査によって検出され得る。いくつかの場合には、PWQ検査によって検出される欠陥は計測のためのホットスポットとして使用され得て、計測ホットスポットにて実行される測定及び検出は、PWQ窓(例えばPWQが実行されるプロセスパラメータの窓)を精緻にするために使用され得る。パターン欠陥の自動化されたPWQレビューのために現時点で使用される方法は、PWQ検査によって見出されたパターン欠陥の手作業の又は自動化された設計に基づくレビューを実行する。手作業の方法は不正確で且つ信頼できず(例えばユーザは完全なパターン失敗を見逃すことがあり、あるいは実質的にわずかな(例えば3〜7nm)CD変動を区別できないことがある)、設計に基づくアプローチは発見ステップと計測ステップとの間に項目設定を必要とする。
PWQ検査は、2005年6月7日付けでPetersonらに対して発行された米国特許第6,902,755号、2008年8月26日付けでPetersonらに対して発行された米国特許第7,418,124号、2010年8月3日付けでKekareらに対して発行された米国特許第7,769,225号、2011年10月18日付けでPakらに対して発行された米国特許第8,041,106号、及び2012年7月3日付けでPetersonらに対して発行された米国特許第8,213,704号に記述されたように実行され得て、これらは、あたかも完全にここに提示されているかのように参照によって援用される。ここで記述された実施形態はこれらの特許に記述された任意の方法の任意のステップを含み得て、且つさらにこれらの特許に記述されたように構成され得る。PWQウエハは、これらの特許に記述されたように印刷され得る。
さらなる実施形態では、計測プロセスは、試料に対して実行される製造プロセスのインライン・モニタリングの間に、その試料に対して実行され得る。このようにして、一つ又はそれ以上のパラメータが決定される測定は、インライン・モニタリングの間に実行される計測プロセス(すなわち製造製作プロセスによって製造されるウエハに対して実行される測定)を含み得る。そのような計測プロセスは、ゲート限界寸法の一様性(CDU)測定、ラインエッジ粗さ(LER)/ライン幅粗さ(LWR)測定、CD/上書き測定などのような測定に対して実行され得る。
他の実施形態では、自動生成は、設計ならびにその試料に対して実行される検査プロセスの結果に基づく計測プロセスの間に測定されるべきROIを自動的に生成することを含む。例えば、インライン・モニタリングはまた、検出された欠陥の位置が検査にガイドされた計測のための「ホットスポット」として本質的に使用されるように、検査によって検出された欠陥の位置に対して実行され得る。いくつかのそのような実施形態では、計測の結果は検査の結果と相関され得る。例えば、検査によって生成されたパターン忠実度サインが、計測の間に実行される測定と相関され得る。
ここで記述された実施形態とは対照的に、インライン・モニタリングの間に計測のために現時点で使用されている方法は、CD−SEMツールを使用して、特定の計測ターゲット(例えばウエハ上の刻み線に印刷された)においてCD/上書き測定を実行し、ROIの規定における項目設計が全く手作業であるために、ウエハ上の何千もの特有なサイトを自動的に測定することができない。インライン・モニタリングのために現時点で使用されているいくつかの他の方法は、SEMレビューツールを使用して何百万というホットスポット位置から数箇所の位置をランダムにサンプリングし、ダイ対ダイモードを使用して限界点検査(CPI)を実行する。しかし、ホットスポット位置がランダムにサンプリングされるので、現時点で使用されている方法は、実質的に大量のホットスポット欠陥を見落とすことがある。
追加の実施形態では、一つ又はそれ以上のコンピュータサブシステムが、ROIの第1及び第2のサブセットの一つで実行される一つ又はそれ以上の測定を、ROIの第1及び第2のサブセットのその一つに対する設計意図と比較して、その比較の結果に基づいて最適近接補正(OPC)モデルを改変するように構成され得る。このようにして、一つ又はそれ以上のパラメータが決定される測定は、設計意図に対するOPCモデルの検証のために実行され得る。ここで記述された実施形態とは対照的に、設計意図に対するOPCモデルの検証のためにCD−SEMツールを使用し、そこでは、サイトごとに複数のROIを規定する項目設定が非常に手作業で時間が掛かるプロセスであって、そのためにダイごとに実質的に限られた数の特有のサイトがCD測定のために測定されることができる。OPCに対して、弱い構造を自動的に発見し、且つダイごとに何千もの特有のサイトを直ちに且つ/又は自動的に設定及び測定することが要求される。
他の実施形態では、一つ又はそれ以上のコンピュータサブシステムが、一つ又はそれ以上の測定に基づいてROIの第1及び第2のサブセットの一つで欠陥を検出し、検出された欠陥に対してその一つ又はそれ以上の測定を欠陥属性として報告するように構成され得る。このようにして、計測プロセスは、再検出アルゴリズムによって報告される欠陥位置における欠陥属性としてパターン忠実測定を報告することを含み得る。ここで記述された実施形態とは対照的に、現時点で使用されている方法は測定統計値を欠陥属性の一部としては報告せず、そのため、パターン歪みが何でもないのか、部分的な破損か、完全な破損か、部分的なブリッジか、あるいは完全なブリッジであるのかを定性化することができない。
ここで記述された実施形態は、計測プロセスの一つ又はそれ以上のパラメータを決定するために現時点で使用されている方法に対して、数多くの利点を有する。例えば、ここで記述された実施形態は、実質的に高速な自動化された実行中に実施されるメカニズムを使用して、何千もの特有のサイトに対するROIを生成し、それから様々なサイトに渡って各ROIに対する様々な測定統計値及び属性を(所与のサイトに対するSEMイメージ及び物理的設計クリップを使用して)自動的に生成する。これらはそれから、ここで記述される様々な使用ケースとして機能するように使用され得る。
他の実施形態は、試料上で実行される計測プロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法に関する。この方法は、上述された自動生成及び自動決定ステップを含む。
この方法のステップの各々は、ここで記述されたように実行され得る。この方法はまた、ここで記述された測定サブシステム及び/又はコンピュータサブシステム又はシステムによって実行されることができる任意の他のステップも含み得る。自動生成及び自動決定ステップは一つ又はそれ以上のコンピュータシステムによって実行され、これは、ここで記述された実施形態のいずれかにしたがって構成され得る。加えて、上述された方法は、ここで記述されたシステム実施形態のいずれかによって実行され得る。
追加の実施形態は、試料上で実行される計測プロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法を実行するために、コンピュータシステム上で実行可能なプログラム命令を記憶している非一時的コンピュータ読み取り可能媒体に関する。一つのそのような実施形態が図14に示されている。特に、図14に示されているように、非一時的コンピュータ読み取り可能媒体1400は、コンピュータシステム1404上で実行可能なプログラム命令1402を含む。このコンピュータ実行形の方法は、ここで記述される任意の方法の任意のステップを含み得る。
ここで記述されたもののような方法を実行するプログラム命令1402は、コンピュータ読み取り可能媒体1400に記憶され得る。コンピュータ読み取り可能媒体は、磁気又は光学ディスク、磁気テープ、又は当該技術で既知の任意のその他の適切な非一時的コンピュータ読み取り可能媒体のような記憶媒体であり得る。
プログラム命令は様々な方法のいずれかで実行され得て、それらは他のものの中で、プロシージャに基づく技法、コンポーネントに基づく技法、及び/又はオブジェクト指向の技法を含む。例えば、プログラム命令は、所望されるように、ActiveXコントロール、C++オブジェクト、JavaBeans、マイクロソフトファウンデーションクラス(「MFC」)、SSE(ストリーミングSIMD拡張)、又はその他の技法又は方法を含み得る。
コンピュータシステム1404は、ここで記述される実施形態のいずれかにしたがって構成され得る。
ここで記述される追加の実施形態は、試料上で実行されるプロセスの一つ又はそれ以上を決定するように構成されたシステムを含む。このシステムは測定サブシステムを含み、これは、ここで記述された実施形態のいずれかにしたがって構成され得る。一つの実施形態では、試料はウエハを含む。他の実施形態では、試料はレチクルを含む。ウエハ及びレチクルは、当該技術で既知の任意のウエハ及びレチクルを含み得る。
ここで記述された実施形態はさらに、自動化されたパターン忠実度アセスメント及びモニタリングのためのエリア(又はROI)生成のために構成される。ここで記述された実施形態は、半導体操作におけるホットスポットモニタリングのために、計測及び/又は検査サイトを自動的に生成するために使用され得る。
パターン忠実度及び上書きの問題は、デバイスの歩留まりのために、ますます重要になってきている。例えば、ホットスポットモニタリングのために現時点で及び以前に使用されている方法は、手作業の努力によって行われている。一つのそのような例では、所与のホットスポット位置に対して、ユーザは、エリアのサブセットを計測目的のために手作業で引かなければならない。このアプローチは有限数のサイト(例えば数十個のサイト)に対しては機能し得るが、ホットスポット内部に何十個ものサイトを有する何百個ものホットスポット位置がモニタ対象であるときには、実行可能ではない。例えば、モニタ対象のサイトの数及びパターンのタイプは増加しており、計測及び検査サイトを設定するための手作業のアプローチは十分ではない。特に、何百ものこれらのエリアを設定することは、実質的に時間が掛かり且つ非効率である。一つのそのような例では、何十個ものサイトに対して計測サイト(又は境界ボックス)を引くには1時間掛かり得る。しかし、何百個ものサイトに対してそのような計測サイトを引くことは、ユーザの疲労を引き起こし、計測項目を生成するために時間が掛かり過ぎる。したがって、現存している手作業の方法は限界を有する。さらに、SEMイメージの視覚的なレビューはしばしば、悪いパターンから良好なものを判別するためには十分ではなく、客観的な決定をするための定性化能力も欠いている。
実質的に高い数のサイトをモニタリングする必要性及びパターン忠実度定性化のためのSEMイメージの必要性が、ここで記述される新しいアプローチを促した。加えて、実質的に確で自動化された計測サイト及び測定基準の配置が、製品の開発及びモニタリングのために必要とされる。
システムは一つ又はそれ以上のコンピュータサブシステムを含み、これは、ここで記述されたコンピュータサブシステム及びコンピュータシステムの実施形態のいずれかを含み得る。一つ又はそれ以上のコンピュータサブシステムは、試料上で検出された欠陥のエリアを決定するように構成される。欠陥は、光学的検査(例えば広帯域光学検査又は光散乱に基づく検査)及び電子ビームに基づく検査(例えばSEMを用いて実行される)を含む検査によって、試料上に検出され得る。欠陥はまた、試料の物理的バージョンに対して実行される計測のような他のプロセスによっても検出され得る。しかし、欠陥は、設計がウエハ上にどのように形成されたかのシミュレーション(例えば、リソグラフィシミュレーション、エッチングシミュレーション、など)によってのような、設計に対して実行される一つ又はそれ以上のプロセスによって検出され得る。加えて、欠陥は、試料上のユーザが規定した位置であり得て、そこは、欠陥が存在しているかもしれないとユーザが疑うか、又は欠陥が存在していることをユーザが知っている位置である。
試料上で検出された欠陥のエリアの決定は、欠陥の中心の自動的な識別を含み得て、この欠陥は、物理的な試料の検査によって検出された欠陥、又はシミュレーションによって識別されたホットスポットのような、ここで記述された欠陥のいずれであっても良い。血管のエリアはそれから、欠陥の識別された中心の周囲で且つそこにセンタリングされて決定され得る。このようにして、コンピュータサブシステムは、欠陥のあるサイト及びその影響される領域の自動エリア規定のために構成され得る。欠陥のエリアは、ここで記述されるように、さらに決定され得る。
一つの実施形態では、欠陥のエリアは、その欠陥のイメージにおいて欠陥の周囲に引かれた境界ボックスによって規定される。例えば、境界ボックスは、設計空間における欠陥位置に基づいて引かれ得る。一つのそのような例では、境界ボックスは欠陥エリア(例えば欠陥性の画素)に基づいて決定されることができる。加えて、境界ボックスは、設計ルールチェック(DRC)のような技法を使用して計算されることができる。
他の実施形態では、欠陥のエリアは、欠陥の電子ビームに基づくイメージにおける欠陥の周囲に引かれた自由形状エリアによって規定される。例えば、欠陥のエリアは、SEMイメージの「しみ」又は影響されるエリアであり得る。同様な「しみ」は、光学的イメージのような他のタイプのイメージに基づいて決定され得る。自由形状エリアは、ここに記述されたコンピュータサブシステムによって且つ当該技術で既知の任意の適切な方法で、自動的に引かれ得る。
一つ又はそれ以上のコンピュータサブシステムはまた、欠陥のエリアを試料に対する設計の情報と相関させるようにも構成される。ここで記述される実施形態にて使用される試料に対する設計は、ここで記述される設計又は設計データのいずれかを含み得る。加えて、ここで記述される実施形態にて使用される設計は、自動測定エリア生成のために適した任意の設計レイアウトデータフォーマットを含み得る。これらのフォーマットは、GDS、OASIS、(KLA-Tencorから入手可能な)RDFのようなテキスト又はプロプライエタリーフォーマットのようなオープンフォーマットを含む。適切なデータフォーマット又は変換されたフォーマットは、ここで記述された自動計測/検査エリア生成器の実施形態に供給され得る。
一つの実施形態では、設計の情報は、設計の一つより多くの層のための情報を含む。例えば、設計の情報は、欠陥が検出された設計の層の上方及び/又は下方の層についての情報を含み得る。このようにして、ここに記述された機能のステップが、試料に対する設計の一つより多くの層に関して実行され得る。設計の一つより多くの層についての情報は、その他の方法で、ここに記述された設計情報のいずれかを含み得る。
他の実施形態では、設計の情報は、試料上に印刷されない設計の特性についての情報は含まない。例えば、設計の情報は好ましくは、試料のための設計データに含まれるが実際には試料上に印刷されない光学的近接補正(OPC)形状のような形状は含まない。このようにして、設計の情報は、試料上に印刷されないOPC形状及びその他の形状についての情報が含まれるかどうかよりも、設計がそのように試料上に印刷されるつもりであったかを、より正確に反映し得る。
いくつかの実施形態では、設計の情報は、設計におけるパターン化された形状についての情報を含み、相関は、検出されたエリアを設計におけるパターン化された形状で上書きすることを含む。例えば、コンピュータサブシステムは、ここでさらに記述されるようにROIを自動的に決定し且つここでさらに記述されるようにROIのための測定基準を自動的に決定するように、光学的又はSEMに基づくしみを設計の情報で上書きするように構成され得る。検出エリアを試料に対する設計の情報と相関することは、何らかの方法で測定サブシステムの検出器によって生成された出力に設計を位置合わせすることによって、実行され得る。測定サブシステムの出力を設計に位置合わせするために使用されることができる方法及びシステムの例は、2010年3月9日付けでKulkarniらに対して発行された米国特許第7,676,077号に記述されており、その内容は、あたかもここに完全に提示されているかのように参照によって援用される。欠陥のエリアを設計の情報と相関することもまた、設計における欠陥のエリアの設計データ空間座標を決定することも含み得る。
一つの実施形態では、設計の情報は、設計に基づくが欠陥には基づかずに、一つ又はそれ以上のコンピュータサブシステムによって決定された設計における追加のROIのための情報を含む。このようにして、追加のROIは「未監督ROI」と称され得て、追加のROIは設計のみに基づいて決定され、欠陥情報のような任意の他の情報によって「監督」又は変更されない。例えば、コンピュータサブシステムは、設計レイアウトファイルを使用して所与の位置に基づいて自動的にROIを生成するように構成され得る。未監督モードでは、重要な領域は設計モードに基づいて決定され得る。加えて、追加のROIは、未監督モードにおけるポリゴンのグループで最も弱い点に対して、自動的に識別され得る。ROIタイプは、スペース、ライン、又は隣接のパターンに関連付けられたサイトを含みえるが、これに限定されるものではない。加えて、コンピュータサブシステムによって実行された追加のROIは、上書き測定基準に対する自動サイト選択を含み得る。
追加のROIは、ここでさらに記述されるもののような一つ又はそれ以上のいくつかの設計分析ツール(又はそれらの組み合わせ)を使用して、自動的に決定されることができる。ここでさらに記述される設計分析ツールは、現時点ではこのようには使用されていないが、ツール及びデータフローは、ここでさらに記述されるように自動化された計測サイトの生成を実行するように改変されることができる。したがって、ここで記述された実施形態は、以前には自動化が試みられてはいなかった基本的に新しいアプローチを提供する。例えば、ここで記述された実施形態に対する代替案として、ユーザには、所与のホットスポットに対する対象エリアを手作業で引くことを可能にするツールを提供し得る。しかし、これは実質的に時間が掛かるプロセスであり、実質的に誤りがちである。
他の実施形態では、設計の情報は、欠陥ではなく設計に基づいて決定されるパターンマッチングによって一つ又はそれ以上のコンピュータサブシステムによって決定される追加のROIのための情報を含む。例えば、物理的パターンマッチングは、設計におけるポリゴンについてのいくつかの情報を、設計における他のポリゴンについての情報にマッチングすることを含み得る。そのようなマッチングは、ここでさらに記述されるように実行され得る。追加のROIのための情報はそれから、パターンマッチングの結果に基づいて、任意の適切な方法で決定され得る。
追加の実施形態では、設計の情報は、欠陥ではなく設計に基づいて実行される配置マッチングによって一つ又はそれ以上のコンピュータサブシステムによって決定される追加のROIのための情報を含む。例えば、配置的パターンマッチングは、一つ又はそれ以上のルールを使用して、配置に基づく設計のある特性の例を見出すことを含み得る。一つ又はそれ以上のルールは、当該技術で既知の任意の適切なフォーマットを有し得て、且つ当該技術で既知の任意の方法で生成又は獲得され得る。配置に基づく特性は、ある形状を有する配置、又は特定の寸法、間隔、周期、方向、などを有するあるタイプの配置のような、試料に対する設計の任意の配置に基づく特性を含み得る。追加のROIに対する情報はそれから、配置のマッチングに基づいて、既知の任意の方法で決定され得る。
いくつかの実施形態では、設計の情報は、欠陥ではなく設計のためのセル情報に基づいて一つ又はそれ以上のコンピュータサブシステムによって決定される設計における追加のROIのための情報を含む。追加のROIは、セル内部のポリゴン又は構造についてのいくつかの情報を使用して追加のROIを識別することによって、セル情報に基づいて決定され得る。セル情報は、ここで記述される設計のいずれかに含まれるか又はそこから獲得され得る。追加のROIについての情報はそれから、セル情報に基づいて任意の適切な方法で決定され得る。
他の実施形態では、設計の情報は、欠陥ではなく設計のためのグラフィック表現のイメージ処理に基づいて一つ又はそれ以上のコンピュータサブシステムによって決定される設計における追加のROIのための情報を含む。設計情報は、任意の適切な方法で設計のグラフィック表現に変換され得て、グラフィック表現は任意の適切なフォーマットを有し得る。イメージ処理はそれから、グラフィック表現に対して実行され得て、特定のイメージ特性を有するグラフィック表現のある部分を識別する。追加のROIについての情報はそれから、グラフィック表現に基づいて任意の適切な方法で決定され得る。
コンピュータサブシステムはまた、パターンマッチング、配置マッチング、セル情報、及びイメージ処理のようなここで記述された技法の2つ又はそれ以上の組み合わせを使用してROIを規定するようにも構成され得る。
一つの実施形態では、設計の情報は、欠陥ではなく設計のためのホットスポット情報に基づいて決定される設計における追加のROIのための情報を含む。ホットスポット情報は、当該技術で既知の任意の適切な方法で生成又は獲得され得る。ホットスポット情報を生成又は獲得するために使用されることができる方法及びシステムの例は、2010年3月9日付けでKulkarniらに対して発行された米国特許第7,676,077号に記述されており、その内容は、あたかもここに完全に提示されているかのように参照によって援用される。追加のROIのための情報はそれから、ホットスポット情報に基づいて任意の適切な方法で決定され得る。
さらなる実施形態では、設計の情報は、欠陥ではなく設計におけるホットスポット情報に基づいて決定される設計における追加のROIのための情報を含み、一つより多くの追加のROI情報は、ホットスポットの一つのみに対して決定される。図18は、一つより多くの追加のROIを含むホットスポットのある実施形態を示す。例えば、図18に示されるように、ホットスポット1800は、2つの幅がより広い形状1804の間の比較的狭いスペース1802を含み得る。したがって、スペース1802はCDにおける限界スペースであり得る。ホットスポット1800はまた、ホットスポットにおける他の形状から間隔を空けている比較的狭いスペース1806を含み得る。そのため、ライン1806はCDにおける限界スペースであり得る。したがって、ホットスポットは、少なくとも3つの追加のROIを含み得る。一つの追加のROI1808は、ちょうどスペース1802に対して生成され得る。他の追加のROI1810は、ちょうどライン1806に対して生成され得る。追加のROIは、ここで記述される実施形態のいずれかにしたがって決定され得る。
ここでさらに記述されるように、コンピュータサブシステムは、追加のROIを自動的に決定するように構成され得る。したがって、ここで記述される実施形態の一つの効果は、ホットスポットのサブエリア(又は設計における弱いスポットと称される何か)が、計測モニタリングの目的のために自動的に識別されることができるということである。言い換えると、ここで記述される実施形態は、設計における潜在的に弱い又は最も弱いサイトの監督されないモニタリングのために構成され得る。モニタされることを必要とし得る所与のホットスポットに対して何十ものエリアがあり得て、ここで記述されるコンピュータサブシステムは、これらのエリアを識別するために自動化されたアルゴリズムに基づくアプローチを実行するように構成され得る。
一つ又はそれ以上のコンピュータサブシステムはさらに、相関結果に基づいて、欠陥のエリアと設計の情報との間の空間的関係を決定するためにも構成される。いくつかの実施形態では、設計の情報は、欠陥ではなく設計に基づいて決定される設計における追加のROIのための情報を含み、空間的関係の決定は、追加のROIのうちのどれが欠陥のエリアと空間的にオーバーラップするかを決定することを含む。例えば、欠陥のエリアがひとたび設計情報と相関されると、設計情報のどの要素又はどの追加のROIが欠陥のエリアと(少なくとも空間的に)オーバーラップするか、設計情報のどの要素又はどの追加のROIが欠陥のエリアに最も近いか、設計の一つ又はそれ以上の要素と欠陥のエリアとの間の一つ又はそれ以上の距離、一つ又はそれ以上の追加のROIの要素と欠陥のエリアとの間の一つ又はそれ以上の距離などのような空間的関係が、決定され得る。空間的関係は、例えば、設計情報のどの要素又はどの追加のROIが欠陥のエリアと(少なくとも空間的に)オーバーラップするか又は欠陥のエリアに最も近いか、欠陥のエリアと設計情報の一つ又はそれ以上の形状あるいは一つ又はそれ以上の追加のROIとの間の一つ又はそれ以上の距離などを示す一つ又はそれ以上の識別子のような、任意の適切なフォーマットで表現され得る。
一つ又はそれ以上のコンピュータサブシステムはさらに、空間的関係に基づいて、測定サブシステムを用いて試料に対して実行されるプロセスの間に、測定対象のROIを自動的に生成するためにも構成される。このようにして、コンピュータサブシステムによって実行されるステップのための入力データは、設計レイアウト、欠陥位置(例えば失敗したパターン位置)、ホットスポット、既知又は未知の位置、及び設計情報に対して実行されるプロセス(例えばイメージに基づくアルゴリズム)であり得て、それから、測定又は対象のエリアが自動的に識別及び生成され得る。そのため、コンピュータサブシステムは監督されたモードを実行するように構成され得て、そこでは、欠陥、失敗したパターンのサイト、又は所期の位置に基づいてROIが自動的に決定される。ここで記述されるROIは、したがって「監督された」ROIと称され得る。このようにして、ここで記述される実施形態は、検査に導かれた計測(又はここで記述される他のプロセス)のためにROIを決定するために、及びオプションとして検査に導かれた計測(又はここで記述される他のプロセス)を実行するように構成され得る。監督されたROIのタイプは、スペース、ライン、及び隣接したパターンに関連付けられたサイトを含むが、これらに限定されるものではない。したがって、ここで記述された実施形態の出力は、測定及び/又は検査される必要があるROI又はモニタ領域を含み得る。これらの出力はそれから、ここで記述された操作の一つ(例えば、計測、検査、又はレビュー操作)を実行するように変換され得る。ROI生成ステップの結果は、その試料又は他の試料にてROIを識別するために使用されることができる任意の情報(例えば、ROI名称、数又は他の識別子、ROIの設計又は試料座標、など)を含み得る。
一つの実施形態では、ROIの自動生成は、欠陥のエリアと空間的にオーバーラップする追加のROIの一つを、欠陥のために測定すべきROIとして選択することを含む。図15は、そのようなROIの自動生成の一つの実施形態を描く。例えば、図15に示されるように、設計クリップ1500のような設計の情報は、コンピュータサブシステムへの入力であり得る。図15に示されるように、設計クリップは、設計における形状を現す多数の異なるポリゴンを含み得る。設計クリップ1500に示される影が付けられたポリゴンは試料上に形成された形状を表し、影が付けられていないポリゴンは形状の間の空間を表す。
コンピュータサブシステムは、設計クリップ内の情報(及び恐らくは設計クリップそれ自身)を使用して、既知のパターン忠実度計測基準に基づいて追加のROIをあらかじめ規定し得る。言い換えると、任意の所与のプロセスにて実行され得る測定のタイプは、そのような測定のための潜在的な候補に対する設計情報をサーチするために使用され得る。例えば、設計クリップ情報に基づいて、ライン端プルバック、幅、スペース、中心などに基づくROIが、コンピュータサブシステムによって前もって規定され得る。一つのそのような実施形態では、測定タイプが幅測定を含むならば、そのときには設計情報は、ある値より低い幅を有するように設計された形状をサーチし得て、このことはそれらが幅の広い形状よりも欠陥、例えば開放となり易くする。図15に示されている実施形態では、数多くの異なるROIがそのような計測基準に基づいて決定され得る。これらのROIは、例えばライン幅プルバックROI1502、幅ROI1504、スペースROI1506、及びコーナROI1508を含み得る。ROIはROI決定を監督する任意の他の情報無しに設計に基づいて決定され得るので、ROIは未監督であり得る。
一つの実施形態では、欠陥のエリアは、欠陥の電子ビームに基づくイメージ(例えばさらにここに記述されるようなSEMのしみ)において欠陥の周囲に引かれた自由形態エリアによって規定され、設計の情報は欠陥ではなく設計に基づいて決定された設計における追加のROIに対する情報を含み得て(ここで記述されたそのような情報のいずれかを含み得る)、空間的関係の決定は、追加のROIのうちのどれが欠陥のエリアと空間的にオーバーラップするか又はそれに最も近いかを決定することを含み(これはさらにここで記述されるように実行され得る)、ROIの自動生成は、欠陥のエリアと空間的にオーバーラップするか又はそれに最も近い追加のROIの一つを、その欠陥について測定されるべきROIとして選択又は優先順位付けすることを含む。このようにして、最も可能性のある欠陥サイトが測定されるように、潜在的な計測サイトがSEM位置(しみ)に基づいて選択又は優先順位付けされ得る。例えば、ROIについての情報は、試料の検査又はシミュレーション又はSEMのしみからの欠陥性エリアのような、ここで記述された欠陥のエリアの一つと組み合わせられ得る。一つのそのような例では、図15に示されるように、SEMのしみ1510は上述のROI、例えばROI1502、1504、1506、及び1508についての情報と重ねられ得る。図15にさらに示されるように、SEMのしみがその上に重ねられる設計の情報は、ROIについての情報は含み得るが、設計クリップに対するパターン化された形状についての情報は含まない。しかし、SEMのしみがその上に重ねられる設計の情報はまた、設計クリップ1500に示されているもののようなパターン化された形状についての情報も含み得る。SEMのしみに空間的にオーバーラップしているか又はそれに最も近いROIはそれから、そのROIに対して実行されるプロセス(例えば計測、検査など)におけるSEMのしみに対応する欠陥について使用されるべきROIとして、選択又は優先順位付けされ得る。例えば、図15に示されるように、SEMのしみ1510は幅ROI1504の一つとオーバーラップしているので、そのROIは、SEMのしみに対応する欠陥について実行されるべき測定として選択又は優先順位付けされ得る。したがって、そのROI1504のみについての情報が、ここでさらに記述されるものの一つのようなプロセスにおける使用のために、ROI情報1512としてエクスポートされ得る。しかし、そのプロセスが監督されないROIに対して実行される場合には、全てのROIについての情報が、同ようにプロセスにおける使用のためにエクスポートされ得る。
他の実施形態では、ROIの自動生成は、欠陥のエリアと設計の情報との間の空間的関係に基づいて欠陥のエリアを変更して、欠陥の変更されたエリアをROIとして指定することを含む。例えば、コンピュータサブシステムは、所定の位置と設計レイアウトとの間の相互作用を利用することによって、ROIを自己サイズ化(修正)するように構成され得る。一つのそのような実施形態では、測定サイトは、設計レイアウトを重ねることによって自己修正されることができる。例えば、コンピュータサブシステムは、潜在的な欠陥点に設計レイアウトにおける線又は空間を重ねることによって自己修正するように構成され得る。欠陥又は所定のサイトに基づいて、計測エリアは設計に基づいて自動的に修正されることができる。
一つの実施形態では、設計の情報は設計におけるパターン化された形状についての情報を含み、ROIの自動生成は、欠陥のエリアと欠陥のエリアに隣接するパターン化された形状とに基づくROIのエリアの決定を含む。欠陥のエリアに隣接するパターン化された形状は、欠陥のエリアと(部分的に又は完全に)オーバーラップする、欠陥のエリアから間隔を空けているが隣接する、欠陥のエリアに最も近いパターン化された形状である、欠陥のエリアの周囲にある、などのパターン化された形状を含み得る。例えば、ここで記述された実施形態は、計測サイトの配置を自動的に決定できるだけではなく、欠陥位置とその直ぐ周囲にあるポリゴンとに基づいて計測エリアのサイズを変更できる。基本的に、検査はパターン変動又はその他の欠陥を同定するために使用され、SEM又はその他の測定サブシステム出力は欠陥の正確な位置を改良するために使用され、計測エリアは、ポリゴン自身のライン、スペースなどの正確な寸法に基づいて計測サイトが最適化される設計を考慮に入れることによって改良される。そのようなROIのエリアの決定は、ここで記述されるようにさらに実行され得る。
他の実施形態では、設計の情報は設計におけるパターン化された形状についての情報を含み(これは、記述されたような情報のいずれかを含み得る)、ROIの自動生成は、欠陥あるいは欠陥の近傍にあるパターン化された形状の一つ又はそれ以上のエリアの最小値に基づいて、ROIのエリアを決定することを含む。例えば、ここに記述された実施形態は、計測サイトのサイズを、欠陥エリアあるいは欠陥の近傍におけるポリゴン又は空間の最小値に変更するように構成され得る。そのような計測サイトのサイズの変更は、ここで記述されるようにさらに実行され得る。
図16は、ROIサイズの自己修正の一つの実施形態を描いている。例えば、ここで記述されたような試料の検査は、位置1600においてブリッジ欠陥を検出し得る。エリア1602がそれから、その欠陥に対して決定され得る。この場合、このエリアは、設計空間における欠陥位置に基づいて決定された周囲ボックスによって規定され得る。周囲ボックスは、ここで記述されるように決定されることができる。周囲ボックスにはそれから、設計の情報が重ねられることができる。例えば、図16に示されるように、周囲ボックスには設計クリップ1604が重ねられることができる。この設計クリップでは、影が付けられていないエリアが設計クリップに含まれるパターン化された形状に対応し、影が付けられたエリアがパターン化された形状の間のスペースに対応する。ブール関数操作がそれから実行され得て、欠陥のエリアのサイズを、パターン化された形状の間のエリアがオーバーラップするスペースの幅に合うように自己修正する。例えば、図16に示されるように、エリア1602のサイズは、エリアのサイズを、その上及び下のパターン化された形状の間のスペースを越えないように低減することによって、エリア1606のサイズまで自己修正され得る。このようにして、設計情報を「カッター」として使用することによって、欠陥のエリアが、測定のエリアを正確に規定するように自己修正され得て、これはそれから、ここに記述されるプロセスにおける欠陥に対するROIとして使用されることができる。
図17は、ROIサイズの自己修正の一つの実施形態を描いている。例えば、ここで記述されたような試料の検査は、位置1700において開放欠陥を、及び位置1702においてライン端ショート欠陥を、検出し得る。エリア1704がそれから位置1700における欠陥に対して決定され得て、エリア1706が位置1702における欠陥に対して決定され得る。この場合、これらのエリアは、設計空間における欠陥位置に基づいて決定された周囲ボックスによって、各欠陥位置に対して別個に規定され得る。周囲ボックスは、ここで記述されるように決定されることができる。周囲ボックスにはそれから、設計の情報が重ねられることができる。例えば、図17に示されるように、周囲ボックスには設計クリップ1704が重ねられることができる。この設計クリップでは、影が付けられていないエリアが設計クリップに含まれるパターン化された形状に対応し、影が付けられたエリアがパターン化された形状の間のスペースに対応する。ブール関数操作がそれから実行され得て、欠陥のエリアのサイズを、それらが空間的にオーバーラップするパターン化された形状の幅に合うように自己修正する。例えば、図17に示されるように、エリア1704のサイズは、エリアのサイズを、それが空間的にオーバーラップしているパターン化された形状を越えないように低減することによって、ROI1712のサイズまで自己修正され得る。加えて、図17に示されるように、エリア1706のサイズは、エリアのサイズを、それが空間的にオーバーラップしているパターン化された形状を越えないように低減することによって、ROI1714のサイズまで自己修正され得る。このようにして、設計情報を「カッター」として使用することによって、欠陥のエリアが、測定のエリアを正確に規定するように自己修正され得て、これはそれから、ここに記述されるプロセスにおける欠陥に対するROIとして使用されることができる。
一つの実施形態では、設計の情報は、欠陥ではなく設計に基づいて一つ又はそれ以上のコンピュータサブシステムによって決定される設計における追加のROIについての情報を含み、一つ又はそれ以上の追加の領域がプロセスの間に測定される。例えば、ここにさらに記述されるように、コンピュータサブシステムは、追加のROIが設計情報のみに基づいて決定される未監督のROIに対して構成され得る。加えて、コンピュータサブシステムは、ROIが欠陥情報と組み合わせられた設計情報に基づいて決定される監督のされたROIに対して構成され得る。このようにして、既知の欠陥性のエリア(監督された)及び全ての潜在的な弱いサイト(未監督の)に対する両方の位置が、自動的に識別されることができる。両タイプのROIが、試料上で実行される同じプロセスで測定されることができる。いくつかのそのような例では、ROI及び追加のROIについての情報は同じ指標(例えばタグ、IDなど)を含み得て、これが、任意の所与のROIが監督されたROIであるか又は未監督のROIであるかど決定するために使用されることができる。
ROI及び追加のROIは、ROI自身に基づく任意の適切な方法で測定され得る。例えば、コンピュータサブシステムは、ROIならびに追加のROIで実行されるべき測定の一つ又はそれ以上のパラメータを、独立に且つ別個に決定するように構成され得る。一つのそのような例では、ROIに対する測定のパラメータが、恐らくは欠陥についての情報との組み合わせで、そのROIに近接した設計の部分に対する情報に基づいて決定され得る一方で、追加のROIに対する測定のパラメータは、追加のROIに近接した設計の部分に対する情報のみに基づいて決定され得る。このようにして、ROIに対して使用される測定のパラメータは、追加のROIに対して使用される測定のパラメータとは異なり得る。
他の実施形態では、一つ又はそれ以上のコンピュータサブシステムは、測定サブシステムを用いたプロセスの間にROIにて実行される一つ又はそれ以上の測定の一つ又はそれ以上のパラメータを自動的に決定するように構成される。例えば、コンピュータサブシステムは、設計レイアウト及び設計を意識したエリアを使用して測定タイプ(又は測定方法)を識別し得る。加えて、コンピュータサブシステムは、計測基準又は各ROIをどのように測定するかを自動的に設定するように構成され得る。コンピュータサブシステムはまた、全ての選択されたROIに対する測定を自動的に実行するために、それぞれのROIへの計測基準の割り当てのためにも構成され得る。一つ又はそれ以上のパラメータは、測定方向、測定タイプなどのような測定の任意のパラメータを含み得る。パラメータが一つより多くのROIに対して決定されると、ROIは、それらの測定タイプ(又は測定方法)に基づいて自動的にグループ化され得て、インデックス(例えばID)が各タイプに対して生成され得る。例えば、2つのROIが同じ測定タイプを使用して測定されるならば、これら2つのROIは一緒に且つ他のROIからは別にグループ化され得て、他のROIは異なるROIセットにグループ化され得る。このようにして、ここで記述された実施形態は、ROIを識別するためのサーチ(例えば設計、光学的イメージ、SEMイメージ、パターンマッチング、配置的サーチ、セル情報など)及びそのROIの計測基準の自動生成のためのROIタイプへの割り当てのために、技法の組み合わせを使用し得る。
一つの実施形態では、プロセスは、試料上に実行される製造プロセスのインライン・モニタリングの間に、試料上に実行される。加えて、このシステムは、ここに記述されたROIに基づいてプロセスを実行するように構成され得る。このようにして、ここに記述された実施形態は、ROIを識別し且ここにさらに記述されるプロセスの一つのようなプロセスをROIにて実行するための自動化されたプロセスを実行するように構成され得る。そのため、ここに記述された実施形態は、パターン忠実度及び変動の完全に自動化された設定(例えばモニタするエリアの特定など)及び定性化のために構成され得る。したがって、ここに記述された実施形態は、半導体処理環境でのパターン忠実度のモニタリングにおける全体フローのキーとなる部分を可能にする。データはまた、一つ又はそれ以上のアルゴリズム及びツールプラットフォームを使用して、各ステップでチューニングされることができる。
他の実施形態では、プロセスは計測プロセスを含み、システムは計測ツールとして構成される。このようにして、ここで記述されたように特定されたROIは、自動的に特定される計測サイトを含み得る。計測プロセス及び計測ツールは、ここで記述されるようにさらに構成され得る。
追加の実施形態では、プロセスは検査プロセスを含み、システムは検査ツールとして構成される。このようにして、ここで記述されたように特定されたROIは、自動的に特定される検査サイトを含み得る。検査プロセス及び検査ツールは、ここで記述されるようにさらに構成され得る。例えば、図1及び図2に示されるシステムは、システムの一つ又はそれ以上のパラメータを変えることによって、計測よりも検査のために構成され得る。特に、図1及び図2に示されるシステムは、計測のために使用されるよりも低い解像度、及び/又は計測のために使用されるよりも高いスピードで、試料をエネルギーで走査し、検出されたエネルギーに応じた出力を生成するように構成され得る。図1及び図2に示されるシステムの解像度、スピードなどのパラメータを変えることは、当該技術で既知の任意の適切な方法で実行され得る。このようにして、図1及び図2に示されるシステムは、比較的短い時間期間で試料の実質的な部分に対する出力を生成するように構成され得て、それはそれから、一つ又はそれ以上のコンピュータサブシステムによって、任意の適切な方法で(例えば、当該技術で既知の任意の適切な欠陥検出アルゴリズムを含み得る一つ又はそれ以上の欠陥検出アルゴリズムを出力に適用することによって)、試料上の欠陥を検出するために使用されることができる。検査プロセス及び検査方法は、試料の光に基づく検査、試料の電子ビームに基づく検査、又はその他の帯電粒子に基づくシステムの検査のために構成され得る。特に、さらにここで記述されるように、図1及び図2に示されるシステムは、光、電子、又はその他の帯電粒子を用いて試料に対する出力を生成するように、構成され得る。
さらなる実施形態では、プロセスは検出レビュープロセスを含み、システムは検出レビューツールとして構成される。プロセスはまた、当該技術で既知の任意の他の試料分析プロセスも含み得る。検出レビュー又はその他の分析プロセス及びツールは、ここで記述されるようにさらに構成され得る。例えば、図1及び図2に示されるシステムは、システムの一つ又はそれ以上のパラメータを変えることによって、計測よりも検出レビューのために構成され得て、これは、図1及び図2に示されるシステムを検査のために変更する代わりに、変更がシステムを検出レビュー又はその他の分析のために適するようにするために実行され得る点を除いて、上記でさらに記述されたように実行され得る。検出レビュー又はその他の分析プロセス及びツールは、試料の光に基づく検出レビュー又はその他の分析、試料の電子ビームに基づく検出レビュー又はその他の分析、又はその他の帯電粒子に基づくシステムの検出レビュー又はその他の分析のために構成され得る。特に、さらにここで記述されるように、図1及び図2に示されるシステムは、光、電子、又はその他の帯電粒子を用いて試料に対する出力を生成するように、構成され得る。
他の実施形態は、試料上にて実行されるプロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法に関する。この方法は、上述したエリアの決定、エリアの相関、空間的関係の決定、及びROIステップの自動生成のステップを含む。
この方法のステップの各々は、ここでさらに記述されたように実行され得る。この方法はまた、ここで記述された測定サブシステム及び/又はコンピュータサブシステム又はシステムによって実行されることができる任意の他のステップを含み得る。エリアの決定、エリアの相関、空間的関係の決定、及びROIステップの自動生成は、一つ又はそれ以上のコンピュータシステムによって実行され、これはここで記述された実施形態のいずれかにしたがって構成され得る。一つ又はそれ以上のコンピュータサブシステムが、ここでは測定サブシステムを含むシステムの一部であるとして記述されているが、一つ又はそれ以上のコンピュータサブシステムはまた、計測、検査、レビュー、又は試料の物理的バージョンを取り扱い且つ試料の物理的バージョンにて測定を実行する能力を含むその他のシステムの一部ではないスタンドアローンのコンピュータサブシステムとしても、構成され得る。加えて、上述された方法は、ここで記述されたシステム実施形態のいずれかによって実行され得る。
追加の実施形態は、試料にて実行されるプロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法を実行するために、コンピュータシステム上で実行可能なプログラム命令を記憶している非一時的なコンピュータ読み取り可能媒体に関する。この実施形態は、ここでさらに記述されるように且つ図14に示されるように、構成され得る。このコンピュータ実行形の方法は、ここで記述されたいずれかの方法の任意のステップを含み得る。
いくつかの実施形態では、検出器によって生成された出力は試料のイメージを含み、試料上の異なるエリアに対して検出器によって異なるイメージが生成され、試料上の複数のパターン化された形状は異なるイメージにイメージングされる。検出器は、ここにさらに記述されるように、試料の異なるエリアに対するイメージを生成し得る。複数のパターン化された形状は、レチクル及びウエハのような試料上に形成されるように設計される任意のパターン化された形状を含み得る。例えば、ここにさらに記述されるように、複数のパターン化された形状はコンタクト又はライン/エリア対を含み得る。
一つのそのような実施形態では、一つ又はそれ以上のコンピュータサブシステムは、異なるイメージにて複数のパターン化された形状を自動的に位置決めし、異なるイメージにて位置決めされた複数のパターン化された形状の一つ又はそれ以上の特性を決定し、異なるイメージにて位置決めされた複数のパターン化された形状に対して決定された一つ又はそれ以上の特性の一つ又はそれ以上の統計値を決定するように構成される。例えば、ここに記述された実施形態は、イメージ処理ツール上のアルゴリズムを使用して実行され得て、SEMレビューイメージ、ならびにこれらのイメージにおけるパターン化された形状の決定されたCD又はその他の特性の出力測定及び統計値を読み出す。イメージは、コンタクト又はライン・スペースパターンのような定量化されるべきパターンタイプ及び/又は欠陥タイプに応じて、いくつかの方法のいずれかを使用して処理され得る。いくつかの実施形態がここではCDに関して記述されているが、CDが、パターン化された形状の任意の他の適切な特性で置き換えられ得ること、ならびに実施形態がここで記述されたものと同じように機能することができることを、理解されたい。
異なるイメージにて複数のパターン化された形状を自動的に位置決めすることは、ここでさらに記述されるように(イメージにおけるパターン化された形状を参照におけるパターン化された形状におけるイメージと位置合わせすることによって)、あるいは任意の他の方法で、実行されることができる。異なるイメージにて位置決めされた複数のパターン化された形状の一つ又はそれ以上の特性は、ここでさらに記述されるように、あるいは当該技術で既知の任意の他の適切な方法で、決定され得る。一つ又はそれ以上の特性の一つ又はそれ以上の統計値の決定は、ここでさらに記述されるように、あるいは当該技術で既知の任意の他の適切な方法で、実行され得る。一つ又はそれ以上の統計値は、中心値、又はメジアン、標準偏差、平均などのような任意の他の適切な統計値のような、ここで記述された統計値のいずれかを含み得る。
一つのそのような例では、イメージは連続的に読まれ得る。イメージ処理アルゴリズムは、対象の任意の形状を自動的に位置決めし得る。例えば、イメージにおける各コンタクトの位置、又は線及び空間の各対の位置は、コンピュータサブシステムによって自動的に位置決めされ得る。アルゴリズムはそれから、各形状のCDを測定し得て、且つ各イメージについての結果を表示し得る。イメージの全てを定量化し終わると、CD測定の詳細な統計値は、ユーザによるさらなる分析のために保存され得る。コンタクトアレイの例では、測定されるべき量は、イメージにおける各コンタクトのX及びYの直径、ならびに全てのコンタクトの間の距離(すなわちセルサイズ)であり得る。コンピュータサブシステムはそれから、イメージにおけるコンタクトの一つを通したイメージ強度プロファイルを生成し得て、これからコンタクトホールの直径が測定されることができる。例えば、一つのコンタクトホールに対するイメージにおけるグレーレベルが、そのコンタクトホールのある直径における位置の関数としてプロットされることができる。プロファイルの半値全幅(FWHM)がそれから、そのコンタクトホールの直径に対して測定及び決定されることができる。
ここで記述された実施形態は実質的にフレキシブルであって、新しいパターンタイプ又は制御量が望まれるときには、新しいアルゴリズムが容易に追加されることができる。任意の関連する測定されたパターン属性と共に、イメージがユーザに表示される。これらの測定及び属性はそれから、さらなる使用のために保存され得る。イメージは新しい欠陥属性によって分類されることができて、結果として得られる統計値の分布は、初期の光学的検査の結果ファイルに組み込まれることができる。
いくつかの実施形態では、異なるエリアは、試料上で検出され欠陥レビューのために選択された欠陥が位置する試料上のエリアを含み、異なるイメージが、欠陥に対して実行される欠陥レビュープロセスの間に測定サブシステムによって生成される。例えば、ウエハ検査の間に、欠陥が試料上で検出され得る。欠陥のサンプルがそれから、欠陥レビューのために選択される。欠陥レビューは、欠陥が位置決めされる資料上のエリアのみで、試料のイメージを獲得することを伴う。したがって、欠陥レビューでは、一連のイメージが試料上の離散した位置で、一度に一つの欠陥位置で、サンプル内に含まれる各欠陥に対して、獲得される。ここで記述された実施形態は、これらのイメージを、異なるイメージにおけるパターン化された形状の一つ又はそれ以上の特性を決定するために、さらにここで記述されるように使用し得る。そのため、ここで記述された実施形態は、イメージにおけるパターン化された形状の特性を決定するために、試料上で以前に検出された欠陥の位置のみにて欠陥レビューの間に生成されたイメージのみを(任意の追加のイメージ生成又は獲得無しに)使用し得る。
一つの実施形態では、複数のパターン化された形状がコンタクトを含む。コンタクトは、試料上に任意のタイプのデバイスを製造するために使用される任意のタイプの設計における任意のタイプのコンタクトを含み得る。他の実施形態では、測定サブシステムは電子ビーム顕微鏡として構成され得る。このようにして、ここで記述された実施形態は、SEM又は他の適切な電子ビーム顕微鏡によって生成されたイメージを使用してコンタクトを生成するように構成され得て、これはここでさらに記述されるように構成され得る。いくつかの実施形態では、一つ又はそれ以上の特性はコンタクトの限界寸法(CD)を含む。コンタクトのCDは、コンタクトの直径又はコンタクトの任意の他の適切なCDを含み得る。
一つのそのような例では、光学的欠陥発見装置によって見つけられた欠陥は、実質的に高解像度のSEMレビューツールを使用してレビューされる。これらのレビューイメージでは、欠陥サイズ及び他のイメージ形状及びCDを実質的に正確に測定することが可能である。ここで記述された実施形態は、ユーザが、実質的に多数のそのようなSEMレビューイメージを効率的に走査し、これらのイメージ内部の多くのCDを自動的に測定し、光学的検査ツールにフィードバックを与えることを可能にする。例えば、数百個のコンタクトを含むSEMイメージにおいて、全てのコンタクトの直径を測定して処理ステップの一致性を定量化することができて、同ようにコンタクトの全ての間の距離を測定して、二重の又は複数のパターニングプロセスステップの場合の重なりの正確さを定量化することができる。二重の又は複数のパターニングステップは、一般的には、異なるプロセスステップにおける試料上の単一層の異なる部分を印刷することを伴う。したがって、一つのプロセスステップにて試料上の単一層に印刷された第1の形状の位置決めと他のプロセスステップにて試料上の同じ層に印刷された第2の形状の位置決めとの相対関係が、ここで記述された実施形態を使用して、効率的に且つ正確に決定され得る。ここで記述された実施形態は、初期の光学的欠陥発見装置における結果に対する時間を、顕著に短縮する。
特定の例では、ここで記述された実施形態は、プログラムされた欠陥を有するコンタクト層に適用された。全てのコンタクト直径が測定され、散乱プロットにプロットされた。具体的には、一つのイメージにおける全てのコンタクトのX及びY直径の散乱プロットが生成された。収縮されたコンタクトからなるプログラムされた欠陥は、容易に目立つ。例えば、コンタクト直径の「クラウド」のサイズは、コンタクトサイズの正確さ及び反復性に関する直ちに視覚的なフィードバックを与える。任意の統計的な外れ、例えば収縮されたコンタクトは、何らかの方法で、例えば赤のような色でマークされることができて、工学的な検査で欠陥として検出されることができる。通常のコンタクトの直径の広がり(標準偏差)は、欠陥サイズが自然に生じるプロセス変動の外側で検出可能である限界を設定する。加えて、1000個のイメージにおける全てのコンタクトサイズのヒストグラム分布が生成された。10万回の測定が、実質的に短い時間内に実質的に正確に実行されることができる。
SEMレビューは、典型的には検査ツールによって先に検出された欠陥の定量化のために使用されてきた。ここで記述される実施形態は、欠陥検出プロセスの一体化された部分として、SEMレビューイメージにおける実質的に多数のコンタクト直径及びセルサイズのような形状及びCDの自動化された測定を提供する。そのような能力は、プロセス制御、光学的検査ツールの欠陥検出プロセスへのCD測定情報のフィードバックにおいて、有益である。この能力は、SEMイメージにおける多くの異なる形状の測定に拡張されることができる。この能力は、欠陥検出プロセスの結果に対する時間を実質的に短縮する。
ここで記述された実施形態とは対照的に、潜在的に欠陥性のエリアの比較的多数のSEMイメージが、ウエハ上の多くの位置から収集され得る。アプリケーション技術者はそのとき、これらのイメージの各々をコンピュータスクリーン上に表示してイメージ内に欠陥が存在するかどうかを決定し得る。欠陥のサイズをその周囲にボックスを引くことによって測定し、両方の寸法における画素を数えることは直接的ではあるが、多くの(何百という)形状のCDをこの方法で測定することは実用的ではない。典型的には、測定は画素の整数倍の精度のみで行われる。これより、CDの統計値は離散的なままであって、あまり正確ではない。
したがって、上述された現時点で使用される方法は、ここで記述された実施形態に対して数多くの欠点を有する。例えば、SEMイメージの手作業での分類は実質的に労力及び時間が掛かり過ぎる。設計ルールが縮小するにつれて、所与の層に対するプロセス変動が、決定的な変数になる。割り当てられた時間内で、技術者は、一つのイメージ又は1セットのイメージにおける実質的に限られた数のCDの測定しか行うことができない。測定の正確さはユーザに依存し得て、イメージのノイズが存在すると、これらの測定は主観的になり得て且つ繰り返すたびに変動し得る。
さらなる実施形態では、複数のパターン化された形状がライン及びスペースの対を含む。例えば、垂直のライン・スペースパターンを有するイメージは、溝に沿った全ての画素でライン間隔を測定することによって検査され得る。ライン・スペースパターンに対して決定された寸法の散乱プロットが生成され得る。そのような散乱プロットを使用することで、上述されたように、任意の外れのライン・スペースが特定されることができる。溝深さ/コントラストの他のプロットが、平均幅、最小深さ、最大深さ、最小幅、及び最大幅のような溝の幅又は深さの様々な統計値に対する溝幅の関数として生成され得て、これは、実的に多数のイメージ、例えば100個のイメージにおけるライン・スペースに対して測定された寸法に基づいて決定され得る。
追加の実施形態では、一つ又はそれ以上のコンピュータサブシステムがさらに、決定された一つ又はそれ以上の統計値に基づいて複数のパターン化された形状を自動的に分類するように構成される。例えば、ここに記述される実施形態は、SEMレビューイメージを使用した自動コンタクト分類のために構成され得る。コンタクトは、一つ又はそれ以上の統計値に基づいて、欠陥(例えば、収縮されたコンタクト、拡張されたコンタクト、配置が誤ったコンタクトなど)として、又は何らかの他の方法で、自動的に分類され得る。
ここで記述された実施形態は、パターン化された形状の特性を測定するための他の方法及びシステムに対して、数多くの利点を有する。例えば、設計ルールが縮小するにつれて、ライン端粗さ(LER)又はコンタクトサイズのような印刷された形状における実質的に小さな収差が、欠陥自身だけではなくプロセス制御において重要な決定的な測定になる。CDの測定についてのここで記述された実施形態は、専用のCDツールは必要とせず、SEMレビューイメージにおけるCDの人での測定よりも速く且つより正確なオーダである。したがって、ここで記述された実施形態は、SEMレビューを用いてイメージングされたパターンにおけるCDの手作業の測定及び分類に対して、実質的に時間を節約することができる。結果は、より反復性があり且つユーザに依存しない。したがって、測定はより正確で且つ反復性がある。実質的に短い時間内により多くの欠陥及び形状を測定することができるので、これらの実施形態は、欠陥検出プロセス及びプロセスの定性化の一体化された部分となることができる。加えて、より大きなサンプルが、所与のプロセスステップの一致性に関するよりよい統計値をユーザに与えながら処理されることができる。加えて、ここで記述された実施形態によって作り出される結果は、検査項目のさらなるチューニングのために、光学的検査ツールにフィードバックされ得る。そのような構成は、PWQ又はFEMウエハの定性化において特に効果的であり得る。
本発明の様々な局面の更なる改変及び代替的な実施形態が、本記述を参照して、当業者には明らかになるであろう。例えば、試料にて実行されるべきプロセスの一つ又はそれ以上のパラメータを決定するための方法及びシステムが提供される。したがって、この記述は、描写的であるとのみ解釈されるべきであり、本発明を実行する一般的な方法を当業者に教示する目的のものである。ここで示され且つ記述された本発明の形態が現時点で好適な実施形態として取られるべきであることを理解されたい。要素及び材料は、ここで描かれ且つ記述されたものを置き換え得て、部品及びプロセスは逆にされ得て、本発明のある特性が独立して利用され得て、これらの全ては、本発明のこの記述の恩恵を有した後に、当業者に明らかになるであろう。以下の請求項に記述された本発明の思想及び範囲から逸脱することなく、ここに記述された要素に変更が加えられ得る。

Claims (37)

  1. 試料上で実行されるべきプロセスの一つ又はそれ以上のパラメータを決定するように構成されたシステムであって、
    少なくともエネルギー源と検出器とを含む測定サブシステムであって、前記エネルギー源は試料に向けられるエネルギーを生成するように構成され、前記検出器は前記試料からのエネルギーを検出し且つ前記検出されたエネルギーに応じた出力を生成するように構成されている、測定サブシステムと、
    一つ又はそれ以上のコンピュータサブシステムであって、
    前記試料上で検出された欠陥のエリアを決定し、
    前記欠陥のエリアを前記試料に対する設計の情報と相関させて、
    前記欠陥のエリアと前記設計の情報との間の空間的関係を前記相関の結果に基づいて決定し、
    前記空間的関係に基づいて前記測定サブシステムを用いて前記試料に対して実行されるプロセスの間に測定されるべき対象領域を自動的に生成する、
    ために構成された、一つ又はそれ以上のコンピュータサブシステムと、
    を備える、システム。
  2. 前記欠陥のエリアが、前記欠陥のイメージにて前記欠陥の周囲に引かれた境界ボックスによって規定される、請求項1に記載のシステム。
  3. 前記欠陥のエリアが、前記欠陥の電子ビームに基づくイメージにて前記欠陥の周囲に引かれた自由形状エリアによって規定される、請求項1に記載のシステム。
  4. 前記設計の情報が、前記設計の一つより多くの層についての情報を備える、請求項1に記載のシステム。
  5. 前記設計の情報が、前記試料上に印刷されない前記設計の形状についての情報を含まない、請求項1に記載のシステム。
  6. 前記設計の情報が、前記設計におけるパターン化された形状についての情報を備え、前記相関が、前記欠陥のエリアに前記設計における前記パターン化された形状を重ねることを包含する、請求項1に記載のシステム。
  7. 前記設計の情報が、前記欠陥ではなく前記設計に基づいて、前記一つ又はそれ以上のコンピュータサブシステムによって決定される前記設計における追加の対象領域についての情報を備える、請求項1に記載のシステム。
  8. 前記設計の情報が、前記欠陥ではなく前記設計に基づいて実行されるパターンマッチングによって、前記一つ又はそれ以上のコンピュータサブシステムによって決定される前記設計における追加の対象領域についての情報を備える、請求項1に記載のシステム。
  9. 前記設計の情報が、前記欠陥ではなく前記設計に基づいて実行される配置的マッチングによって前記一つ又はそれ以上のコンピュータサブシステムによって決定される前記設計における追加の対象領域についての情報を備える、請求項1に記載のシステム。
  10. 前記設計の情報が、前記欠陥ではなく前記設計についてのセル情報に基づいて、前記一つ又はそれ以上のコンピュータサブシステムによって決定される前記設計における追加の対象領域についての情報を備える、請求項1に記載のシステム。
  11. 前記設計の情報が、前記欠陥ではなく前記設計のグラフィック表現のイメージ処理に基づいて、前記一つ又はそれ以上のコンピュータサブシステムによって決定される前記設計における追加の対象領域についての情報を備える、請求項1に記載のシステム。
  12. 前記設計の情報が、前記欠陥ではなく前記設計についてのホットスポット情報に基づいて決定される前記設計における追加の対象領域についての情報を備える、請求項1に記載のシステム。
  13. 前記設計の情報が、前記欠陥ではなく前記設計についてのホットスポット情報に基づいて決定される前記設計における追加の対象領域についての情報を備え、前記追加の対象領域の一つより多くが前記ホットスポットの一つのみに対して決定される、請求項1に記載のシステム。
  14. 前記設計の情報が、前記欠陥ではなく前記設計に基づいて決定される前記設計における追加の対象領域についての情報を備え、前記空間的関係の決定が、前記追加の対象領域のどれが前記欠陥のエリアとオーバーラップしているかを決定することを包含し、前記自動生成が、前記欠陥のエリアとオーバーラップしている前記追加の対象領域の一つを前記欠陥に対して測定されるべき対象領域として選択することを包含する、請求項1に記載のシステム。
  15. 前記自動生成が、前記欠陥のエリアと前記設計の情報との間の前記空間的関係に基づいて前記欠陥のエリアを変更することと、前記変更された欠陥のエリアを前記対象領域として選択することと、を包含する、請求項1に記載のシステム。
  16. 前記設計の情報が、前記設計におけるパターン化された形状についての情報を備え、前記自動生成が、前記欠陥のエリアと前記欠陥のエリアに隣接した前記パターン化された形状とに基づいて前記対象領域を決定することを包含する、請求項1に記載のシステム。
  17. 前記設計の情報が、前記設計におけるパターン化された形状についての情報を備え、前記自動生成が、前記欠陥のエリアの最小値又は前記欠陥の近傍における前記パターン化された形状の一つ又はそれ以上に基づいて前記対象領域を決定することを包含する、請求項1に記載のシステム。
  18. 前記欠陥のエリアが、前記欠陥の電子ビームに基づくイメージにて前記欠陥の周囲に引かれた自由形状エリアによって規定され、前記設計の情報が、前記欠陥ではなく前記設計に基づいて決定される前記設計における追加の対象領域についての情報を備え、前記空間的関係の決定が、前記追加の対象領域のどれが前記欠陥のエリアと空間的にオーバーラップしているか又は最も近いかを決定することを包含し、前記自動生成が、前記欠陥のエリアと空間的にオーバーラップしているか又は最も近い前記追加の対象領域の一つを前記欠陥に対して測定されるべき対象領域として選択又は優先順序付けすることを包含する、請求項1に記載のシステム。
  19. 前記設計の情報が、前記欠陥ではなく前記設計に基づいて前記一つ又はそれ以上のコンピュータサブシステムによって決定される前記設計における追加の対象領域についての情報を備え、前記一つ又はそれ以上の領域が前記プロセスの間に測定される、請求項1に記載のシステム。
  20. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記測定サブシステムを用いて前記プロセスの間に前記対象領域にて実行される一つ又はそれ以上の測定の一つ又はそれ以上のパラメータを自動的に決定することを包含する、請求項1に記載のシステム。
  21. 前記検出器によって生成された出力が前記試料のイメージを包含し、前記試料上の異なるエリアに対して前記検出器によって異なるイメージが生成され、前記試料上の複数のパターン化された形状が異なるイメージにイメージングされ、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記異なるイメージにて前記複数のパターン化された形状を自動的に位置決めし、前記異なるイメージにて位置決めされた前記複数のパターン化された形状の一つ又はそれ以上の特性を決定し、前記異なるイメージにて位置決めされた前記複数のパターン化された形状に対して決定された前記一つ又はそれ以上の特性の一つ又はそれ以上の統計値を決定するように構成される、請求項1に記載のシステム。
  22. 前記異なる領域が、前記試料上で検出され且つ欠陥レビューのために選択された欠陥が位置する前記試料上のエリアを備えており、前記異なるイメージが前記欠陥に対して実行される欠陥レビュープロセスの間に前記測定サブシステムによって生成される、請求項21に記載のシステム。
  23. 前記複数のパターン化された形状がコンタクトを備える、請求項21に記載のシステム。
  24. 前記測定サブシステムがさらに電子ビーム顕微鏡として構成される、請求項23に記載のシステム。
  25. 前記一つ又はそれ以上の特性が前記コンタクトの限界直径を備える、請求項23に記載のシステム。
  26. 前記複数のパターン化された形状がライン及びスペース対を備える、請求項21に記載のシステム。
  27. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記決定された一つ又はそれ以上の統計値に基づいて前記複数のパターン化された形状を自動的に分類するように構成される、請求項21に記載のシステム。
  28. 前記プロセスが前記試料上で実行される製造プロセスのインライン・モニタリングの間に前記試料上で実行される、請求項1に記載のシステム。
  29. 前記プロセスが計測プロセスを包含し、前記システムがさらに計測ツールとして構成される、請求項1に記載のシステム。
  30. 前記プロセスが検査プロセスを包含し、前記システムがさらに検査ツールとして構成される、請求項1に記載のシステム。
  31. 前記プロセスが欠陥レビュープロセスを包含し、前記システムがさらに欠陥レビューツールとして構成される、請求項1に記載のシステム。
  32. 前記試料がウエハを備える、請求項1に記載のシステム。
  33. 前記試料がレチクルを備える、請求項1に記載のシステム。
  34. 前記試料に向けられるエネルギーが光を包含し、前記試料から検出されるエネルギーが光を包含する、請求項1に記載のシステム。
  35. 前記試料に向けられるエネルギーが電子を包含し、前記試料から検出されるエネルギーが電子を包含する、請求項1に記載のシステム。
  36. 試料上で実行されるプロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法を実行するためにコンピュータシステム上で実行可能なプログラム命令を記憶している非一時的コンピュータ読み取り可能媒体であって、前記コンピュータ実行形の方法が、
    試料上で検出された欠陥のエリアを決定するステップと、
    前記欠陥のエリアを前記試料に対する設計の情報と相関させるステップと、
    前記欠陥のエリアと前記設計の情報との間の空間的関係を前記相関の結果に基づいて決定するステップと、
    前記空間的関係に基づいて前記測定サブシステムを用いて前記試料に対して実行されるプロセスの間に測定されるべき対象領域を自動的に生成するステップと、
    を包含し、
    前記測定サブシステムが、少なくともエネルギー源と検出器とを備えており、前記エネルギー源は試料に向けられるエネルギーを生成するように構成され、前記検出器は前記試料からのエネルギーを検出し且つ前記検出されたエネルギーに応じた出力を生成するように構成されている、非一時的コンピュータ読み取り可能媒体。
  37. 試料上で実行されるプロセスの一つ又はそれ以上のパラメータを決定するためのコンピュータ実行形の方法であって、
    試料上で検出された欠陥のエリアを決定するステップと、
    前記欠陥のエリアを前記試料に対する設計の情報と相関させるステップと、
    前記欠陥のエリアと前記設計の情報との間の空間的関係を前記相関の結果に基づいて決定するステップと、
    前記空間的関係に基づいて前記測定サブシステムを用いて前記試料に対して実行されるプロセスの間に測定されるべき対象領域を自動的に生成するステップと、
    を包含し、
    前記測定サブシステムが、少なくともエネルギー源と検出器とを備えており、前記エネルギー源は試料に向けられるエネルギーを生成するように構成され、前記検出器は前記試料からのエネルギーを検出し且つ前記検出されたエネルギーに応じた出力を生成するように構成されており、
    前記エリアの決定ステップ、前記相関ステップ、前記空間的関係の決定ステップ、及び前記自動生成ステップが一つ又はそれ以上のコンピュータシステムによって実行される、コンピュータ実行形の方法。
JP2018510925A 2015-08-28 2016-08-27 自発的計測法及びパターン分類 Active JP6914249B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562211375P 2015-08-28 2015-08-28
US62/211,375 2015-08-28
US15/247,774 2016-08-25
US15/247,774 US10483081B2 (en) 2014-10-22 2016-08-25 Self directed metrology and pattern classification
PCT/US2016/049157 WO2017040351A1 (en) 2015-08-28 2016-08-27 Self directed metrology and pattern classification

Publications (2)

Publication Number Publication Date
JP2018530911A true JP2018530911A (ja) 2018-10-18
JP6914249B2 JP6914249B2 (ja) 2021-08-04

Family

ID=58188172

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018510925A Active JP6914249B2 (ja) 2015-08-28 2016-08-27 自発的計測法及びパターン分類

Country Status (6)

Country Link
JP (1) JP6914249B2 (ja)
KR (1) KR102340756B1 (ja)
CN (1) CN107924850B (ja)
IL (1) IL257205B (ja)
TW (1) TWI684225B (ja)
WO (1) WO2017040351A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997710B2 (en) * 2017-10-18 2021-05-04 Kla-Tencor Corporation Adaptive care areas for die-die inspection
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
CN116503397B (zh) * 2023-06-26 2023-09-01 山东天通汽车科技股份有限公司 基于图像数据的车内传输带缺陷检测方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008235575A (ja) * 2007-03-20 2008-10-02 Toshiba Corp パターン測定方法、パターン測定装置およびプログラム
JP2008251766A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp 半導体デバイスの欠陥観察方法及びその装置
JP2009516832A (ja) * 2005-11-18 2009-04-23 ケーエルエー−テンカー テクノロジィース コーポレイション 検査データと組み合わせて設計データを使用するための方法及びシステム
JP2012068051A (ja) * 2010-09-21 2012-04-05 Toshiba Corp パターン欠陥検査装置およびパターン欠陥検査方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891167B2 (en) * 2000-06-15 2005-05-10 Kla-Tencor Technologies Apparatus and method for applying feedback control to a magnetic lens
JP4154282B2 (ja) * 2003-05-14 2008-09-24 株式会社日立ハイテクノロジーズ 回路パターンの検査装置
WO2007026361A2 (en) * 2005-09-01 2007-03-08 Camtek Limited A method and a system for establishing an inspection recipe
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
JP5408852B2 (ja) * 2007-08-09 2014-02-05 株式会社日立ハイテクノロジーズ パターン測定装置
JP5412169B2 (ja) * 2008-04-23 2014-02-12 株式会社日立ハイテクノロジーズ 欠陥観察方法及び欠陥観察装置
US9710903B2 (en) * 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
JP5479782B2 (ja) * 2009-06-02 2014-04-23 株式会社日立ハイテクノロジーズ 欠陥画像処理装置、欠陥画像処理方法、半導体欠陥分類装置および半導体欠陥分類方法
US8656323B2 (en) * 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
JP5948138B2 (ja) * 2012-05-11 2016-07-06 株式会社日立ハイテクノロジーズ 欠陥解析支援装置、欠陥解析支援装置で実行されるプログラム、および欠陥解析システム
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
CN102937599B (zh) * 2012-10-25 2015-01-07 中国科学院自动化研究所 一种通过x射线检测含金属被测物的无损检测系统和方法
WO2014149197A1 (en) * 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9619876B2 (en) * 2013-03-12 2017-04-11 Kla-Tencor Corp. Detecting defects on wafers based on 2D scatter plots of values determined for output generated using different optics modes
US9183624B2 (en) * 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
KR102393311B1 (ko) * 2016-03-31 2022-05-02 호야 가부시키가이샤 반사형 마스크 블랭크의 제조 방법, 반사형 마스크 블랭크, 반사형 마스크의 제조 방법, 반사형 마스크, 및 반도체 장치의 제조 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009516832A (ja) * 2005-11-18 2009-04-23 ケーエルエー−テンカー テクノロジィース コーポレイション 検査データと組み合わせて設計データを使用するための方法及びシステム
JP2008235575A (ja) * 2007-03-20 2008-10-02 Toshiba Corp パターン測定方法、パターン測定装置およびプログラム
JP2008251766A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp 半導体デバイスの欠陥観察方法及びその装置
JP2012068051A (ja) * 2010-09-21 2012-04-05 Toshiba Corp パターン欠陥検査装置およびパターン欠陥検査方法

Also Published As

Publication number Publication date
CN107924850A (zh) 2018-04-17
TWI684225B (zh) 2020-02-01
TW201727789A (zh) 2017-08-01
IL257205A (en) 2018-03-29
KR20180037055A (ko) 2018-04-10
IL257205B (en) 2021-01-31
CN107924850B (zh) 2022-06-28
KR102340756B1 (ko) 2021-12-16
JP6914249B2 (ja) 2021-08-04
WO2017040351A1 (en) 2017-03-09

Similar Documents

Publication Publication Date Title
JP7093828B2 (ja) 自動式パターン忠実度測定計画生成
US10483081B2 (en) Self directed metrology and pattern classification
JP6785663B2 (ja) 検査のための高解像度フルダイイメージデータの使用
KR102386536B1 (ko) 시편 상의 관심 패턴의 하나 이상의 특성의 결정
KR102268502B1 (ko) 시료 상의 관심 영역에 대한 좌표 결정
US20150110384A1 (en) Image inspection method of die to database
US10832396B2 (en) And noise based care areas
KR20200131340A (ko) 웨이퍼 위치의 형상 메트릭 기반의 스코어링
JP6914249B2 (ja) 自発的計測法及びパターン分類
JP2019016776A (ja) 自動的に設定された検査エリアを有する集積回路パターン検査のための方法および装置
CN117425819A (zh) 设置用于检验样品的关注区域

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210112

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210531

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210531

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210609

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210615

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210706

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210713

R150 Certificate of patent or registration of utility model

Ref document number: 6914249

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150