JP7093828B2 - 自動式パターン忠実度測定計画生成 - Google Patents

自動式パターン忠実度測定計画生成 Download PDF

Info

Publication number
JP7093828B2
JP7093828B2 JP2020206698A JP2020206698A JP7093828B2 JP 7093828 B2 JP7093828 B2 JP 7093828B2 JP 2020206698 A JP2020206698 A JP 2020206698A JP 2020206698 A JP2020206698 A JP 2020206698A JP 7093828 B2 JP7093828 B2 JP 7093828B2
Authority
JP
Japan
Prior art keywords
sample
measurement
interest
design
measurements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020206698A
Other languages
English (en)
Other versions
JP2021052200A (ja
Inventor
ブライアン ダフィー
アジャイ グピタ
サン ハ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021052200A publication Critical patent/JP2021052200A/ja
Application granted granted Critical
Publication of JP7093828B2 publication Critical patent/JP7093828B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8883Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges involving the calculation of gauges, generating models
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Description

本発明は一般に、自動式パターン忠実度測定計画生成に関する。特定の実施形態は、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定する方法およびシステムに関する。
以下の説明と例は、このセクションに含まれるからといって先行技術であるとは認められない。
半導体製造プロセス中の種々のステップにおいて、製造プロセスにおけるより高い歩留まりを助長し、よって、より高い収益を助長するために、ウェハ上の欠陥を検出するための検査プロセスが用いられる。検査は常に、半導体デバイス製造の重要な部分であった。しかしながら、半導体デバイスの寸法が縮小するにつれ、より小さい欠陥がデバイスを故障させ得るため、検査は、許容される半導体デバイスの製造を成功に導くために一層重要になっている。
欠陥レビューは典型的に、検査プロセスによって欠陥として検出された欠陥を再検出して、高拡大光学システムまたは走査電子顕微鏡(SEM)のうちいずれかを用いて、より高い分解能での欠陥に関する付加的な情報を生成することを包含する。したがって、欠陥レビューは、検査によって欠陥が検出された、ウェハの個別の位置で実行される。欠陥レビューによって生成される欠陥の分解能データが高ければ高いほど、プロファイル、粗さ、より正確なサイズ情報等の欠陥の属性を判断するためにより適切になる。欠陥レビューは、検査によってウェハ上に検出された欠陥に関して実行されるため、検出された欠陥の位置での欠陥レビューに用いられるパラメータは、検査プロセスによって決定された欠陥の属性に基づいて決定される。しかしながら、検出された欠陥の位置での欠陥レビューに用いられる出力された取得パラメータ(例えば、光学的、電子ビーム等のパラメータ)は、一般に、欠陥の位置における、または欠陥の位置付近の設計の部分に関する情報に基づいては決定されず、その理由は、そのような情報は一般に、欠陥レビュー中に検出された欠陥に関して実行される出力された取得機能に無関係であるからである。
計測プロセスもまた、半導体製造プロセス中に、プロセスをモニタし制御するために種々のステップで用いられる。計測プロセスは検査プロセスとは異なっているが、それは、ウェハ上で欠陥が検出される検査プロセスとは違って、計測プロセスは、現在用いられている検査ツールを用いては判定され得ないウェハの1つ以上の特徴を測定するために用いられるという点においてである。例えば、計測プロセスは、プロセス中にウェハ上に形成されたフィーチャの、寸法等(例えば、線幅、厚さ等)の1つ以上のウェハの特徴を測定して、その1つ以上の特徴からプロセスの性能が判定され得るように、使用される。加えて、ウェハの1つ以上の特徴が許容範囲外(例えば、特徴(複数)に関する所定範囲の外である)であった場合、ウェハの1つ以上の特徴の測定を用いて、プロセスの1つ以上のパラメータを変え、それにより、そのプロセスによって製造される付加的なウェハが、許容範囲の特徴(複数)を有するようにする。
計測プロセスは欠陥レビュープロセスとはさらに異なっているが、それは、検査によって検出される欠陥が欠陥レビューで再検討される欠陥レビュープロセスとは違って、計測プロセスは、欠陥が全く検出されなかった位置で実行されてもよいということである。言い換えると、欠陥レビューとは違って、計測プロセスがウェハ上で実行される位置は、ウェハ上に実行される検査プロセスの結果とは無関係である。特に、計測プロセスの実行対象位置は、検査結果とは無関係に選択され得る。加えて、計測が実行されるウェハ上の位置は検査結果とは無関係に選択され得るため、欠陥レビューが実行されることになっているウェハ上の位置が、ウェハの検査結果が生成され利用できるまで決定され得ない欠陥レビューと違って、計測プロセスが実行される位置は、検査プロセスがウェハに実行される前に決定され得る。
計測プロセスを設定する現行の方法は、いくつかの欠点を有する。例えば、SEMでのパターン計測(例えば、クリティカル・ディメンション(CD)およびオーバーレイ測定を含む)の従来のレシピセットアップには、測定対象位置の事前の知識が必要である。加えて、従来のレシピセットアッププロセスは、設計を用いることを含むことが多い。さらに、ユーザが一度または継続的に測定したい新たな関心パターン(pattern of interest、POI)が発見された場合、計測ツールレシピの更新が必要となる。
米国特許出願公開第2009/0290782号 米国特許出願公開第2009/0297019号
したがって、上記の欠点のうち1つ以上を有していない、試料上に実行される計測プロセスの1つ以上のパラメータを決定するシステムおよび方法を開発することが有益であろう。
以下の様々な実施形態の説明は、如何なる意味でも添付の特許請求の範囲の対象を限定するものとは解釈されない。
一実施形態は、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定するように構成されたシステムに関する。システムは、少なくとも1つのエネルギー源と1つの検出器を含む測定サブシステムを含む。エネルギー源は、試料に向けられるエネルギーを生成するように構成される。検出器は、試料からのエネルギーを検出し、検出されたエネルギーに応答して出力を生成するように構成される。システムはさらに、測定サブシステムで試料に実行される計測プロセス中に、試料の設計に基づいて、関心領域(regions of interest、ROI)を自動生成するように構成された1つ以上のコンピュータサブシステムを含む。1つ以上のコンピュータサブシステムはさらに、測定サブシステムでの計測プロセス中にROIの第1と第2のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータを、それぞれROIの第1と第2のサブセット内に配置された試料の設計の部分に基づいて自動決定するように構成される。第1のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータは、第2のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータとは別個に独立して決定される。システムはさらに、本明細書に説明されるように構成されてもよい。
別の実施形態は、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定するコンピュータ実装方法に関する。方法は、上記の自動生成ステップおよび自動決定ステップを含む。方法のステップは、1つ以上のコンピュータシステムによって実行される。
上記の方法のステップはそれぞれ、さらに、本明細書により詳細に説明されるように実行されてもよい。加えて、上記に説明した方法の実施形態は、本明細書で説明される任意の別の方法(複数)の任意の別のステップ(複数)を含んでもよい。さらに、上記の方法は、本明細書で説明されるシステムのうちいずれによって実行されてもよい。
別の実施形態は、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定するためにコンピュータ実装方法を実行するコンピュータシステムで実行可能なプログラム命令を記憶する非一時的コンピュータ可読媒体に関する。コンピュータ実装方法は、上記の方法のステップを含む。コンピュータ可読媒体はさらに、本明細書で説明されるように構成されてもよい。コンピュータ実装方法のステップは、本明細書により詳細に説明されるように実行されてもよい。加えて、プログラム命令が実行される対象のコンピュータ実装方法は、本明細書で説明される任意の別の方法(複数)の任意の別のステップ(複数)を含んでもよい。
本発明のさらなる利点は、以下の好ましい実施形態の詳細な説明があれば、また、添付の図面を参照すれば、当業者には明らかとなろう。
本明細書で説明されるように構成されたシステムの実施形態の側面図を図示する模式図である。 本明細書で説明されるように構成されたシステムの実施形態の側面図を図示する模式図である。 測定サイト、視野および関心領域を含む、本明細書で使用される種々の用語間の関係の1つの実施形態の平面図を示す模式図である。 設計空間に現れる設計の部分としてのウェハの設計の一部分の一例の平面図を示す模式図である。 ウェハ上に印刷されてもよい設計の部分としての、図4に示す設計の部分の一例の平面図を示す模式図である。 設計の部分内の異なる関心領域を伴う、図5に示した設計の部分の一実施形態の平面図を示す模式図である。 設計空間におけるウェハの設計の部分を、ウェハ空間におけるウェハの設計の部分と位置合せする現在使用される方法の結果の異なる例の平面図を示す模式図である。 設計空間におけるウェハの設計の部分を、ウェハ空間におけるウェハの設計の部分と位置合せする現在使用される方法の結果の別々の例の平面図を示す模式図である。 設計空間におけるウェハの設計の部分を、ウェハ空間におけるウェハの設計の部分と位置合せする実施形態の結果の一例の平面図を示す模式図である。 設計空間およびウェハ空間におけるウェハの設計の部分の平面図を示し、それらが、本明細書で説明される実施形態によってどのように位置合せされ得るかを示す模式図である。 設計空間およびウェハ空間におけるウェハの設計の部分の平面図を示し、それらが、本明細書で説明される実施形態によってどのように位置合せされ得るかを示す模式図である。 設計空間およびウェハ空間におけるウェハの設計の部分の平面図を示し、それらが、本明細書で説明される実施形態によってどのように位置合せされ得るかを示す模式図である。 ウェハ空間におけるウェハの設計の部分の平面図を示し、測定が実行され得る範囲の寸法が、本明細書で説明される実施形態によってどのように決定されるかを示す模式図である。 本明細書で説明されるコンピュータ実装方法をコンピュータシステムに実行させるプログラム命令を記憶する非一時的コンピュータ可読媒体の一実施形態を示したブロック図である。
本発明は様々な変更および別の形態が可能であるが、その特定の実施形態が例として図面に示され、本明細書において詳細に説明される。図面は縮尺通りに描かれていないことがある。しかしながら、図面とその詳細な説明は、本発明を、開示される特定の形態に限定する意図はなく、反対に、添付の特許請求の範囲によって定義される本発明の趣旨および範囲に属する全ての変更、等価物および別形態を網羅することを意図していることを理解すべきである。
本明細書で使用される「設計」および「設計データ」という用語は一般に、複雑なシミュレーションまたは単純な幾何学的演算およびブール演算による物理的設計から派生するICおよびデータの物理的設計(レイアウト)を指す。物理的設計は、グラフィカルデータストリーム(GDS)ファイル、任意の別の標準的な機械で読み取り可能なファイル、当技術分野で公知の任意の別の適切なファイルおよび設計データベース等のデータ構造に記憶されてもよい。GDSIIファイルは設計レイアウトデータの表現に用いられるファイルクラスの1つである。そのようなファイルの別の例は、GL1およびOASISファイル、およびカリフォルニア州ミルピタスのKLA-Tencor独自のRDFデータ等の独自のファイルフォーマットを含む。加えて、レチクル検査システムおよび/またはその派生物によって取得されたレチクルの画像は、設計のための「プロキシ」または「プロキシ群」として用いられ得る。そのようなレチクル画像またはその派生物は、設計を用いる、本明細書で説明される任意の実施形態における設計レイアウトに代わるものとして機能できる。この設計は、所有者が共通の、Zafarらの、2009年8月4日に発行された米国特許第7,570,796号および、2010年3月9日にKulkarniらに発行された米国特許第7,676,077号に記載される任意の別の設計データまたは設計データプロキシ群を含み、両特許は、完全に記載されたかのように参照により本明細書に組み込まれる。加えて、設計データは、標準的なセルライブラリデータ、統合レイアウトデータ、1つ以上のレイヤの設計データ、設計データの派生物、および完全または部分的チップ設計データであってよい。
いくつかの事例では、ウェハまたはレチクルからシミュレートされた、または取得された画像は、設計のプロキシとして用いられてもよい。画像分析も、設計分析のプロキシとして用いられてもよい。例えば、ウェハおよび/またはレチクルの画像が、設計のポリゴンを適切にイメージングするための十分な分解能をもって取得されたと仮定して、ウェハおよび/またはレチクル上に印刷された設計の画像から設計のポリゴンが抽出されてもよい。加えて、本明細書で説明される「設計」および「設計データ」という用語は、設計プロセスにおいて半導体デバイス設計者によって作成される情報およびデータを指し、したがって、任意の物理的ウェハ上への設計の印刷よりかなり先立って、本明細書で説明される実施形態で使用できる。
好ましくは、本明細書で使用される用語「設計」または「物理的設計」は、ウェハ上に理想的に形成されるような設計を指す。このように、本明細書で説明される設計または物理的設計は、好ましくは、実際にそれ自体が印刷されずにウェハ上のフィーチャの印刷を増強するために設計に付加される光近接効果補正(OPC)フィーチャ等の、ウェハ上に印刷されない設計のフィーチャは含まない。このように、いくつかの実施形態では、本明細書にさらに詳しく説明される自動生成ステップおよび自動決定ステップに用いられる試料の設計は、試料上に印刷されない設計のフィーチャを含まない。
本明細書で説明される「設計」および「設計データ」は、上記の様々なタイプの設計および設計データのいずれを含んでもよい、ウェハ上に形成されるデバイスの物理的意図に関するデータおよび情報を含み得る。「設計」および「設計データ」は、さらに、または代替的に、ウェハ上に形成されるデバイスの電気的意図に関するデータおよび情報を含み得る。そのような情報およびデータは、例えば、ネットリストおよびSPICE命名および/または「注釈付きレイアウト」(例えば、設計が電気的ネットリストパラメータラベリングを含む場合)を含み得る。そのようなデータおよび情報は、1つ以上の電気的側面においてレイアウトまたはウェハ画像のどの部分が重要であるかを判定するために用いられ得る。
ここで図面を参照すると、図は縮尺通りに描かれていないことに留意すべきである。特に、図のいくつかの要素の縮尺は要素の特性を強調するために大きく誇張されている。図は同じ縮尺で描かれていないことにも留意すべきである。2つ以上の図で描かれた、同様に構成され得る要素は、同じ参照番号を用いて示されている。本明細書に別段明記されない限り、説明され図示される要素はいずれも、任意の適切な市販の要素を含んでもよい。
1つの実施形態は、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定するように構成されたシステムに関する。1つの実施形態では、試料はウェハを含む。別の実施形態では、試料はレチクルを含む。ウェハおよびレチクルは、当技術分野で公知の任意のウェハおよびレチクルを含み得る。
そのようなシステムの1つの実施形態が図1に示されている。システムは、少なくとも1つのエネルギー源と1つの検出器を含む測定サブシステムを含む。エネルギー源は、試料に向けられるエネルギーを生成するように構成される。検出器は、試料からのエネルギーを検出し、検出されたエネルギーに応答して出力を生成するように構成される。
一実施形態において、試料に向けられるエネルギーは光を含み、試料から検出されるエネルギーは光を含む。例えば、図1に示すシステムの実施形態において、測定サブシステム10は、試料14に光を向けるように構成された照射サブシステムを含む。照射サブシステムは少なくとも1つの光源を含む。例えば、図1に示すように、照射サブシステムは光源16を含む。一実施形態において、照射サブシステムは、1つ以上の入射角で光を試料に向けるように構成され、その入射角は1つ以上の斜角および/または1つ以上の直角を含む。例えば、図1に示すように、光源16からの光は、光学素子18を通り次にレンズ20を通ってビームスプリッタ21に向けられ、次にビームスプリッタ21は光を試料14に直角の入射角で向ける。入射角は、例えば、試料の特徴および、試料上で検出される欠陥に依存して変わり得る任意の適切な入射角を含み得る。
照射サブシステムは、異なる時点で異なる入射角で光を試料に向けるように構成されてもよい。例えば、測定サブシステムは、照射サブシステムの1つ以上の要素の1つ以上の特徴を変更して、光が試料に、図1に示すのとは異なる入射角で向けられ得るように構成されてもよい。そのような1つの例において、測定サブシステムは、光が試料に異なる入射角で向けられるように、光源16、光学素子18およびレンズ20を移動させるように構成されてもよい。
いくつかの事例では、測定サブシステムは、同時に1より多い入射角で光を試料に向けるように構成されてもよい。例えば、照射サブシステムは、1より多い照射チャネルを含んでもよく、その照射チャネルのうち1つは、図1に示すように、光源16と、光学素子18とレンズ20を含んでもよく、照射チャネルのうち別の1つ(図示せず)は同様の要素を含んでもよく、それらの要素は、別の構成であっても同じ構成であってもよく、または、少なくとも1つの光源と、本明細書にさらに詳しく説明されるような1つ以上の別の構成部品を恐らくは含んでもよい。そのような光が別の光と同時に試料に向けられた場合、異なる入射角で試料に向けられた光の1つ以上の特徴(例えば波長、偏光特性等)は異なっていてもよく、その結果、異なる入射角での試料の照射から生じた光が、検出器(複数の検出器)で互いから区別され得る。
別の事例では、照射サブシステムは1つのみの光源(例えば図1に示す源16)を含んでもよく、光源からの光は、照射サブシステムの1つ以上の光学素子(図示せず)によって異なる光路(例えば、波長、偏光特性等)に分離され得る。それぞれ異なる光路の光は次に試料に向けられてもよい。複数の照射チャネルが、同時または別のタイミングで試料に光を向けるように構成されてもよい(例えば、異なる照射チャネルを用いて試料を逐次照射する場合)。別の事例では、同じ照射チャネルが、異なる特徴で異なるタイミングで試料に光を向けるように構成されてもよい。例えば、いくつかの事例では、光学素子18は、分光フィルタとして構成されてもよく、分光フィルタの特性は、異なる波長の光が、異なるタイミングで試料に向けられ得るように、種々の異なる方式(例えば、分光フィルタを変更することによって)で変更され得る。照射サブシステムは、異なるまたは同じ特徴を有する光を、異なる入射角または同じ入射角で、逐次または同時に試料に向けるための当技術分野で公知の任意の別の適切な構成を有してもよい。
一実施形態において、光源16はブロードバンドプラズマ(BBP)光源を含み得る。このように、光源から生成され試料に向けられる光はブロードバンド光を含み得る。しかしながら、光源はレーザ等の任意の別の適切な光源を含み得る。レーザは、当技術分野で公知の任意の適切なレーザを含んでもよく、当技術分野で公知の任意の適切な波長または波長群で光を生成するように構成され得る。加えて、レーザは、単色またはほぼ単色である光を生成するように構成されてもよい。このように、レーザはナローバンドレーザであり得る。光源はさらに、複数の個別の波長または波長帯で光を生成する多色光源をも含み得る。
光学素子18からの光は、レンズ20によってビームスプリッタ21に集束されてもよい。図1においてレンズ20は単一の反射型光学素子として示されているが、実際は、レンズ20は、合同して光学素子からの光を試料に集束するいくつかの屈折型および/または反射型光学素子を含み得ることを理解すべきである。図1に示され本明細書で説明される照射サブシステムは、任意の別の適切な 光学素子(図示せず)を含み得る。そのような光学素子の例は、限定するわけではないが、偏光構成部品(複数)、分光フィルタ(複数)、空間フィルタ(複数)、反射型光学素子(複数)、アポダイザ(複数)、ビームスプリッタ(複数)、開口(複数)等を含み、それらは、当技術分野で公知の任意のそのような適切な光学素子を含み得る。加えて、システムは、計測に用いられる照射のタイプに基づいて照射サブシステムの要素のうち1つ以上を変更するように構成されてもよい。
測定サブシステムはさらに、光を試料上で走査させるように構成された走査サブシステムを含んでもよい。例えば、測定サブシステムは、ステージ22を含んでもよく、その上に、測定中に試料14が配置される。走査サブシステムは、光が試料の上で走査され得るように、試料を移動させるように構成され得る任意の適切な機械的および/またはロボットアセンブリ(ステージ22を含む)を含んでもよい。加えて、または代替的に、測定サブシステムは、測定サブシステムの1つ以上の光学素子が試料の上での光の走査を実行するように構成されてもよい。光は試料の上で任意の適切な様式で走査されてもよい。
測定サブシステムはさらに、1つ以上の検出チャネルを含む。1つ以上の検出チャネルのうち少なくとも1つは、測定サブシステムによる試料の照射に由来する試料からの光を検出し、検出された光に応答して出力を生成するように構成された1つの検出器を含む。例えば、図1に示した測定サブシステムは、2つの検出チャネルを含み、一方はコレクタ24、要素26および検出器28によって形成され、他方はコレクタ30、要素32および検出器34によって形成される。 図1に示すように、2つの検出チャネルは、光を異なる集光角度で集光し検出するように構成される。いくつかの事例では、一方の検出チャネルは、試料から鏡面反射した光を検出するように構成され、他方の検出チャネルは、試料から鏡面反射していない光(例えば、散乱、回折された光)を検出するように構成される。しかしながら、2つ以上の検出チャネルは、試料から同じタイプの光(例えば、鏡面反射した光)を検出するように構成されてもよい。図1は、2つの検出チャネルを含む測定サブシステムの実施形態を示しているが、測定サブシステムは、別の個数の検出チャネルを含んでもよい(例えば、1つのみの検出チャネルまたは2以上の検出チャネル)。図1でコレクタはそれぞれ単一の反射型光学素子として示されているが、コレクタそれぞれは、1つ以上の屈折型光学素子(複数)および/または1つ以上の反射型光学素子(複数)を含み得ることを理解すべきである。
1つ以上の検出チャネルは、当技術分野で公知の任意の適切な検出器を含み得る。例えば、検出器は、光電子倍増管(PMT)、電荷結合デバイス(CCD)および時間遅延積分(TDI)カメラを含み得る。検出器は、当技術分野で公知の任意の別の適切な検出器をも含み得る。検出器は、非画像検出器または画像検出器をも含み得る。このように、検出器が非画像検出器である場合、検出器はそれぞれ、散乱光の、強度等の特定の特徴を検出するように構成され得るが、画像平面内の位置の関数としてのそのような特徴を検出するようには構成され得ない。そのため、測定システムの検出チャネルそれぞれに含まれる検出器それぞれによって生成される出力は、信号またはデータであり得るが、画像信号または画像 データではあり得ない。そのような事例では、システムのコンピュータサブシステム36等のコンピュータサブシステムは、検出器の非画像出力から試料の画像を生成するように構成されてもよい。しかしながら、別の事例では、検出器は、画像信号または画像データを生成するように構成された画像検出器として構成されてもよい。したがって、システムは、本明細書で説明される画像をいくつかの方式で生成するように構成されてもよい。
本明細書において図1は、本明細書で説明されるシステムの実施形態に含まれ得る測定サブシステムの1つの構成を一般的に示すために設けられていることに留意されたい。明らかに、本明細書で説明される測定サブシステム構成は、商用計測システムを設計する際に通常実行されるようにシステムの性能を最適化するために変更されてもよい。加えて、本明細書で説明されるシステムは、KLA-Tencorから市販されているSpectraShapeファミリーツールおよびArcherシリーズツールのような既存の計測システムを用いて(例えば、本明細書で説明される機能を既存の計測システムに付加することにより)実施されてもよい。そのようないくつかのシステムに対して、本明細書で説明される方法は、計測システムのオプションの機能として提供されてもよい(例えば、計測システムの他の機能性に加えて)。代替的に、本明細書で説明される計測システムは、「最初から」設計されて、完全に新規の計測システムを提供してもよい。
システムのコンピュータサブシステム36は、試料の走査中に検出器によって生成された出力をコンピュータサブシステムが受け取ることができるように、測定サブシステムの検出器に任意の適切な方式で(例えば、「有線」および/または「無線」伝送媒体を含み得る1つ以上の伝送媒体を介して)結合されてもよい。コンピュータサブシステム36は、本明細書で説明されるように検出器の出力を用いて幾つかの機能を実行し、また、本明細書にさらに詳しく説明される任意の別の機能を実行するように構成されてもよい。このコンピュータサブシステムはさらに、本明細書で説明されるように構成されてもよい。
このコンピュータサブシステム(ならびに本明細書で説明されるその他のコンピュータサブシステム)は、本明細書ではコンピュータシステム(複数)とも呼ばれてもよい。本明細書で説明されるコンピュータサブシステム(複数)またはシステム(複数)それぞれは、パーソナルコンピュータシステム、イメージコンピュータ、メインフレームコンピュータシステム、ワークステーション、ネットワーク機器、インターネット機器またはその他のデバイスを含む種々の形態を取ってもよい。一般に「コンピュータシステム」という用語は、メモリ媒体からの命令を実行する1つ以上のプロセッサを有する任意のデバイスを包含するように広範に定義され得る。コンピュータサブシステム(複数)またはシステム(複数)はさらに、パラレルプロセッサ等の当技術分野で公知の任意の適切なプロセッサをも含んでもよい。加えて、コンピュータサブシステム(複数)またはシステム(複数)は、スタンドアローンまたはネットワークツールのいずれかとしての、高速処理およびソフトウェアを備えたコンピュータプラットフォームを含んでもよい。
システムが1つより多いコンピュータサブシステムを含む場合、異なるコンピュータサブシステムは、画像、データ、情報、命令等が本明細書により詳細に説明されるようにコンピュータサブシステム間で送信され得るように、互いに結合されてもよい。例えば、コンピュータサブシステム36は、コンピュータサブシステム(複数)102に、当技術分野で公知の任意の適切な有線および/または無線伝送媒体を含み得る任意の適切な伝送媒体によって結合されてもよい(図1の破線で示すように)。2つ以上のそのようなコンピュータサブシステムが、1つの共有コンピュータ可読記憶媒体(図示せず)によって有効に結合され得る。
測定サブシステムは、上記では光学または光に基づく測定サブシステムとして説明されているが、測定サブシステムは電子ビームに基づく測定サブシステムであってもよい。例えば、一実施形態において、試料に向けられるエネルギーは電子を含み、試料から検出されたエネルギーは電子を含む。このように、エネルギー源は電子ビーム源であってよい。図2に示すそのような一実施形態において、測定サブシステムは、コンピュータサブシステム124に結合された電子柱122を含む。
図2にさらに示すように、電子柱は、1つ以上の要素130によって試料128に集束される電子を生成するように構成された電子ビーム源126を含む。電子ビーム源は、例えば、カソード源またはエミッタチップを含んでもよく、1つ以上の要素130は、例えば、ガンレンズ、アノード、ビーム制限開口、ゲートバルブ、ビーム電流選択開口、対物レンズおよび走査サブシステムを含んでもよく、それらは全て当技術分野で公知の適切な要素を含み得る。
試料から戻った電子(例えば二次電子)は、1つ以上の要素132によって検出器134に集束されてもよい。1つ以上の要素132は、要素(複数)130に含まれるのと同じ走査サブシステムであり得る走査サブシステムを含み得る。
電子柱は、当技術分野で公知の任意の別の適切な要素を含み得る。加えて、電子柱はさらに、2014年4月4日にJiangらに発行された米国特許第8,664,594号、2014年4月8日にKojimaらに発行された米国特許第8,692,204号、2014年4月15日にGubbensらに発行された米国特許第8,698,093号および2014年5月6日にMacDonaldらに発行された米国特許第8,716,662号に記載のように構成されてもよく、それらの特許は完全に記載されたかのように参照により本明細書に組み込まれる。
図2では、電子柱は、電子が試料に斜角の入射角で向けられて、試料から別の斜角で散乱するように構成されたものとして示されているが、電子ビームは任意の適切な角度で試料に向けられ散乱されてよいことを理解すべきである。加えて、電子ビームに基づく測定サブシステムは、複数のモードを用いて試料の画像を生成するように構成されてもよい(例えば、異なる照射角、集光角等で)。電子ビームに基づく測定サブシステムの複数のモードは、測定サブシステムのいずれの画像生成パラメータとも異なっていてもよい。
コンピュータサブシステム124は、上記のように検出器134に結合されてもよい。検出器は、試料の表面から戻った電子を検出し、それにより試料の電子ビーム画像を形成してもよい。電子ビーム画像は、任意の適切な電子ビーム画像を含み得る。コンピュータサブシステム124は、本明細書で説明される機能のうちいずれをも、検出器の出力および/または電子ビーム画像を用いて実行するように構成されてもよい。コンピュータサブシステム124は、本明細書で説明される任意の付加的なステップ(複数)を実行するように構成されてもよい。図2に示す測定サブシステムを含むシステムはさらに、本明細書で説明されるように構成されてもよい。
図2は、本明細書で説明される実施形態に含まれ得る電子ビームに基づく測定サブシステムの1つの構成を一般的に示すために本明細書に設けられていることに留意すべきである。上記の光学測定サブシステムでは、本明細書で説明される電子ビームに基づく測定サブシステム構成は、商用計測システムを設計するにあたり通常実行されるように、測定サブシステムの性能を最適化するために変更されてもよい。加えて、本明細書で説明されるシステムは、KLA-Tencorから市販されているeDR-xxxxシリーズツールのような既存の計測または高分解能欠陥レビューシステム(例えば、本明細書で説明される機能を既存の計測システムに付加することにより)を用いて実施されてもよい。そのようないくつかのシステムに関して、本明細書で説明される方法は、システムのオプションの機能として提供されてもよい(例えば、システムの他の機能性に加えて)。代替的に、本明細書で説明されるシステムは、「最初から」設計されて、完全に新規のシステムを提供してもよい。
上記の測定サブシステムは光に基づく測定サブシステムまたは電子ビームに基づく測定サブシステムとして説明されているが、測定サブシステムは、イオンビームに基づく測定サブシステムとして構成されてもよい。そのような測定サブシステムは、電子ビーム源が、当技術分野で公知の任意の適切なイオンビーム源と取り替えられ得ること以外は、図2に示すように構成されてもよい。加えて、 測定サブシステムは、市販の集束イオンビーム(FIB)システム、ヘリウムイオン顕微鏡(HIM)システムおよび二次イオン質量分光法(SIMS)システムに含まれるような任意の別の適切なイオンビームに基づく測定サブシステムであってよい。
本明細書で説明されるシステムの実施形態に含まれる1つ以上のコンピュータサブシステムは、試料の設計に基づいて測定サブシステムで試料に実行される計測プロセス中に測定される、関心領域(ROI)を自動生成するように構成される。ROIは試料の設計に基づいて決定されるため、ROIは「設計に基づくROI」と呼ばれてもよい。加えて、1つ以上のパラメータが本明細書で説明されるように決定される対象の計測プロセスは、「設計志向計測プロセス」と呼ばれてもよい。
図3は、ROIを含む、本明細書で用いられる種々の用語のいくつかの内容を提供する。例えば、図3は、測定サイト302の中心に据えられた、本明細書で説明されるようなもののうち1つ等の測定サブシステムの視野 (FOV)300を示す。この測定サイトは、検出された欠陥(検査および/またはレビューによって検出された)のサイトまたはサンプルされたサイトであってよい。計測プロセス中のウェハ上の各FOVの位置は、計測プロセスが実行される対象の測定サイトのうち1つのみに関連付けられ得る。例えば、計測プロセス中に、走査電子顕微鏡(SEM)またはその他の測定サブシステムは、測定サイト毎に駆動してもよい。
図3にも示すように、FOV300内には複数のROI304、306および308が配置されている。図3には3個のROIが示されているが、いずれの1つのFOV内にも任意の個数のROI(すなわち、1つ以上のROI)が存在してもよい。図3にさらに詳しく示すように、ROIはFOV内の種々の位置に配置されてもよく、3個のROIはFOV内で重ならずに示されているが、いくつかの事例ではROIはFOV内で幾分重なっていてもよい。ROIそれぞれの中で、少なくとも1つの測定が選択されて実行され得るが、それは本明細書により詳細に説明されるように自動選択または決定され得る。図3に示すFOV内に位置するウェハの領域に形成される可能性があるパターン化フィーチャを、図3では全く示していないが、測定は一般に、パターン化フィーチャの1つ以上の特徴に関するものである。
異なるROIにおいて実行され得る異なる測定を示すために、図3では、これらの異なる測定を、両方向矢印として抽象的に示し、そのような測定が実行され得る寸法の範囲と方向を示している。例えば、図3に示すように、測定310は、その方向にあるROIの全寸法の一部分のみにわたり、その一方向のROI304に実行されてもよい。測定312は、別の方向のROI306に、その方向にあるROIの全寸法にわたり実行されてもよい。加えて、測定314および316は、ROI308に対して垂直な方向に実行されてもよい。測定314は、その測定の方向におけるROIの全寸法の一部のみにわたって実行されてもよいのに対し、測定316は、その測定の方向におけるROIの全寸法にわたって実行されてもよい。したがって、本明細書により詳細に説明されるように、異なるROIでは異なる測定が実行されてもよく、任意の1つのROIにおいて実行される測定は、本明細書により詳細に説明されるように選択され決定されてもよい。
1つ以上のコンピュータサブシステムはさらに、測定サブシステムでの計測プロセス中にROIの第1と第2のサブセットに実行される1つ以上の測定の1つ以上のパラメータを、ROIの第1のサブセットと第2のサブセットにそれぞれ配置される試料の設計の部分に基づいて自動決定するように構成される。第1のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータは、第2のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータとは別個に独立して決定される。言い換えると、ROIの第1のサブセットに関する1つ以上のパラメータが、第1のサブセットに配置される設計の部分のみに基づいて決定されることができ、ROIの第2のサブセットに関する1つ以上のパラメータが、第2のサブセットに配置される設計の部分のみに基づいて決定されることができ、以下同様である。加えて、本明細書ではいくつかの実施形態が第1と第2のサブセットに関して説明されるが、コンピュータサブシステム(複数)によって実行されるステップ(複数)は、2つより多いROIのサブセット(例えばROIの2つ以上のサブセット)に関して実行されてもよいことを理解すべきである。さらに、ROIのサブセットそれぞれは1つ以上のROIを含んでもよい。例えば、ROIの第1のサブセットは、1つのROIのみを含み得るのに対し、ROIの第2のサブセットは、1つより多いROIを含み得る。このように、本明細書で説明される実施形態は、自動式パターン忠実度測定計画生成向けに構成される。本明細書で説明される実施形態は、生成されるパターン忠実度測定計画の実行向けにも構成される。
一実施形態において、自動生成と自動決定は、計測プロセスのセットアップ中に実行される。このように、方法は、ウェハの物理的設計を用いた、セットアップ中での自動ROI生成を含む。加えて、パターン忠実度測定のレシピセットアップは、セットアップ中に数千の特異なサイトのROIが自動生成され得るため、完全自動であり得る。
別の実施形態において、自動生成と自動決定は、計測プロセスのランタイム中にオンザフライ方式で実行される。このように、本明細書で説明される実施形態は、自動的なオンザフライ方式パターン忠実度測定計画生成向けに構成され得る。加えて、方法はウェハの物理的設計を用いてランタイム中の自動ROI生成を含んでもよい。
本明細書で説明される実施形態はさらに、測定対象の構造に関する事前の知識を有する必要なく計測測定計画を生成できる。例えば、本明細書で説明される実施形態は必ずしも、測定対象の構造に関する、別のシステムまたは方法によって生成された情報を用いて機能を実行しなくてもよい。したがって、本明細書で説明される実施形態は、測定計画生成の現在使用されている方法およびシステムに勝るいくつかの利点を提供する。例えば、新たなプロセスノードで、検査ツールによって検出されたパターン偏差は、それらが「欠陥」であるという基準に見合うかどうかを判断するために定量分析を必要とする。これらの欠陥候補がどこに現れるかを事前に予測することはできないため、オンザフライ方式の自動計測計画生成が必要となる。
いくつかの実施形態では、自動生成は、計測プロセスのセットアップ中に、設計のルールに基づく検索を実行することを含む。例えば、パターン忠実度測定のレシピセットアップは、セットアップ中に、ウェハの物理的設計のルールに基づく検索を用いて数千の特異なサイトのROIが自動生成されるため、完全自動であり得る。このように、本明細書で説明される実施形態は、ルールに基づく自動ROI生成向けに構成され得る。
ROI生成のルールを設計に適用することは、いくつかの異なる方式で実行され得る。例えば、ルールに基づく手法は、ルールを設計データに適用してROIを生成する非画像処理技法であり得る。そのような適用は、CADソフトウェアを用いて実行されてもよい。別の例では、設計データを画像としてレンダリングして、画像処理アルゴリズムを用いて、入力としてルールを用いてROIを生成することを含み得る画像処理に基づく手法が用いられ得る。このように、設計データは、ルールを入力として用いてROIを生成するために種々のタイプの設計 分析ソフトウェアおよび/またはアルゴリズムによって消費されてもよい。
ROIを自動生成するためにルールに基づく検索の一実施形態において、それぞれの異なる測定タイプに対して1つのルールが作成され得る。言い換えると、ルール1が測定タイプ1向けであり、ルール2が測定タイプ2向けであり、以下同様である。加えて、各ルールは1つより多い測定タイプに対するものではない。このように、各ルールは、ウェハ上に形成される設計におけるパターンの特徴を規定でき、その特徴が、その測定タイプの測定を、そのパターンに適したものにする。例えば、線幅測定タイプに関するルールは、パターンの比較的大きな区分にわたり実質的に均一な寸法を有するパターンまたはパターンの部分を、線幅測定タイプに関する候補として特定するように設計されてもよい。
そのようないくつかの事例では、ルールそれぞれは、いずれの1つのFOVにも含まれるパターンのいずれか、および/または全てに関して実行されてもよい。したがって、ルールのうち全てがFOVベース毎に実行されてもよい。各ルールは、書かれた対象のタイプの測定の、潜在的な位置を特定し得るため、各ルールはそのFOVに関する可能なROIの個数を特定することができ、測定タイプの各潜在的な位置はROIのうち1つに対応する。したがって、各FOVに各ルールを適用した結果は、FOVの1つ以上のROI位置を含み得る。そのため、各FOVに複数のルールを適用すると、各FOV内に1つ以上のROI位置を生成し、そのうちいくつかは異なる測定タイプに対応する。そのようないくつかの事例では、FOV内のROI位置それぞれは、1つのみのタイプの1つのみの測定に対応し得る。しかしながら、FOV内の複数のROI位置がFOV内で互いに重なる(部分的にまたは完全に)ことも可能である(例えばFOVの同じ部分に2つの異なるタイプの2つの異なる測定を実行することが適切である場合)。重なるROIのそのような事例では、それぞれの個々のROIは、1つのみの測定タイプの1つのみの測定に対応し得る。言い換えると、ROI毎に1つのみの測定タイプが存在し得る。したがって、所与のROI位置に関して複数の測定を実行するためには、複数のROIが生成されてもよく、各ROIは同じROI限界(または位置、座標等)を有するが、それぞれが異なる測定タイプを有する。
したがって、要約すると、ウェハ上の任意の1つの測定サイトに関して、1つのFOVがその測定サイトに対して指定され得る。全てのルールが、各FOVに関して実行され得る。全てのルールを実行した結果として、FOV毎のルール毎の1つ以上のROIが、ROI毎の1つの測定で生成され得る。FOV/測定サイト全てが処理され終わるまで、各FOV/測定サイトに関して同じステップが繰り返され得る。
一実施形態において、1つ以上のコンピュータサブシステムは、電子設計自動化(EDA)ツールのコンピュータサブシステムを含む。例えば、ランタイムでのROI生成のため、方法は、EDAの物理的設計分析ツールを用いるか、または、物理的設計にカスタムアルゴリズムを適用してもよい。そのようないくつかの事例では、設計クリップまたはその他の設計の表現が、物理的設計分析ソフトウェアによって自動的に分析されて設計クリップ内の有効な測定または設計のその他の表現を判断する。そのような1つの例において、ランタイムでのROI生成のために、アルゴリズムは、所与のパターンのセグメントが、直線/平行(すなわち、構造/パターンの2つの縁が互いに平行であるか)、湾曲しているか(例えばコーナーにおいて)、または線の終端にあるかどうかに基づいて、設計を自動的にセグメント化してもよい。EDAツールは、任意の適切な市販のEDAツールを含んでもよい。いくつかのそのような実施形態では、本明細書で説明されるコンピュータサブシステムのうち1つ以上(例えば、コンピュータサブシステム(複数)102)は、EDAツールとして構成されてもよい。
別の実施形態において、ROIの第1のサブセットに関して自動決定される1つ以上のパラメータは、ROIの第1のサブセットにおいて実行される測定(複数)の第1のタイプとなり、ROIの第2のサブセットに関して自動決定される1つ以上のパラメータは、ROIの第2のサブセットにおいて実行される測定(複数)の第2のタイプとなり、測定(複数)の第1のタイプと第2のタイプは互いに異なる。このように、方法は、ROI生成プロセス中の測定タイプの自動決定を含み得る。ROI毎に1つの測定タイプが存在し、ROI生成プロセス中に自動決定され得る。そのため、本明細書で説明される実施形態は、各ROIに関して適切な測定タイプを備えた計測計画の自動生成向けに構成され得る。例えば、計測計画生成は、各FOVに関して、物理的設計からROIと測定タイプを自動規定することを含み得る。ROIと測定タイプを自動規定することは、設計分析アルゴリズムとソフトウェアを用いて実行され得る。1つ以上のパラメータはまた、ROIのどこでその測定タイプが実行されるべきかを含んでもよい。測定タイプが実行されるべきROI内の位置は、本明細書により詳細に説明されるように決定され得る。
本明細書で説明される計測プロセスは、ウェハ上のパターンが設計上のパターンとどのように異なるかを決定するために実行されてもよい。特に、ウェハ上に印刷されるべく設計されたパターンは、ほぼそれらが設計された通りにウェハ上に印刷されることはない。設計された設計と印刷されたパターンのそのような差は、ウェハ上にパターンを印刷するために用いられるプロセス、ツールおよび材料における内在的な制約ならびに、プロセス、ツールおよび材料のいずれかのエラーによるものであり得る。
ウェハ上に印刷されたパターンが、設計上のパターンと如何に違うかの一例が、図4および5に示されている。特に、図4に示すように、ウェハ(図4には図示せず)向けの設計の部分400は、3つの異なるパターン402、404および406を含み得る。パターン402は、ウェハの設計に含められ得る線構造の一例である。パターン404はウェハの設計に含められ得る接点構造の一例であり、パターン406はウェハの設計に含められ得るポリゴン構造の一例である。
図4(および本明細書で説明されるその他の図)には、ウェハの設計に含まれ得る構造のいくつかの例が示されているが、それらの例は任意の特定のウェハの任意の特定の設計を代表することを意図していない。代わりに、当業者には明らかであるように、ウェハの設計は、多くの異なる構成での、多くの異なるタイプの構造を、多くの異なる個数で含んでもよい。図4(および本明細書で説明されるその他の図)に示す構造は、種々の本明細書で説明される実施形態のさらならう理解のために、単にいくつかの仮定のウェハ構造を説明することを意図している。
設計の部分400内で示される構造を印刷するために用いられるツール、材料およびプロセスの内在的な制約により、構造は、必ずしも設計に含まれるようにウェハに印刷されなくともよい。例えば、図5に示すように、設計に示すような、鋭角の、90度のコーナーを有する、部分400のパターン402、404および406の代わりに、パターンは少なくとも幾分丸まったコーナーを有する。加えて、構造のうちいずれかは、構造にわたる種々の位置において、幅等の寸法においてばらつきがあってもよい。例えば、図5に示すように、パターン406は、構造にわたる複数の位置においてこの構造の設計での特徴と比べて幾分線幅にばらつきがある。
ROIと、ROI毎の測定タイプはしたがって、設計上のパターンの特徴に基づいて、潜在的にはパターンに付随する潜在的事項のいくつかの演繹的知識と組み合わせて、本明細書で説明されるように自動選択され得る。図5に示したパターンのいくつかの潜在的なROIが図6に示されている。これらの潜在的なROIは、図5に示したパターンに関して示されるが、実際にはROIは、図5に示したパターンに対応する設計に基づいて、すなわち、図4に示されたパターンに基づいて決定され得る。
図6に示す実施形態において、ROI600、602および604は、フィーチャの部分にわたり実質的に均一な寸法を有するように設計されたフィーチャの部分に関して定められ得る。例えば、ROI600は、その部分にわたり実質的に均一な寸法を有するように設計されたフィーチャ402の部分に関して生成されることができ、ROI602および604は、それらの部分にわたり実質的に均一な寸法を有するように設計されたフィーチャ406の部分に関して生成されることができる。これらのROIに関して自動選択される測定タイプは、線幅測定を含み、それは、パターン化フィーチャのネッキングまたは膨出を検出するために用いられる。
別のROIである、ROI606は、ROIにわたり実質的に同じ寸法を有するように設計されたフィーチャのうち2つ、フィーチャ402と406の間の空間に関して自動生成されてもよい。本明細書で説明される実施形態によってこのROI向けに自動選択された測定タイプは、ギャップ測定(2つのフィーチャ間の距離または距離の何らかの統計的尺度)を含んでもよい。ギャップ測定は、2つのパターン化フィーチャの間のブリッジングの問題を検出するために実行されてもよい。
本明細書で説明される実施形態は、フィーチャのうち1つ以上の端部に、および/または端部付近に、いくつかのROIを自動生成するように構成されてもよい。例えば、図6に示すように、ROI608および610は、フィーチャ402の端部に自動生成され得るのに対し、ROI612および614は、フィーチャ406の端部に自動生成され得る。これらのROI向けに選択される測定タイプは、線末端位置、線末端プルバック、線末端距離(例えば、直線の2つの線末端間の距離)または、印刷上フィーチャに対する設計上のフィーチャの末端の相対位置を記述するために用いられ得る何らかのその他の測定タイプであってよい。
1つ以上のROIはさらに、設計でのパターン化フィーチャのうち1つ以上のコーナーに対して自動生成されてもよい。図6に示すように、ROI616および618は、フィーチャ406のコーナーに自動生成され得る。これらのROI向けに選択される測定タイプは、曲率、半径、距離、円弧領域、またはコーナーの形状を記述するために用いられ得る何らかのその他の測定タイプであってよい。
別のROIは、設計における接点のパターン化フィーチャ向けに本明細書で説明される実施形態によって自動生成されてもよい。例えば、図6に示すように、ROI620は、接点フィーチャ404向けに生成されてもよい。このROI向けに選択される測定タイプは、直径、幅、高さ、半径、面積または、印刷上の接点が、設計上の接点と如何に異なるかを記述するために用いられ得る別の測定タイプであってよい。
計測プロセスに関して決定され得る別の測定タイプは、先端間(2つの線末端間のギャップの測定)、先端と線の間(線末端と線の間のギャップの測定)、線長(直線の長さの測定)およびコーナー間の測定を含む。
したがって上記のように、本明細書で説明される実施形態は、計測プロセスのために、ウェハの設計の少なくとも一部分の、ROIへの、設計に基づくセグメンテーションを実行するように構成されてもよい。加えて、セグメントのうちいくつかは、直線セグメント、直線ギャップセグメント、線末端セグメント、コーナーセグメントおよび接点セグメントを含み得る。異なるセグメントと、対応するROIは、設計において、本明細書で説明されるいくつかの異なる方式で決定され得る。例えば、セグメントまたはROIは、設計に1つ以上のルールを適用することによって決定されてもよい。別の例では、設計においてパターン化フィーチャを通る仮想中心線(それら設計の一部ではない、またはウェハ上に印刷されていないという意味で仮想である)が、本明細書により詳細に説明されるように特定されてもよく、次に、これらの中心線を用いて、パターン化フィーチャをセグメントおよび/またはROIにセグメント化できる。例えば、パターン化フィーチャを通る直線中心線は、その直線中心線が通るパターン化フィーチャの部分を、直線セグメントとして特定するために用いられてもよい。別の例では、2つのパターン化フィーチャの間の空間を通る直線中心線は、その直線中心線が通る空間の部分を、直線ギャップセグメントとして特定するために用いられてもよい。付加的な例では、2つの直線が90度で接合するパターン化フィーチャの部分が、コーナーセグメントとして特定されてもよい。本明細書で説明されるその他のセグメントは、仮想中心線を用いて同様の方式で特定され得る。
計測プロセスの種々の位置(測定サイト位置、アライメントサイト位置、オートフォーカスサイト位置等)が決定されると、計測レシピセットアップは、種々の付加的なステップを含む可能性があり、そのうちいくつかは、物理的ウェハを用いて計測ツール上で実行され得る。例えば、1つ以上の位置は、測定サブシステムのFOVに位置決めされてもよい。測定サブシステムのFOVに1つ以上の位置が位置決めされると、測定サブシステムの出力が、測定サブシステムのパラメータの異なる値、すなわち、光学的パラメータ、電子ビームパラメータまたはイメージングパラメータを用いて生成されてもよい。パラメータの異なる値を用いて生成された異なる出力は次に比較されて、その1つ以上の位置に関する計測プロセスで用いるのに、パラメータのうちどれが最適であるかを決定する。加えて、異なる測定サブシステムパラメータが、同じ計測プロセスで測定されることになる異なる位置に関して選択されてもよい。例えば、一組の測定サブシステムのパラメータが、1つのタイプのROIにおける1つの測定タイプとして最適であると判断され得る(したがって選択される)のに対し、別の異なる組の測定サブシステムのパラメータが、別の、異なるタイプのROIにおける別の異なる測定タイプとして最適であると判断され得る(したがって選択される)。同様に、コンピュータサブシステム(複数)によって、測定サブシステムによって生成された出力に適用された1つ以上の方法の1つ以上のパラメータおよび/またはアルゴリズムは、位置タイプ毎のベースで決定され得る(異なる方法および/またはアルゴリズム、および/または、同じ方法(複数)および/またはアルゴリズム(複数)の異なるパラメータが、ウェハ上の異なるタイプの位置で生成された出力に適用され得るように)。
いくつかの実施形態では、コンピュータサブシステム(複数)は、計測プロセス中に、ROIの第1と第2のサブセットの試料上の位置を、検出器の出力を試料の設計に位置合せすることによって決定するように構成される。例えば、コンピュータサブシステムは、自動SEM-設計ファインアライメント(例えば、SEMのFOV内の幾何学形状を用いて)向けに構成されてもよい。SEMと設計ファインアライメントは、グローバルアライメントが、測定サブシステムによって生成された画像内の構造の中心線と設計構造のアライメントを保証しない故に実行され得る。
測定サブシステムの出力を設計に位置合せするいくつかの実施形態では、出力と設計内のパターン化フィーチャを通って引かれた仮想中心線が、ファインアライメントに用いられ得る(一方、本明細書にさらに詳しく説明されるアライメントマークが、ウェハまたは1つ以上のFOVのグローバルアライメントに用いられ得る)。図7および8は、出力内と設計内のフィーチャのエッジをアライメントに用いる場合に起こり得るいくつかの事項を示す。例えば、図7に示すように、設計の部分は2つのフィーチャ、線700およびポリゴン702を含み得る。加えて、設計の部分に対応する、測定サブシステムによって生成された出力の部分は、2つのフィーチャの出力、線704およびポリゴン706を含み得る。設計と測定サブシステムの出力において、フィーチャは、上記にさらに詳しく説明したように、ウェハ上の設計の印刷により異なって現れる。
測定サブシステムの出力(例えばSEM画像)は、関心パターンの上エッジまたは下エッジでのエッジからエッジ手法を用いて設計に位置合せされ得る。例えば、図7に示すように、ポリゴン702および706の水平部分の下エッジ708がアライメントに用いられ、次に、ポリゴンの領域710および712においてポリゴン706に実行された線末端測定が1つの測定をもたらす。しかしながら、図8に示すように、ポリゴン702および706の水平部分の上エッジ800がアライメントに用いられた場合、領域710および712においてポリゴン706に実行された線末端測定は、異なる1つの測定をもたらす。このように、出力に対する設計のアライメントにポリゴンのどのエッジが用いられたかによって、線末端測定は、異なる結果を生み、それはいくつかの自明な理由により不都合である(例えば、線末端プルバック測定が矛盾する)。
したがって、本明細書で説明される実施形態は、エッジ間のアライメントを用いる代わりに、測定サブシステムの出力の、設計へのアライメントを、出力と設計におけるフィーチャの中心を用いて実行する。例えば、図9に示すように、ポリゴン702および706の中心がアライメントに用いられる場合、上記のエッジアライメント方法のうちいずれかが用いられた場合と比べて、ポリゴンの領域710および712においてポリゴン706に対して実行される線末端測定に対して、異なる測定が生じることになる。しかしながら、フィーチャの中心を用いて測定サブシステムの出力と設計を位置合せすることは、ROI間の遥かに一貫性のあるアライメントをもたらし、それにより、ROIの実質的に一貫性のある測定(例えば、コーナー測定、線末端プルバック測定および幅測定)をもたらす。アライメントに、フィーチャのエッジではなく中心を用いることはさらに、ひどく歪んだパターンに関して、また、FOVが関心パターンを位置合せする多くのフィーチャを有していない場合に、アライメントの確実さを改善する。
図10-12は、設計の部分のパターン化フィーチャの中心と測定サブシステム出力のパターン化フィーチャの中心が、設計を出力に位置合せするためにどのように使われ得るかを示す。例えば、図10に示すように、試料の設計の部分は4つの異なるフィーチャ、線1000、1002、1004およびポリゴン1006の部分を含み得る。図10にさらに示すように、設計の部分に含まれる各フィーチャの部分全体を通る仮想中心線が決定され得る。例えば、仮想中心線1008、1010および1012が、線1000、1002および1004の部分に対して決定され得る。加えて、仮想中心線1014がポリゴン1006に関して決定され得る。仮想中心線は任意の適切な方式で決定され得る。
仮想中心線は、測定サブシステムの出力で現れるようにパターン化フィーチャに関して決定されてもよい。例えば、図11に示すように、測定サブシステム 出力設計の部分は、図10に示すフィーチャ、例えば、線1100、1102および1104およびポリゴン1106の部分に対応する4つの異なるフィーチャを含み得る。図11にさらに示すように、設計のこの部分に含まれる各フィーチャの部分全体を通る仮想中心線が決定されてもよい。例えば、線1100、1102および1104の部分に関して仮想中心線1108、1110および1112が決定されてもよい。加えて、仮想中心線1114は、ポリゴン1106に関して決定されてもよい。仮想中心線は、本明細書により詳細に説明されるように決定され得る。
設計におけるパターン化フィーチャの中心線は再現性をもって決定されてもよく、したがって、出力におけるパターン化フィーチャの中心線は、実質的に再現性をもって決定され得るため、仮想中心線は、設計におけるパターン化フィーチャを出力におけるパターン化フィーチャに比較的再現性をもって位置合せするために用いられ得る。例えば、図12に示すように、中心線1008と1108のアライメント1200を用いて、設計における線1000を出力における線1100に再現性をもって位置合せできる。別の例では、中心線1010と1110のアライメント1202を用いて、設計における線1002を出力における線1102に再現性をもって位置合せできる。さらに、中心線1012と1112のアライメント1204を用いて、設計における線1004を出力における線1104に再現性をもって位置合せできる。さらに、中心線1014と1114のアライメント1206を用いて、設計におけるポリゴン1006を出力におけるポリゴン1106に再現性をもって位置合せできる。
当然、設計の部分にあるフィーチャを、測定サブシステムの出力での設計の同じ部分に位置合せするために、フィーチャの全ての互いに対するアライメントをもたらすためにその部分におけるフィーチャ全ての中心線の全てが互いに位置合せされている必要はない。例えば、図12に示す例において、設計におけるポリゴンの中心線と、出力におけるポリゴンの中心線のアライメントを用いて、ポリゴンに関する、ならびに、設計のこの部分内の残りのフィーチャに関する精密な設計と出力のアライメントをもたらしてもよい。設計におけるフィーチャを測定サブシステム出力におけるフィーチャに位置合せできることの再現性は、アライメントの結果を用いて実行される測定の一貫性を改善する。
別の実施形態において、測定(複数)のパラメータ(複数)は、測定(複数)が実行される範囲の1つ以上の寸法の境界を含む。例えば、コンピュータサブシステム(複数)は、測定境界の自動生成のために構成され得る。測定境界は各独自のサイトに関して、ランタイムにおいて(セットアップ中にパラメータは不要である)自動決定され得る。
いくつかの実施形態では、測定が実行される範囲の寸法の境界は、本明細書にさらに詳しく説明される中心線を用いて決定され得る。例えば、図13に示すように、ウェハ上に形成される設計の部分は、4つのパターン化フィーチャ1300、1302、1304および1306を含んでもよく、それらは、それらがウェハ上に形成され得るような形で図13に示されており、次に、測定サブシステムによってイメージングされる。仮想中心線1308、1310、1312および1314は、本明細書により詳細に説明されるようにフィーチャそれぞれに関して生成される。仮想中心線は、パターン化フィーチャ間の空間に対しても生成されてもよい。空間の中心線は、設計における2つの隣接するフィーチャの間の中間点によって画定されてもよい。例えば, 中心線1316は、フィーチャ1300と任意の別の隣接するフィーチャ(例えばフィーチャ 1302)の中心線間の中間点に基づいて画定されてもよい。中心線1318は、フィーチャ1302の中心線と、このフィーチャの左側にあり、フィーチャ1300の上方に延在する任意の別の隣接するフィーチャ(図13には図示せず)の中心線との間の中間点に基づいて画定されてもよい。中心線1320は、フィーチャ1304の中心線と、任意の別の隣接するフィーチャ(例えばフィーチャ1302および1306)の中心線の間の中間点に基づいて画定されてもよい。中心線1322は、フィーチャ1302の中心線と、フィーチャ1306の中心線の間の中間点に基づいて画定されてもよい。加えて、中心線1324は、フィーチャ1306の中心線と、このフィーチャの右側の任意の隣接するフィーチャ(図13には図示せず)との間の中間点に基づいて画定されてもよい。図13に示す中心線は、測定サブシステム出力においてそれらが現れるように、パターン化フィーチャに対して画定されるものとして説明されるが、中心線は、付加的に、または代替的に、設計自体にそれらが現れるようなパターン化フィーチャに基づいて画定されてもよい。加えて、パターン化フィーチャ間の空間における中心線は、上記ではパターン化フィーチャにおける中心線に基づいて画定されるものとして説明されているが、空間における中心線は、パターン化フィーチャの何らかの別の特徴(例えば、パターン化フィーチャのエッジ)に基づいて画定されてもよい。
次にパターン化フィーチャ間の空間における中心線は、実行されるパターン化フィーチャの任意の測定の境界として用いられ得る。例えば、図13に示すように、パターン化フィーチャ1304のクリティカル・ディメンション(CD)がこのパターン化フィーチャに関して測定されることになっている場合、測定は、線1326のうち1つに沿って、パターン化フィーチャの一方の側部上の中心線1320の位置から、パターン化フィーチャの他方の側部上の中心線1320の位置まで、パターン化フィーチャ1304内の中心線1312に対して実質的に垂直な方向に実行され得る。このように、測定は、パターン化フィーチャを通る中心線に直交する方向に実行され得る。図13では、パターン化フィーチャ1304に関して異なる測定が実行され得る範囲の寸法を表すものとして3本の線1326が示されているが、任意の適切な数のそのような測定が、パターン化フィーチャ内の中心線に沿った任意の適切な位置で実行されてもよい。加えて、測定は、フィーチャの中心線に対して実質的に平行な方向に実行されてもよい。例えば、図13に示すように、測定は線1328のうち一本に沿って実行されてもよく、図13では図示していないが、そのような測定の境界は、本明細書により詳細に説明されるように、パターン化フィーチャ間の空間における中心線によって決定されてもよい。さらに、図13では図示していないが、測定が実行される範囲の寸法は、パターン化フィーチャの中心線および/またはパターン化フィーチャの間の空間の中心線と、直角以外の角度で交差する(例えば、半径測定のために、線末端プルバック測定用、線末端距離測定用、等)。
パターン化フィーチャ間の空間における中心線を、パターン化フィーチャに実行される任意の測定の境界として用いることは、測定が、パターン化フィーチャの外部で開始し終了することを有利に保証することができ、それにより、測定がパターン化フィーチャの寸法全体にわたり実行されること、また、測定の境界がパターン化フィーチャから十分に外側であることを保証し、その結果、パターン化フィーチャのエッジが、測定中に生成された出力において、十分な精度および/または信頼性で決定され得る。例えば、測定が開始する境界が、パターン化フィーチャのエッジに近すぎた場合、パターン化フィーチャのエッジの、出力における位置は、測定境界と容易に混同される可能性がある、および/または測定境界ノイズに紛れてしまう。しかしながら、パターン化フィーチャ間の空間における中心線を用いて、本明細書で説明されるように測定の境界を決定すると、パターン化フィーチャのエッジ検出におけるいずれのそのようなエラーをも実質的に排除する。
同様に、本明細書で説明される測定が、2つのパターン化フィーチャの間の空間で実行される場合(例えば、2つのフィーチャ間のギャップを測定する)、その測定に係る境界は、その空間を包囲するパターン化フィーチャ内の中心線に基づいて決定されてもよい。こうして、測定は空間のエッジから十分に離れた位置で開始し終了することができ、それにより、測定が空間の寸法全体にわたり実行されること、また、空間のエッジが、比較的高い精度および/または信頼性で決定され得ることを保証する。
一実施形態において、測定(複数)は、試料上に形成された1つ以上の構造の1つ以上のエッジの測定(複数)中に検出器によって生成された出力における位置を自動決定することを含む。こうして、本明細書で説明される実施形態は、SEMエッジ位置の自動決定向けに構成されてもよい。いくつかの事例では、エッジ位置は、本明細書にさらに詳しく説明される1D勾配プロファイルを用いて決定されてもよい。例えば、エッジ位置は、1D勾配プロファイル内の最も強度の正または負の勾配ピークを発見することによって自動決定され得る。言い換えると、1D勾配プロファイルにおけるピーク点が、エッジ位置として選択され得る。するとCDまたはフィーチャのその他の属性は、エッジ位置に基づいて決定され得る。例えば、頂部、中間または底部CDは、正/負の勾配ピーク、ゼロ交差または、構造の中心を通って引かれた線に対して直角な1D勾配プロファイルの負/正の勾配ピークを用いて頂部、中間または底部エッジ位置を位置特定することによって決定され得る。しかしながら、エッジは勾配プロファイルを用いる以外に、別の測定アルゴリズムを用いて位置特定されてもよい。
別の実施形態において、コンピュータサブシステム(複数)は、測定(複数)の結果に基づいて、ROIの第1と第2のサブセットのうち一方の1つ以上の属性を自動生成するように構成される。このように、本明細書で説明される実施形態は、各ROIの測定統計と、属性を自動生成するように構成される。各ROIの測定統計は、他の全てのROIの計測結果から個別に決定され得る。種々の測定統計(例えば、最大、最小、中間、平均、中央、標準偏差、範囲および合計)が、ROIでの複数の測定を用いて生成され得る。別の例では、コンピュータサブシステム(複数)は、ウェハ上に形成されたパターン化構造の1次元(1D)グレイスケールプロファイル等のその他の属性の自動生成向けに構成されてもよい。1Dグレイスケールプロファイルは、パターン化構造を通る中心線に対して垂直またはパターン化構造を通る中心線に対して平行のいずれかである線に沿って生成される出力によって自動生成され得る。コンピュータサブシステム(複数)は、上記のように決定された1Dグレイスケールプロファイルの勾配を取ることによって自動生成され得る、1D勾配プロファイルの自動生成のためにも構成されてもよい。いくつかの事例では、1つのROI内の複数の測定は、1Dグレイスケールまたは勾配プロファイル毎に1つの測定を含んでもよい。測定統計は、実際のCD、正デルタCDおよび負デルタCDに関連してもよく、デルタCDは、設計に対するCD測定を提供する。加えて、構造を通る中心線に対して平行または直角の1Dグレイスケールプロファイルを用いた種々のタイプのグレイスケールまたは勾配に基づく属性(ピーク局所グレイレベル差、ピーク正または負の勾配等)が決定され得る。さらに、本明細書で説明される実施形態を用いて決定され得る測定統計および/または属性は、本明細書で説明されるものに限定されない。
別の実施形態において、1つ以上のコンピュータサブシステムは、第1と第2のサブセットのうち一方のROIの複数の事例の1つ以上の属性を、1つ以上の測定の結果に基づいて自動生成し、2つ以上の複数の事例に関する1つ以上の属性のうち少なくとも一方を比較して、2つ以上の複数の事例における異常値を特定するように構成される。このように、本明細書で説明される実施形態は、ウェハ上の種々のサイトにわたり測定統計と属性の相対比較を行って、異常値を決定するように構成されてもよい。ROI各々の測定統計および属性は、ウェハ上の種々のサイトにわたり比較されて、欠陥検出のための異常値を決定してもよい。
別の実施形態において、1つ以上のコンピュータサブシステムは、設計における1つ以上のアライメントサイトを自動選択するように構成され、計測プロセスは、計測プロセス中に試料上の1つ以上のアライメントサイトのうち少なくとも1つの1つ以上の位置を決定して、試料上の第1と第2のサブセットにおける1つ以上のROIの1つ以上の位置を、試料上の少なくとも1つのアライメントサイトの1つ以上の位置に基づいて決定することを含む。例えば、本明細書で説明される実施形態は、アライメントサイト(粗アライメント向け)を物理的設計分析で自動生成するように構成されてもよい。そのような1つの例において、計測計画生成中に、各FOVに関して、コンピュータサブシステム(複数)は、物理的設計を用いて、各測定サイトに関する特異なアライメントサイト(複数)とオートフォーカスサイト(複数)を自動決定するように構成されてもよい。特異なアライメントサイト(複数)とオートフォーカスサイト(複数)を自動決定することは、設計分析アルゴリズムおよびソフトウェアを用いて実行されてもよい。
いくつかの実施形態では、本明細書で説明されるシステムは、測定サブシステムと、コンピュータサブシステムのうち少なくとも1つを含む計測ツール上のFOV毎の計測計画を実行するように構成されてもよい。1つのそのような実施形態において、システムは、FOV毎のオートフォーカスを実行し、次に、ROV毎のアンカーポイントアライメントを実行してもよい。そのようないくつかの事例では、システムは、アンカーポイントおよび測定サイトに関する設計クリップを、オートフォーカスおよび/またはアンカーポイントアライメントに用いるために設計データベースからフェッチしてもよい。システムはさらに、FOV毎の測定サイトアライメント向けに構成され、また、FOV内のROI(複数)における選択されたタイプの測定を実行する等の、測定サイトに関する測定計画を実行するように構成されてもよい。次にコンピュータサブシステム(複数)は、ROI毎の測定データを生成してもよい。
いくつかの実施形態では、計測プロセスは、1つのROIにおいて実行される1つ以上の測定のみに基づいて第1のサブセット内のROIと第2のサブセット内のROIのうち1つに欠陥が存在するかどうかを判断することを含む。言い換えると、あるROIにおける欠陥検出は、任意の別のROI(そのROIと同じダイ、またはROIが配置されているダイとは異なるダイ)において生成された出力またはそのような出力を用いて生成された任意の測定に基づかなくてもよい。例えば、1つのROIに関して、そのROIにおいて生成された出力のみを用いて生成された測定結果は、閾値と比較され、閾値を越える測定結果はいずれも欠陥であると判断され得るのに対して、閾値未満の測定結果はいずれも、欠陥ではないと判断され得る(または、逆も成立する)。加えて、そのような欠陥検出は、1つより多い閾値(例えば上閾値と下閾値)および/または任意の別の適切な欠陥検出方法および/またはアルゴリズムを用いて実行されてもよい。
このように、1つ以上のパラメータが決定される計測プロセスは、ROIに基づくシングルダイ欠陥検出を含み得る。そのような欠陥検出は、ROI位置で種々のタイプの属性(例えば、CD測定、勾配規模、局所的グレイレベルコントラスト等)を生成することにより、種々の欠陥タイプ(例えば、パターン欠陥、エピタキシャルレイヤの欠如および/またはアンダーフィル、シリコンゲルマニウム(SiGe)欠陥等)を検出するために実行されてもよい。
本明細書で説明される実施形態と対照的に、ROIに基づくシングルダイ欠陥検出のための現在使用されている方法は、欠陥検出に基準画像または基準輪郭(取得または生成された)を用いる。取得画像の手法は、ROIに基づくシングルダイ欠陥検出に比べてスループットが半分である。生成画像または輪郭の手法は、基準の生成の複雑さと不正確さという欠点がある。
一実施形態において、ROIの第1と第2のサブセットのうち1つに実行される1つ以上の測定は、一方のROIの、他方のROIのCD測定に相対したCD測定を含む。このように、1つ以上のパラメータが決定される測定は、所与のウェハ上の所与の関心パターン(POI)の複数の事例のCDが比較され得る相対CD測定であり得る。言い換えると、CD測定は、絶対測定というよりは相対測定であり得る。本明細書で説明される実施形態とは対照的に、相対CD測定向けに現在使用されている方法は、CD-SEMツールを用い、CD-SEMツールでは、サイト毎に複数のROIを規定するレシピセットアップは非常に手動的であり時間がかかるプロセスであるため、CD測定に関して測定され得るのは、サイト毎に非常に限定された数のROIおよびダイ毎に限定された数の特異なサイトのみである。
別の実施形態において、ROIの第1と第2のサブセットのうち1つにおいて実行される1つ以上の測定は、一方のROIの、他方のROIのオーバーレイ測定に相対したオーバーレイ測定を含む。このように、1つ以上のパラメータが決定される測定は、相対オーバーレイ測定であり得る。言い換えると、オーバーレイ測定は、絶対測定というよりは相対測定であり得る。オーバーレイエラーは、マルチパターニング製造プロセス(例えば、ダブル、トリプル、カッドパターニング)、スペーサピッチ分割製造プロセス等の間に測定され得る。加えて、オーバーレイ エラーは、ウェハ上に形成される現在のレイヤとウェハに形成された以前のレイヤの間で測定され得る。本明細書で説明される実施形態とは対照的に、相対オーバーレイ測定向けに現在使用されている方法は、CD-SEMツールを用い、CD-SEMツールでは、サイト毎に複数のROIを規定するレシピセットアップは非常に手動的であり時間がかかるプロセスであるため、オーバーレイ測定に関して測定され得るのは、サイト毎に非常に限定された数のROIおよびダイ毎に限定された数の特異なサイトのみである。
いくつかの実施形態では、試料は、プロセスウィンドウ検証(PWQ)ウェハを含み、自動生成することは、計測プロセス中に測定される対象のROIを、設計と、試料に実行される検査プロセスの結果に基づいて自動生成することを含む。このように、1つ以上のパラメータが決定される測定は、KLA-Tencorから市販されている検査ツールのうち1つのような検査ツールによって実行されるウェハのPWQ検査によって検出され得るPWQウェハ上のパターン欠陥の自動レビュー(例えばCD測定を用いて)を含み得る。いくつかの事例では、PWQ検査によって検出された欠陥は、計測のためのホットスポットとして用いられることができ、計測ホットスポットで実行された測定および検出は、PWQウィンドウ(例えば、PWQが実行されるプロセスパラメータのウィンドウ)を再規定するために用いられ得る。パターン欠陥の自動PWQレビューに現在使用されている方法は、PWQ検査によって発見されたパターン欠陥の手動または自動設計に基づくレビューを実行する。手動方法は不正確であり信頼できず(例えば、ユーザが完全なパターン不具合を見落とすことがある、または非常に微細な(例えば3から7nmの)CDのばらつきを識別できない)、また、設計に基づく手法では、発見ステップと計測ステップの間のレシピセットアップも必要となる。
PWQ検査は、2005年6月7日にPetersonらに発行された米国特許第6,902,855号、2008年8月26日にPetersonらに発行された米国特許第7,418,124号、2010年8月3日にKekareらに発行された米国特許第7,769,225号、2011年10月18日にPakらに発行された米国特許第8,041,106号、2012年7月3日にPetersonらに発行された米国特許第8,213,704号に記載されており、それらの特許は完全に記載されたかのように参照により本明細書に組み込まれる。本明細書で説明される実施形態は、これらの特許に記載される任意の方法(複数)の任意のステップ(複数)を含んでもよく、さらに、これらの特許に記載されるように構成されてもよい。PWQウェハは、これらの特許に記載されるように印刷されてもよい。
別の実施形態において、計測プロセスは、試料に実行される製造プロセスのインラインモニタリング中に試料に実行される。このように、1つ以上のパラメータが決定される測定は、インラインモニタリングに実行される計測プロセス(すなわち、製造組立プロセスによって製作されるウェハ上に実行される測定)を含み得る。そのような計測プロセスは、ゲートクリティカルディメンション均一性(CDU)測定、線のエッジ粗さ(LER)/線幅粗さ(LWR)測定、CD/オーバーレイ測定等の測定に実行されてもよい。
別の実施形態において、自動生成することは、計測プロセス中に測定される対象のROIを、設計と、試料に実行される検査プロセスの結果に基づいて自動生成することを含む。例えば、インラインモニタリングはさらに、検出された欠陥の位置が、検査主導型計測の「ホットスポット」として本質的に用いられるように、検査によって検出された欠陥の位置に対して実行され得る。いくつかのそのような実施形態では、計測の結果は、検査の結果と相関されてもよい。例えば、いくつかの事例では、検査によって生成されるパターン忠実度シグナチャが、計測中に実行される測定と相関されてもよい。
本明細書で説明される実施形態とは対照的に、インラインモニタリング中の計測に現在使用されている方法は、特定の計測ターゲット(例えば、ウェハ上のスクライブラインに印刷された)でのCD/オーバーレイ測定を実行するためのCD-SEMツールを用いるが、レシピセットアップが、ROIを規定するにあたり非常に手動的であるため、ウェハ上の数千の特異なサイトを自動的に測定できない。インラインモニタリング向けに現在使用されているいくつかの別の方法は、SEMレビューツールを用いて、数百万のホットスポット位置からいくつかの位置をランダムにサンプリングして、ダイ毎のモードを用いてクリティカルポイント検査(CPI)を実行することを含む。しかしながら、ホットスポット 位置はランダムにサンプリングされるため、現在使用されている方法は、かなり多数のホットスポット欠陥を見落とすことがある。
別の実施形態において、1つ以上のコンピュータサブシステムは、ROIの第1と第2のサブセットのうち1つに実行された1つ以上の測定を、ROIの第1と第2のサブセットのうち1つの設計意図と比較して、比較の結果に基づいて光近接効果補正(OPC)モデルを修正するように構成される。このように、1つ以上のパラメータが決定される対象の測定プロセスは、OPCモデルの、設計意図に対する検証のために実行されてもよい。本明細書で説明される実施形態とは対照的に、設計意図に対するOPCモデル検証のために現在使用されている方法は、CD-SEMツールを用い、CD-SEMツールにおいて、サイト毎に複数のROIを規定するレシピセットアップは非常に手動的であり時間がかかるプロセスであるため、CD測定に関して測定され得るのは、サイト毎に非常に限定された数のROIおよびダイ毎に限定された数の特異なサイトのみである。OPCに、脆弱な構造を自動的に発見し、ダイ毎に数千の特異なサイトを即座におよび/または自動的にセットアップし測定することが必要とされる。
別の実施形態において、1つ以上のコンピュータサブシステムは、ROIの第1と第2のサブセットのうち1つにおける欠陥を、1つ以上の測定に基づいて検出し、1つ以上の測定を、検出された欠陥の欠陥属性として報告するように構成される。このように、計測プロセスは、パターン忠実度測定を、再検出アルゴリズムによって報告された欠陥位置での欠陥属性として報告することを含んでもよい。本明細書で説明される実施形態とは対照的に、現在使用されている方法は、測定統計を欠陥属性の一部として報告しないため、パターン歪みがニューサンスであるか、部分的破損であるか全破損であるか、部分ブリッジであるか全ブリッジであるかを定量化できない。
本明細書で説明される実施形態は、1つの計測プロセス向けに1つ以上のパラメータを決定するために現在用いられている方法と比較していくつかの利点を有する。例えば、本明細書で説明される実施形態は、何千もの特異なサイトに関するROIを生成するための実質的に迅速で自動のオンザフライ機構を提供し、次に種々のサイトにわたる各ROIに関する種々の測定統計と属性を自動生成し(所与のサイトのSEM画像および物理的設計クリップを用いて)、それは次に、本明細書で説明される種々の使用ケースに役立つために用いられ得る。
別の実施形態は、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定するためのコンピュータ実装方法に関する。方法は、上記の自動生成ステップと、自動決定ステップを含む。
方法のステップそれぞれは、本明細書でさらに説明されるように実行されてもよい。方法はさらに、本明細書で説明される測定サブシステムおよび/またはコンピュータサブシステム(複数)またはシステム(複数)によって実行され得る任意の別のステップ(複数)を含んでもよい。自動生成ステップと自動決定ステップは、本明細書で説明される実施形態のうちいずれに従って構成されてもよい1つ以上のコンピュータシステムによって実行される。加えて、上記の方法は本明細書で説明されるシステムの実施形態のうちいずれによって実行されてもよい。
別の実施形態は、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定するためにコンピュータ実装方法を実行するコンピュータシステムで実行可能なプログラム命令を記憶する非一時的コンピュータ可読媒体に関する。1つのそのような実施形態が図14に示されている。特に、図14に示すように、非一時的コンピュータ可読媒体1400は、コンピュータシステム1404上で実行可能なプログラム命令1402を含む。コンピュータ実装方法は、本明細書で説明される任意の方法(複数)の任意のステップ(複数)を含んでもよい。
本明細書で説明されるような方法を実行するプログラム命令1402は、コンピュータ可読媒体1400に記憶されてもよい。コンピュータ可読媒体は、磁気もしくは光学ディスク、磁気テープまたは、当技術分野で公知の任意の別の適切な非一時的コンピュータ可読媒体であってよい。
プログラム命令は、とりわけ、手順に基づく技法、コンポーネントに基づく技法、および/またはオブジェクト指向技法を含む種々の方法のうちいずれで実施されてもよい。例えば、プログラム命令は、アクティブXコントロール、C++オブジェクト、Java(登録商標)ビーンズ、マイクロソフトファンデーションクラス(MFC)、SSE(ストリーミングSIMD拡張命令)またはその他の技術もしくは方法論を所望に用いて実施されてもよい。
コンピュータシステム1404は、本明細書で説明される実施形態のうちいずれに従って構成されてもよい。
本発明の種々の態様のさらなる変更と代替実施形態は、この説明に鑑み当業者には明らかとなろう。例えば、試料に実行されることになっている計測プロセスの1つ以上のパラメータを決定する方法およびシステムが提供される。したがって、この説明は、単に説明として解釈されるべきであり、本発明を実行するための一般的な方式を当業者に提示する目的のためである。本明細書に示され説明される本発明の形式は、本発明の好適な実施形態として捉えられるべきであることを理解すべきである。要素および材料は、本明細書に図示され説明されるものと置き換えられてもよく、部品およびプロセスは逆転されてもよく、本発明の特定の特徴は個別に利用されてもよく、それは全て、本発明のこの説明があれば当業者には明白であろう。以下の特許請求の範囲に記載される本発明の趣旨および範囲から逸脱せずに、本明細書に記載される要素に変更がなされてもよい。


Claims (26)

  1. 試料に対して実行される計測プロセスの1つ以上のパラメータを決定するように構成されたシステムであって、
    少なくともエネルギー源と検出器を含む測定サブシステムと、
    1つ以上のコンピュータサブシステムと、
    を備え、
    前記エネルギー源が前記試料に向けられるエネルギーを生成するように構成され、前記検出器が前記試料からのエネルギーを検出し、検出されたエネルギーに応答して出力を生成するように構成され、
    前記1つ以上のコンピュータサブシステムが、
    前記測定サブシステムを用いて前記試料に実行される計測プロセス中に測定される関心領域を、前記試料の設計に基づいて自動生成し、
    前記測定サブシステムを用いた計測プロセス中に前記関心領域の第1および第2のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータを、前記関心領域の前記第1および第2のサブセット内にそれぞれ位置する前記試料の設計の部分に基づいて自動決定し、前記第1のサブセットにおいて実行される前記1つ以上の測定の前記1つ以上のパラメータが、前記第2のサブセットにおいて実行される前記1つ以上の測定の前記1つ以上のパラメータとは別個に独立して決定され、
    前記第1および第2のサブセットのうち一方における前記関心領域の複数の事例の1つ以上の属性を、前記1つ以上の測定の結果に基づいて自動生成し、
    前記1つ以上の属性の少なくとも1つを、前記複数の事例のうち2つ以上に関して比較し、前記複数の事例のうちの前記2つ以上における異常値を特定する、
    ように構成され、
    前記関心領域を自動生成することと前記自動決定することに用いられる前記試料の設計が、前記試料上に印刷されない前記設計のフィーチャを含まない、ことを特徴とするシステム。
  2. 請求項1に記載のシステムであって、前記関心領域を自動生成することと前記自動決定することが、前記計測プロセスのセットアップ中に実行されることを特徴とするシステム。
  3. 請求項1に記載のシステムであって、前記関心領域を自動生成することと前記自動決定することが、前記計測プロセスのランタイム中にオンザフライ方式で実行されることを特徴とするシステム。
  4. 請求項1に記載のシステムであって、前記関心領域を自動生成することが、前記計測プロセスのセットアップ中に前記設計のルールに基づく検索を実行することを含むシステム。
  5. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムが、電子設計自動化ツールのコンピュータサブシステムを含むシステム。
  6. 請求項1に記載のシステムであって、前記関心領域の前記第1のサブセットに関して自動決定される前記1つ以上のパラメータが、前記関心領域の前記第1のサブセットにおいて実行される前記1つ以上の測定の第1のタイプとなり、前記関心領域の前記第2のサブセットに関して自動決定される前記1つ以上のパラメータが、前記関心領域の前記第2のサブセットにおいて実行される前記1つ以上の測定の第2のタイプとなり、前記1つ以上の測定の前記第1のタイプと前記第2のタイプが互いに異なることを特徴とするシステム。
  7. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムがさらに、前記試料の、前記関心領域の前記第1および第2のサブセットでの位置を、前記検出器の出力を前記試料の設計に位置合せすることによって、前記計測プロセス中に決定するように構成されることを特徴とするシステム。
  8. 請求項1に記載のシステムであって、前記1つ以上の測定の前記1つ以上のパラメータが、前記1つ以上の測定が実行される範囲の1つ以上の寸法の境界を含むシステム。
  9. 請求項1に記載のシステムであって、前記1つ以上の測定が、前記試料上に形成された1つ以上の構造の1つ以上のエッジの、前記1つ以上の測定中に前記検出器によって生成された出力における位置を自動決定することを含むシステム。
  10. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムがさらに、前記1つ以上の測定の結果に基づいて、前記関心領域の前記第1および第2のサブセットのうち一方の1つ以上の属性を自動生成するように構成されるシステム。
  11. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムがさらに、前記設計における1つ以上のアライメントサイトを自動選択するように構成され、前記計測プロセスが、前記計測プロセス中に前記試料上の前記1つ以上のアライメントサイトのうち少なくとも1つの1つ以上の位置を決定し、前記試料上の前記少なくとも1つのアライメントサイトの前記1つ以上の位置に基づいて、前記関心領域の1つ以上の、前記第1および第2のサブセットにおける1つ以上の位置を決定することを含むシステム。
  12. 請求項1に記載のシステムであって、前記計測プロセスが、前記第1のサブセット内の関心領域と前記第2のサブセット内の関心領域のうち1つに欠陥が存在するかどうかを、その1つの関心領域内で実行される前記1つ以上の測定のみに基づいて判断することを含むシステム。
  13. 請求項1に記載のシステムであって、前記関心領域を自動生成することが、前記計測プロセス中に測定される対象の前記関心領域を、前記設計と、前記試料に対して実行された検査プロセスの結果に基づいて自動生成することを含むシステム。
  14. 請求項1に記載のシステムであって、前記関心領域の前記第1および第2のサブセットのうち一方に実行される前記1つ以上の測定が、前記関心領域のうちの一つの、前記関心領域のうちの他のクリティカル・ディメンション測定に対するクリティカル・ディメンション測定を含むシステム。
  15. 請求項1に記載のシステムであって、前記関心領域の前記第1および第2のサブセットのうち一方に実行される前記1つ以上の測定が、前記関心領域のうちの一つの、前記関心領域のうちの他のオーバーレイ測定に対する、オーバーレイ測定を含むシステム。
  16. 請求項1に記載のシステムであって、前記試料がプロセスウィンドウ検証ウェハを含み、前記関心領域を自動生成することが、前記計測プロセス中に測定される対象の前記関心領域を、前記設計と、前記試料に対して実行された検査プロセスの結果に基づいて自動生成することを含むシステム。
  17. 請求項1に記載のシステムであって、前記計測プロセスが、前記試料に対して実行される組立プロセスのインラインモニタリング中に前記試料に対して実行される、システム。
  18. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムがさらに、前記関心領域の前記第1および第2のサブセットのうち1つに実行された前記1つ以上の測定を、前記関心領域の前記第1および第2のサブセットのうち前記1つに対する設計意図と比較し、前記比較の結果に基づいて光近接効果補正モデルを修正するように構成されるシステム。
  19. 請求項1に記載のシステムであって、前記1つ以上のコンピュータサブシステムがさらに、前記関心領域の前記第1および第2のサブセットのうち1つにおける欠陥を、前記1つ以上の測定に基づいて検出し、前記1つ以上の測定を、前記検出された欠陥の欠陥属性として報告するように構成されるシステム。
  20. 請求項1に記載のシステムであって、前記試料がウェハを含む、システム。
  21. 請求項1に記載のシステムであって、前記試料がレチクルを含む、システム。
  22. 請求項1に記載のシステムであって、前記試料に向けられるエネルギーが光を含み、前記試料から検出されるエネルギーが光を含むシステム。
  23. 請求項1に記載のシステムであって、前記試料に向けられるエネルギーが電子を含み、前記試料から検出されるエネルギーが電子を含むシステム。
  24. 請求項1に記載のシステムであって、前記試料に向けられるエネルギーがイオンを含むシステム。
  25. 試料に対して実行される計測プロセスの1つ以上のパラメータを決定するためのコンピュータ実装方法を実行するコンピュータシステムで実行可能なプログラム命令を記憶する非一時的コンピュータ可読媒体であって、前記コンピュータ実装方法が、
    測定サブシステムを用いて前記試料に実行される計測プロセス中に測定される関心領域を、前記試料の設計に基づいて自動生成することを含み、前記測定サブシステムが、少なくともエネルギー源と検出器をと含み、前記エネルギー源が前記試料に向けられるエネルギーを生成するように構成され、前記検出器が前記試料からのエネルギーを検出し、検出されたエネルギーに応答して出力を生成するように構成され、
    前記方法がさらに、前記測定サブシステムを用いた前記計測プロセス中に前記関心領域の第1および第2のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータを、前記関心領域の前記第1および第2のサブセット内にそれぞれ位置する前記試料の設計の部分に基づいて自動決定することを含み、前記第1のサブセットにおいて実行される前記1つ以上の測定の前記1つ以上のパラメータが、前記第2のサブセットにおいて実行される前記1つ以上の測定の前記1つ以上のパラメータとは別個に独立して決定され、
    前記第1および第2のサブセットのうちの一方における前記関心領域の複数の事例の1つ以上の属性を、前記1つ以上の測定の結果に基づいて自動生成し、
    前記1つ以上の属性の少なくとも1つを、前記複数の事例のうち2つ以上に関して比較し、前記複数の事例のうちの前記2つ以上における異常値を特定する、ように構成され、
    前記関心領域を自動生成することと前記自動決定することに用いられる前記試料の設計が、前記試料上に印刷されない前記設計のフィーチャを含まない、ことを特徴とする、非一時的コンピュータ可読媒体。
  26. 試料に対して実行される計測プロセスの1つ以上のパラメータの決定をコンピュータシステムにより実行する方法であって、
    測定サブシステムを用いて前記試料に実行される計測プロセス中に、測定される関心領域を前記試料の設計に基づいて自動生成することを含み、前記測定サブシステムが、少なくともエネルギー源と検出器とを含み、前記エネルギー源が前記試料に向けられるエネルギーを生成するように構成され、前記検出器が前記試料からのエネルギーを検出し、検出されたエネルギーに応答して出力を生成するように構成され、
    前記方法はさらに、前記測定サブシステムを用いた前記計測プロセス中に前記関心領域の第1および第2のサブセットにおいて実行される1つ以上の測定の1つ以上のパラメータを、前記関心領域の前記第1および第2のサブセット内にそれぞれ位置する前記試料の設計の部分に基づいて自動決定することを含み、前記第1のサブセットにおいて実行される前記1つ以上の測定の前記1つ以上のパラメータは、前記第2のサブセットにおいて実行される前記1つ以上の測定の前記1つ以上のパラメータとは別個に独立して決定され、前記自動生成と前記自動決定は、1つ以上のコンピュータシステムによって実行され、
    前記第1および第2のサブセットのうちの一方における前記関心領域の複数の事例の1つ以上の属性を、前記1つ以上の測定の結果に基づいて自動生成し、
    前記1つ以上の属性の少なくとも1つを、前記複数の事例のうち2つ以上に関して比較し、前記複数の事例のうちの前記2つ以上における異常値を特定する、ように構成され、
    前記関心領域を自動生成することと前記自動決定することに用いられる前記試料の設計が、前記試料上に印刷されない前記設計のフィーチャを含まない、ことを特徴とする方法。
JP2020206698A 2014-10-22 2020-12-14 自動式パターン忠実度測定計画生成 Active JP7093828B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462066902P 2014-10-22 2014-10-22
US62/066,902 2014-10-22
US14/918,394 2015-10-20
US14/918,394 US10267746B2 (en) 2014-10-22 2015-10-20 Automated pattern fidelity measurement plan generation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017521140A Division JP6811174B2 (ja) 2014-10-22 2015-10-21 自動式パターン忠実度測定計画生成

Publications (2)

Publication Number Publication Date
JP2021052200A JP2021052200A (ja) 2021-04-01
JP7093828B2 true JP7093828B2 (ja) 2022-06-30

Family

ID=55761513

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017521140A Active JP6811174B2 (ja) 2014-10-22 2015-10-21 自動式パターン忠実度測定計画生成
JP2020206698A Active JP7093828B2 (ja) 2014-10-22 2020-12-14 自動式パターン忠実度測定計画生成

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017521140A Active JP6811174B2 (ja) 2014-10-22 2015-10-21 自動式パターン忠実度測定計画生成

Country Status (6)

Country Link
US (2) US10267746B2 (ja)
JP (2) JP6811174B2 (ja)
KR (1) KR102317063B1 (ja)
CN (2) CN111882552B (ja)
TW (2) TWI698635B (ja)
WO (1) WO2016065079A1 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9767548B2 (en) 2015-04-24 2017-09-19 Kla-Tencor Corp. Outlier detection on pattern of interest image populations
US10062543B2 (en) 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
US10359371B2 (en) 2015-08-24 2019-07-23 Kla-Tencor Corp. Determining one or more characteristics of a pattern of interest on a specimen
KR102444706B1 (ko) 2015-12-22 2022-09-19 에이에스엠엘 네델란즈 비.브이. 프로세스-윈도우 특성화를 위한 장치 및 방법
US9916965B2 (en) 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10740888B2 (en) * 2016-04-22 2020-08-11 Kla-Tencor Corporation Computer assisted weak pattern detection and quantification system
US10395356B2 (en) 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications
US10346740B2 (en) 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
KR20170138207A (ko) * 2016-06-07 2017-12-15 삼성전자주식회사 표면 검사 방법
US10768533B2 (en) * 2016-10-20 2020-09-08 Kla-Tencor Corporation Method and system for generating programmed defects for use in metrology measurements
US10332810B2 (en) 2016-10-24 2019-06-25 Kla-Tencor Corp. Process modules integrated into a metrology and/or inspection tool
DE102017203879B4 (de) * 2017-03-09 2023-06-07 Carl Zeiss Smt Gmbh Verfahren zum Analysieren einer defekten Stelle einer photolithographischen Maske
US10656535B2 (en) * 2017-03-31 2020-05-19 Imec Vzw Metrology method for a semiconductor manufacturing process
US10551827B2 (en) 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
KR102369936B1 (ko) 2017-12-08 2022-03-03 삼성전자주식회사 광학 측정 방법
US10818005B2 (en) * 2018-03-12 2020-10-27 Kla-Tencor Corp. Previous layer nuisance reduction through oblique illumination
US10714366B2 (en) * 2018-04-12 2020-07-14 Kla-Tencor Corp. Shape metric based scoring of wafer locations
US10359706B1 (en) * 2018-06-11 2019-07-23 Kla-Tencor Corporation Integrated scanning electron microscopy and optical analysis techniques for advanced process control
IL281060B2 (en) 2018-08-28 2023-11-01 Asml Netherlands Bv Methods and systems of optimal meteorological guidance
US10923317B2 (en) * 2018-09-19 2021-02-16 KLA Corp. Detecting defects in a logic region on a wafer
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
US11333982B2 (en) * 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
CN112364606B (zh) * 2019-07-25 2024-03-12 上海微电子装备(集团)股份有限公司 一种测量处方的加载方法及其装置、测量设备
CN111258177B (zh) * 2020-03-09 2023-07-07 上海华虹宏力半导体制造有限公司 Opc图形生成方法
US11221300B2 (en) 2020-03-20 2022-01-11 KLA Corp. Determining metrology-like information for a specimen using an inspection tool
US11829077B2 (en) 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
JP2022153925A (ja) * 2021-03-30 2022-10-13 東レエンジニアリング先端半導体Miテクノロジー株式会社 ワークピース上のパターンの画像を生成する方法
US11782411B2 (en) 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002323458A (ja) 2001-02-21 2002-11-08 Hitachi Ltd 電子回路パターンの欠陥検査管理システム,電子回路パターンの欠陥検査システム及び装置
JP2009516832A (ja) 2005-11-18 2009-04-23 ケーエルエー−テンカー テクノロジィース コーポレイション 検査データと組み合わせて設計データを使用するための方法及びシステム
JP2009243993A (ja) 2008-03-31 2009-10-22 Hitachi High-Technologies Corp 走査型電子顕微鏡を用いた回路パターンの寸法計測装置およびその方法
JP2012516063A (ja) 2009-01-26 2012-07-12 ケーエルエー−テンカー・コーポレーション ウエハ上の欠陥を検出するためのシステムおよび方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6507029B1 (en) * 1998-03-25 2003-01-14 Hitachi, Ltd. Sample processing apparatus and method for removing charge on sample through light irradiation
JP4206192B2 (ja) * 2000-11-09 2009-01-07 株式会社日立製作所 パターン検査方法及び装置
US7796801B2 (en) * 1999-08-26 2010-09-14 Nanogeometry Research Inc. Pattern inspection apparatus and method
JP3990981B2 (ja) * 2000-12-15 2007-10-17 ケイエルエイ−テンコー コーポレイション 基板を検査するための方法及び装置
JP2002310962A (ja) * 2001-04-19 2002-10-23 Hitachi Ltd 画像分類方法並びに観察方法及びその装置
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6862491B2 (en) * 2002-05-22 2005-03-01 Applied Materials Israel, Ltd. System and method for process variation monitor
JP4597859B2 (ja) 2002-07-15 2010-12-15 ケーエルエー−テンカー コーポレイション マイクロリソグラフパターンの製作におけるパターンの認定、パターン形成プロセス、又はパターン形成装置
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6774990B2 (en) * 2002-08-23 2004-08-10 Intel Corporation Method to inspect patterns with high resolution photoemission
US8110814B2 (en) * 2003-10-16 2012-02-07 Alis Corporation Ion sources, systems and methods
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7241991B1 (en) 2005-08-30 2007-07-10 Kla-Tencor Technologies Corporation Region-of-interest based electron beam metrology
EP1928583A4 (en) * 2005-09-01 2010-02-03 Camtek Ltd METHOD AND SYSTEM FOR ESTABLISHING A TEST PROCEDURE
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7571422B2 (en) 2006-09-21 2009-08-04 Kla-Tencor Technologies Corporation Method for generating a design rule map having spatially varying overlay budget
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
JP5065943B2 (ja) 2008-02-29 2012-11-07 株式会社日立ハイテクノロジーズ 製造プロセスモニタリングシステム
US8041106B2 (en) 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
WO2010093733A2 (en) * 2009-02-13 2010-08-19 Kla-Tencor Corporation Detecting defects on a wafer
JP5357725B2 (ja) * 2009-12-03 2013-12-04 株式会社日立ハイテクノロジーズ 欠陥検査方法及び欠陥検査装置
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
JP2011174858A (ja) * 2010-02-25 2011-09-08 Toshiba Corp 欠陥検出方法および半導体装置の製造方法
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8656323B2 (en) 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
US9170211B2 (en) * 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US9201022B2 (en) * 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US8453075B2 (en) 2011-09-02 2013-05-28 International Business Machines Corporation Automated lithographic hot spot detection employing unsupervised topological image categorization
JP5460662B2 (ja) * 2011-09-07 2014-04-02 株式会社日立ハイテクノロジーズ 領域決定装置、観察装置または検査装置、領域決定方法および領域決定方法を用いた観察方法または検査方法
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8755045B2 (en) * 2012-01-06 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting method for forming semiconductor device
US9277186B2 (en) * 2012-01-18 2016-03-01 Kla-Tencor Corp. Generating a wafer inspection process using bit failures and virtual inspection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9576861B2 (en) 2012-11-20 2017-02-21 Kla-Tencor Corporation Method and system for universal target based inspection and metrology
US9311698B2 (en) * 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9619876B2 (en) 2013-03-12 2017-04-11 Kla-Tencor Corp. Detecting defects on wafers based on 2D scatter plots of values determined for output generated using different optics modes
JP5978162B2 (ja) * 2013-03-29 2016-08-24 株式会社日立ハイテクノロジーズ 欠陥検査方法および欠陥検査装置
US9355208B2 (en) 2013-07-08 2016-05-31 Kla-Tencor Corp. Detecting defects on a wafer
JP2015184023A (ja) * 2014-03-20 2015-10-22 株式会社東芝 欠陥検査方法
US9506873B2 (en) * 2014-04-15 2016-11-29 Kla-Tencor Corp. Pattern suppression in logic for wafer inspection
JP6499898B2 (ja) * 2014-05-14 2019-04-10 株式会社ニューフレアテクノロジー 検査方法、テンプレート基板およびフォーカスオフセット方法
KR102272697B1 (ko) * 2014-07-24 2021-07-02 삼성전자주식회사 분광 타원편광 측정 시스템과 방법 및 데이터 분석 장치와 방법
JP2018151202A (ja) * 2017-03-10 2018-09-27 株式会社ニューフレアテクノロジー 電子ビーム検査装置および電子ビーム検査方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002323458A (ja) 2001-02-21 2002-11-08 Hitachi Ltd 電子回路パターンの欠陥検査管理システム,電子回路パターンの欠陥検査システム及び装置
JP2009516832A (ja) 2005-11-18 2009-04-23 ケーエルエー−テンカー テクノロジィース コーポレイション 検査データと組み合わせて設計データを使用するための方法及びシステム
JP2009243993A (ja) 2008-03-31 2009-10-22 Hitachi High-Technologies Corp 走査型電子顕微鏡を用いた回路パターンの寸法計測装置およびその方法
JP2012516063A (ja) 2009-01-26 2012-07-12 ケーエルエー−テンカー・コーポレーション ウエハ上の欠陥を検出するためのシステムおよび方法

Also Published As

Publication number Publication date
JP6811174B2 (ja) 2021-01-13
US10670535B2 (en) 2020-06-02
US20190204237A1 (en) 2019-07-04
WO2016065079A1 (en) 2016-04-28
TWI698635B (zh) 2020-07-11
TWI665442B (zh) 2019-07-11
KR102317063B1 (ko) 2021-10-22
JP2021052200A (ja) 2021-04-01
US10267746B2 (en) 2019-04-23
US20160116420A1 (en) 2016-04-28
CN111882552B (zh) 2021-10-08
CN111882552A (zh) 2020-11-03
TW201937159A (zh) 2019-09-16
CN106796724A (zh) 2017-05-31
KR20170070218A (ko) 2017-06-21
JP2018502441A (ja) 2018-01-25
TW201627655A (zh) 2016-08-01
CN106796724B (zh) 2020-08-21

Similar Documents

Publication Publication Date Title
JP7093828B2 (ja) 自動式パターン忠実度測定計画生成
US10483081B2 (en) Self directed metrology and pattern classification
CN107078073B (zh) 用于工艺窗口特征化的虚拟检验系统
JP6789920B2 (ja) 被検査物上の関心対象領域の座標決定
US10074036B2 (en) Critical dimension uniformity enhancement techniques and apparatus
KR102129826B1 (ko) 웨이퍼 검사 방법 및/또는 웨이퍼 상에 형성되는 디바이스의 하나 이상의 특징을 예측하는 방법
US9767548B2 (en) Outlier detection on pattern of interest image populations
JP2017523390A (ja) 検査のための高解像度フルダイイメージデータの使用
TWI738780B (zh) 用於大量圖案檢索之檢測及設計間之漂移之自動校正之系統及方法
KR102652164B1 (ko) 멀티 이미징 모드 이미지 정렬
KR20170129892A (ko) 설계에 대한 검사의 서브-픽셀 정렬
KR102340756B1 (ko) 셀프 디렉팅된 계측 및 패턴 분류
CN115066604A (zh) 在样本上的阵列区域中检测缺陷
TW202213609A (zh) 檢驗及其他製程中之樣品的對準

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201214

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211012

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211026

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220607

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220620

R150 Certificate of patent or registration of utility model

Ref document number: 7093828

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150