KR102340756B1 - 셀프 디렉팅된 계측 및 패턴 분류 - Google Patents

셀프 디렉팅된 계측 및 패턴 분류 Download PDF

Info

Publication number
KR102340756B1
KR102340756B1 KR1020187007769A KR20187007769A KR102340756B1 KR 102340756 B1 KR102340756 B1 KR 102340756B1 KR 1020187007769 A KR1020187007769 A KR 1020187007769A KR 20187007769 A KR20187007769 A KR 20187007769A KR 102340756 B1 KR102340756 B1 KR 102340756B1
Authority
KR
South Korea
Prior art keywords
design
sample
defect
information
parameters
Prior art date
Application number
KR1020187007769A
Other languages
English (en)
Other versions
KR20180037055A (ko
Inventor
알렌 파크
에이제이 굽타
잰 라우버
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/247,774 external-priority patent/US10483081B2/en
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20180037055A publication Critical patent/KR20180037055A/ko
Application granted granted Critical
Publication of KR102340756B1 publication Critical patent/KR102340756B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/24Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)
  • Data Mining & Analysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

시료에 대해 수행될 프로세스의 파라미터(들)를 결정하기 위한 방법 및 시스템이 제공된다. 하나의 시스템은 시료 상에서 검출되는 결함의 영역을 결정하도록 구성되는 하나 이상의 컴퓨터 서브시스템을 포함한다. 컴퓨터 서브시스템(들)은 또한, 결함의 영역을 시료에 대한 설계를 위한 정보와 상관시키도록 그리고 상관의 결과에 기초하여 결함의 영역과 설계를 위한 정보 사이의 공간적 관계를 결정하도록 구성된다. 게다가, 컴퓨터 서브시스템(들)은, 공간적 관계에 기초하여 측정 서브시스템을 사용하여 시료에 대해 수행되는 프로세스 동안 측정될 주목 구역을 자동적으로 생성하도록 구성된다.

Description

셀프 디렉팅된 계측 및 패턴 분류
본 발명은 일반적으로 국소화된 임계 치수(critical dimension; CD) 변화, 라인 또는 스페이스 폭 변화 및 곡률을 포함하는 그러나 이들로 한정되지는 않는 패턴 형태학의 정확한 특성 묘사를 위한 자동화된 패턴 계측 사이트 배치(automated pattern metrology site placement) 및 최적화에 관한 것이다. 소정의 실시형태는 시료에 대해 수행될 계측 프로세스의 하나 이상의 파라미터를 결정하기 위한 방법 및 시스템에 관한 것이다.
다음의 설명 및 예는, 이 섹션에서의 이들의 포함 덕분에 종래 기술인 것으로 인정되지 않는다.
웨이퍼 상의 결함을 검출하여 제조 프로세스에서 더 높은 수율을 따라서 더 높은 이익을 촉진시키기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 검사 프로세스가 사용된다. 검사는 항상 반도체 디바이스 제조의 중요한 부분이었다. 그러나, 반도체 디바이스의 치수가 감소함에 따라, 조건에 맞는 반도체 디바이스의 성공적인 제조에 대해 검사는 더욱 중요하게 되었는데, 그 이유는 더 작은 결함이 디바이스의 고장을 야기할 수 있기 때문이다.
결함 리뷰(review)는 통상적으로 예컨대 검사 프로세스에 의해 검출되는 결함을 재검출하는 것 및 고배율 광학 시스템 또는 주사 전자 현미경(scanning electron microscope; SEM)을 사용하여 더 높은 해상도에서 결함에 대한 추가 정보를 생성하는 것을 수반한다. 따라서 결함 리뷰는 검사에 의해 결함이 검출된 웨이퍼 상의 별개의 위치에서 수행된다. 결함 리뷰에 의해 생성되는 결함에 대한 더 높은 해상도 데이터는, 프로파일, 거칠기, 더욱 정확한 사이즈 정보, 등등과 같은 결함의 속성을 결정하는 데 더욱 적합하다. 결함 리뷰가 검사에 의해 웨이퍼 상에서 검출되는 결함에 대해 수행되기 때문에, 검출된 결함의 위치에서 결함 리뷰를 위해 사용되는 파라미터는 검사 프로세스에 의해 결정되는 결함의 속성에 기초하여 결정될 수도 있다. 그러나, 검출된 결함의 위치에서 결함 리뷰를 위해 사용되는 출력 획득 파라미터(예를 들면, 광학, 전자빔, 등등의 파라미터)는, 일반적으로, 결함의 위치에서의 또는 그 위치 근처에서의 설계의 일부분에 대한 정보에 기초하여 결정되지 않는데, 그 이유는, 이러한 정보가 결함 리뷰 동안 검출된 결함에 대해 수행되는 출력 획득 기능과는 일반적으로 관련이 없기 때문이다.
계측 프로세스는, 프로세스를 모니터링하고 제어하기 위해 반도체 제조 프로세스 동안 다양한 단계에서 또한 사용된다. 결함이 웨이퍼 상에서 검출되는 검사 프로세스와 달리, 현재 사용되는 검사 툴을 사용하여 결정될 수 없는 웨이퍼의 하나 이상의 특성을 측정하기 위해 계측 프로세스가 사용된다는 점에서, 계측 프로세스는 검사 프로세스와는 상이하다. 예를 들면, 계측 프로세스는, 프로세스의 성능이 하나 이상의 특성으로부터 결정될 수 있도록, 프로세스 동안 웨이퍼 상에 형성되는 피쳐의 치수(예를 들면, 선폭, 두께, 등등)와 같은 웨이퍼의 하나 이상의 특성을 측정하기 위해 사용된다. 또한, 웨이퍼의 하나 이상의 특성이 수용 불가능한 경우(예를 들면, 특성(들)에 대한 미리 결정된 범위 밖에 있는 경우), 웨이퍼의 하나 이상의 특성의 측정치는, 프로세스에 의해 제조되는 추가적인 웨이퍼가 수용 가능한 특성(들)을 가지도록, 프로세스의 하나 이상의 파라미터를 수정하기 위해 사용될 수도 있다.
검사에 의해 검출되는 결함이 결함 리뷰에서 다시 방문되는 결함 리뷰 프로세스와는 달리, 계측 프로세스는 어떠한 결함도 검출되지 않은 위치에서 수행될 수도 있다는 점에서, 계측 프로세스는 결함 리뷰 프로세스와는 또한 상이하다. 다시 말하면, 결함 리뷰와는 달리, 웨이퍼 상에서 계측 프로세스가 수행되는 위치는 웨이퍼에 대해 수행되는 검사 프로세스의 결과와는 독립적일 수도 있다. 특히, 계측 프로세스가 수행되는 위치는 검사 결과와는 독립적으로 선택될 수도 있다. 또한, 계측이 수행되는 웨이퍼 상의 위치가 검사 결과와는 독립적으로 선택될 수도 있기 때문에, 웨이퍼에 대한 검사 결과가 생성되어 사용을 위해 이용 가능할 때까지 결함 리뷰가 수행될 웨이퍼 상의 위치가 결정될 수 없는 결함 리뷰와는 달리, 계측 프로세스가 수행되는 위치는, 웨이퍼에 대한 검사 프로세스가 수행되기 이전에 결정될 수도 있다.
계측 프로세스를 셋업하는 데 사용되는 현재의 방법은 다수의 단점을 가지고 있다. 예를 들면, SEM을 사용한 패턴 계측(예를 들면, 임계 치수(CD) 및 오버레이 측정을 포함함)을 위한 종래의 레시피 셋업은 측정될 위치에 대한 사전 지식을 필요로 한다. 또한, 종래의 레시피 셋업 프로세스는 종종 설계의 사용을 포함한다. 또한, 유저가 한 번 또는 지속적으로 측정하고자 하는 새로운 주목 패턴(pattern of interest; POI)이 발견되면, 그것은 계측 툴 레시피(metrology tool recipe)의 업데이트를 필요로 한다.
따라서, 상기에서 설명되는 단점 중 하나 이상을 갖지 않는, 시료에 대해 수행될 계측 프로세스의 하나 이상의 파라미터를 결정하기 위한 시스템 및 방법을 개발하는 것이 바람직할 것이다.
다양한 실시형태의 하기의 설명은, 첨부된 청구범위의 주제를 어떤 식으로든 제한하는 것으로 해석되지 않아야 한다.
하나의 실시형태는 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템에 관한 것이다. 시스템은, 적어도 에너지 소스 및 검출기를 포함하는 측정 서브시스템을 포함한다. 에너지 소스는 시료로 디렉팅되는 에너지를 생성하도록 구성된다. 검출기는 시료로부터 에너지를 검출하도록 그리고 검출된 에너지에 응답하는 출력을 생성하도록 구성된다. 시스템은 또한, 시료 상에서 검출되는 결함의 영역을 결정하도록, 검사의 영역을 시료에 대한 설계를 위한 정보와 상관시키도록, 상관의 결과에 기초하여 결함의 영역과 설계를 위한 정보 사이의 공간적 관계를 결정하도록, 그리고 공간적 관계에 기초하여 측정 서브시스템을 사용하여 시료에 대해 수행되는 프로세스 동안 측정될 주목 구역(region of interest; ROI)을 자동적으로 생성하도록 구성되는 하나 이상의 컴퓨터 서브시스템을 포함한다. 시스템은 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다.
다른 실시형태는 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 그 방법은, 영역을 결정하는 단계, 상관시키는 단계, 공간적 관계를 결정하는 단계, 상기에서 설명되는 ROI를 자동적으로 생성하는 단계를 포함한다. 방법의 단계는 하나 이상의 컴퓨터 시스템에 의해 수행된다.
상기에서 설명되는 방법의 단계의 각각은 또한, 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 또한, 상기에서 설명되는 방법의 실시형태는 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다. 또한, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 중 임의의 것에 의해 수행될 수도 있다.
다른 실시형태는, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독가능 매체에 관한 것이다. 컴퓨터 구현 방법은 상기에서 설명되는 방법의 단계를 포함한다. 컴퓨터 판독가능 매체는 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다. 컴퓨터 구현 방법의 단계는 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 또한, 프로그램 명령어가 실행 가능한 컴퓨터 구현 방법은 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다.
본 발명의 추가적인 이점은, 바람직한 실시형태의 하기의 상세한 설명의 이점을 가지고 첨부의 도면을 참조하면 기술 분야의 숙련된 자에게 명확하게 될 것인데, 첨부의 도면에서,
도 1 및 도 2는, 본원에서 설명되는 바와 같이 구성되는 시스템의 실시형태의 측면도를 예시하는 개략도이다;
도 3은 측정 사이트, 시야 및 주목 구역을 포함하는 본 명세서에서 사용되는 다양한 용어 사이의 관계의 하나의 실시형태의 평면도를 예시하는 개략도이다;
도 4는 설계의 일부분이 설계 공간에 나타날 때의 웨이퍼에 대한 설계의 일부분의 하나의 예의 평면도를 예시하는 개략도이다;
도 5는 설계의 일부분이 웨이퍼 상에 인쇄될 수도 있을 때의 도 4에서 도시되는 설계의 일부분의 하나의 예의 평면도를 예시하는 개략도이다;
도 6은, 설계의 일부분 내에 잠재적인 주목 구역을 갖는 도 5에서 도시되는 설계의 일부분의 하나의 실시형태의 평면도를 예시하는 개략도이다;
도 7 내지 도 8은, 설계 공간 내의 웨이퍼에 대한 설계의 일부분을, 웨이퍼 공간 내의 웨이퍼에 대한 설계의 일부분과 정렬하기 위한 현재 사용되는 방법의 결과의 상이한 예의 평면도를 예시하는 개략도이다;
도 9는, 설계 공간 내의 웨이퍼에 대한 설계의 일부분을 웨이퍼 공간 내의 웨이퍼에 대한 설계의 일부분과 정렬하기 위한 실시형태의 결과의 하나의 예의 평면도를 예시하는 개략도이다;
도 10 내지 도 12는, 설계 및 웨이퍼 공간 내의 웨이퍼에 대한 설계의 일부분의 평면도 및 그들이 본원에서 설명되는 실시형태에 의해 어떻게 정렬될 수 있는지를 예시하는 개략도이다;
도 13은 웨이퍼 공간 내의 웨이퍼에 대한 설계의 일부분의 평면도 및 측정이 가로질러 수행될 수도 있는 치수가 본원에서 설명되는 실시형태에 의해 어떻게 결정될 수 있는지를 예시하는 개략도이다;
도 14는, 컴퓨터 시스템으로 하여금 본원에서 설명되는 컴퓨터 구현 방법을 수행하게 하기 위한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독가능 매체의 하나의 실시형태를 예시하는 블록도이다;
도 15 내지 도 17은 시료에 대한 설계를 위한 정보, 시료 상에서 검출되는 결함의 영역, 및 설계를 위한 정보 및 결함의 영역을 사용하여 본원에서 설명되는 실시형태에 의해 수행되는 다양한 단계의 결과의 다양한 실시형태의 평면도를 예시하는 개략도이다; 그리고
도 18은 시료에 대한 설계에서 단지 하나의 핫 스팟에 대해 결정되는 하나보다 많은 추가적인 주목 구역의 하나의 실시형태의 평면도를 예시하는 개략도이다.
본 발명이 다양한 수정예 및 대안적 형태를 허용하지만, 그 특정 실시형태가 예로서 도면에서 도시되며 본원에서 상세히 설명된다. 도면은 일정한 비율이 아닐 수도 있다. 그러나, 도면 및 도면에 대한 상세한 설명은 본 발명을 개시되는 특정한 형태로 제한하도록 의도되는 것은 아니며, 오히려, 본 발명은 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 취지와 범위 내에 속하는 모든 수정예, 등가예 및 대안예를 포괄할 것이다는 것이 이해되어야 한다.
본원에서 설명되는 바와 같은 용어 "설계" 및 "설계 데이터"는, 일반적으로, IC의 물리적 설계(레이아웃) 및 복잡한 시뮬레이션 또는 간단한 기하학적 연산 및 불 연산(Boolean operation)을 통해 물리적 설계로부터 유도되는 데이터를 가리킨다. 물리적 설계는 데이터 구조 예컨대 그래픽 데이터 스트림(graphical data stream; GDS) 파일, 임의의 다른 표준 머신 판독 가능 파일, 기술 분야에서 공지되어 있는 임의의 다른 적절한 파일, 및 설계 데이터베이스에 저장될 수도 있다. GDSII 파일은, 설계 레이아웃 데이터의 표현을 위해 사용되는 한 클래스의 파일 중 하나이다. 이러한 파일의 다른 예는, GL1 및 OASIS 파일 및 미국 캘리포니아 밀피타스(Milpitas)의 KLA-Tencor 소유의 RDF 데이터와 같은 독점적인 파일 포맷을 포함한다. 또한, 레티클 검사 시스템에 의해 획득되는 레티클의 이미지 및/또는 그 파생물(derivative)은 설계를 위한 "프록시(proxy)" 또는 "프록시들"로서 사용될 수 있다. 이러한 레티클 이미지 또는 그 파생물은 설계를 사용하는 본원에서 설명되는 임의의 실시형태에서 설계 레이아웃에 대한 대체물로서 기능할 수 있다. 설계는, 공동 소유의 2009년 8월 4일자로 Zafar 등에게 발행된 미국 특허 제7,570,796호, 및 2010년 3월 9일자로 Kulkarni 등에게 발행된 미국 특허 제7,676,077호에서 설명되는 임의의 다른 설계 데이터 또는 설계 데이터 프록시를 포함할 수도 있는데, 이들 두 특허는 참조에 의해, 마치 본원에서 완전히 개시되는 것처럼 통합된다. 또한, 설계 데이터는, 표준 셀 라이브러리 데이터, 통합 레이아웃 데이터, 하나 이상의 층에 대한 설계 데이터, 설계 데이터의 파생물, 및 전체 또는 부분 칩 설계 데이터일 수 있다.
몇몇 경우, 웨이퍼 또는 레티클로부터의 시뮬레이팅된 이미지 또는 획득된 이미지가 설계를 위한 프록시로서 사용될 수 있다. 이미지 분석은 설계 분석을 위한 프록시로서 또한 사용될 수 있다. 예를 들면, 웨이퍼 및/또는 레티클의 이미지가 설계의 다각형을 적절히 이미지화하기에 충분한 해상도로 획득된다고 가정하면, 웨이퍼 및/또는 레티클 상에 인쇄되는 설계의 이미지로부터 설계의 다각형이 추출될 수도 있다. 또한, 본원에서 설명되는 "설계" 및 "설계 데이터"는, 설계 프로세스에서 반도체 디바이스 설계자에 의해 생성되며 따라서, 임의의 물리적 웨이퍼에 대한 설계의 인쇄에 앞서 본원에서 설명되는 실시형태에서의 사용에 또한 이용 가능한 정보 및 데이터를 가리킨다.
바람직하게는, "설계" 또는 "물리적 설계"는 이들 용어가 본원에서 사용될 때, 이상적으로는 그것이 웨이퍼 상에 형성될 때의 설계를 가리킨다. 이러한 방식에서, 본원에서 설명되는 설계 또는 물리적 설계는, 바람직하게는, 웨이퍼 상에 인쇄되지 않을 설계의 피쳐 예컨대 광학 근접 교정(OPC) 피쳐를 포함하지 않을 것인데, 이들은 그 자체가 실제로 인쇄되지 않으면서 피쳐의 인쇄를 향상시키기 위해 설계에 추가된다. 이러한 방식에서, 몇몇 실시형태에서, 본원에서 추가로 설명되는 자동 생성 단계 및 자동 결정 단계를 위해 사용되는 시료에 대한 설계는, 시료에 인쇄되지 않을 설계의 피쳐를 포함하지 않는다.
본원에서 설명되는 "설계" 및 "설계 데이터"는 웨이퍼 상에 형성되고 있는 디바이스에 대한 물리적인 의도에 관련되는 데이터 및 정보를 포함할 수도 있는데, 그 데이터 및 정보는 상기에서 설명되는 다양한 타입의 설계 및 설계 데이터 중 임의의 것을 포함할 수도 있다. 또한, "설계" 및 "설계 데이터"는 웨이퍼 상에 형성되고 있는 디바이스에 대한 전기적 의도와 관련되는 데이터 및 정보를 또한 또는 대안적으로 포함할 수도 있다. 이러한 정보 및 데이터는, 예를 들면, 넷리스트(netlist) 및 SPICE 명명법 및/또는 "주석이 달린 레이아웃"(예를 들면, 이 경우 설계는 전기 넷리스트 파라미터 라벨링을 포함한다)을 포함할 수도 있다. 이러한 데이터 및 정보는, 레이아웃 또는 웨이퍼 이미지의 어떤 부분이 하나 이상의 전기적 측면에서 중요한지를 결정하는 데 사용될 수도 있다.
이제, 도면을 참조하면, 도면은 일정한 비율로 묘사되지 않는다는 것을 유의한다. 특히, 도면의 엘리먼트 중 몇몇의 크기는 그 엘리먼트의 특성을 강조하기 위해 크게 과장된다. 도면은 동일한 비율로 묘사되지 않는다는 것을 또한 유의한다. 유사하게 구성될 수도 있는 하나보다 많은 도면에서 도시되는 엘리먼트는, 동일한 참조 부호를 사용하여 나타내어진다. 본원에서 그렇지 않다고 언급되지 않는 한, 설명되고 도시되는 엘리먼트 중 임의의 것은 임의의 적절한 상업적으로 이용 가능한 엘리먼트를 포함할 수도 있다.
하나의 실시형태는 시료에 대해 수행될 계측 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템에 관한 것이다. 하나의 실시형태에서, 시료는 웨이퍼를 포함한다. 다른 실시형태에서, 시료는 레티클을 포함한다. 웨이퍼 및 레티클은 기술 분야에서 공지되어 있는 웨이퍼 및 레티클을 포함할 수도 있다.
이러한 시스템의 하나의 실시형태는 도 1에서 도시된다. 시스템은, 적어도 에너지 소스 및 검출기를 포함하는 측정 서브시스템을 포함한다. 에너지 소스는 시료로 디렉팅되는 에너지를 생성하도록 구성된다. 검출기는 시료로부터 에너지를 검출하도록 그리고 검출된 에너지에 응답하는 출력을 생성하도록 구성된다.
하나의 실시형태에서, 시료로 디렉팅되는 에너지는 광을 포함하고, 시료로부터 검출되는 에너지는 광을 포함한다. 예를 들면, 도 1에서 도시되는 시스템의 실시형태에서, 측정 서브시스템(10)은 시료(14)에 광을 디렉팅하도록 구성되는 조명 서브시스템을 포함한다. 조명 서브시스템은 적어도 하나의 광원을 포함한다. 예를 들면, 도 1에서 도시되는 바와 같이, 조명 서브시스템은 광원(16)을 포함한다. 하나의 실시형태에서, 조명 서브시스템은 하나 이상의 입사각에서 광을 시료로 디렉팅하도록 구성되는데, 하나 이상의 입사각은 하나 이상의 경사각(oblique angle) 및/또는 하나 이상의 수직각(normal angle)을 포함할 수도 있다. 예를 들면, 도 1에서 도시되는 바와 같이, 광원(16)으로부터의 광은 광학 엘리먼트(18)와 그 다음 렌즈(20)를 통해 빔 스플리터(21)로 디렉팅되는데, 빔 스플리터(21)는 수직의 입사각에서 광을 시료(14)로 디렉팅한다. 입사각은 임의의 적절한 입사각을 포함할 수도 있는데, 임의의 적절한 입사각은, 예를 들면, 시료 및 시료 상에서 검출될 결함의 특성에 의존하여 변할 수도 있다.
조명 서브시스템은 상이한 시간에 상이한 입사각에서 광을 시료로 디렉팅하도록 구성될 수도 있다. 예를 들면, 측정 서브시스템은, 도 1에서 도시되는 것과는 상이한 입사각에서 광이 시료로 디렉팅될 수 있도록, 조명 서브시스템의 하나 이상의 엘리먼트의 하나 이상의 특성을 수정하도록 구성될 수도 있다. 하나의 이러한 예에서, 측정 서브시스템은, 광이 상이한 입사각에서 시료로 디렉팅되도록, 광원(16), 광학 엘리먼트(18), 및 렌즈(20)를 이동시키게끔 구성될 수도 있다.
몇몇 경우에, 측정 서브시스템은 동시에 하나보다 많은 입사각에서 광을 시료로 디렉팅하도록 구성될 수도 있다. 예를 들면, 조명 서브시스템은 하나보다 많은 조명 채널을 포함할 수도 있고, 조명 채널 중 하나는 도 1에서 도시되는 바와 같이 광원(16), 광학 엘리먼트(18), 및 렌즈(20)를 포함할 수도 있고, 조명 채널 중 다른 것(도시되지 않음)은, 상이하게 또는 동일하게 구성될 수도 있는 유사한 엘리먼트를 포함할 수도 있거나, 또는 적어도 광원 및 어쩌면 본원에서 추가로 설명되는 것과 같은 하나 이상의 다른 컴포넌트를 포함할 수도 있다. 이러한 광이 다른 광과 동일한 시간에 시료로 디렉팅되면, 상이한 입사각에서 시료로 디렉팅되는 광의 하나 이상의 특성(예를 들면, 파장, 편광, 등등)은, 상이한 입사각에서 시료의 조명으로부터 유래하는 광이 검출기(들)에서 서로 구별될 수 있도록, 상이할 수도 있다.
다른 경우에, 조명 서브시스템은 단지 하나의 광원(예를 들면, 도 1에서 도시되는 소스(16))을 포함할 수도 있고, 광원으로부터의 광은 조명 서브시스템의 하나 이상의 광학 엘리먼트(도시되지 않음)에 의해 (예를 들면, 파장, 편광, 등등에 기초하여) 상이한 광학 경로로 분리될 수도 있다. 그 다음, 상이한 광학 경로의 각각에서의 광은 시료로 디렉팅될 수도 있다. 동시에 또는 상이한 시간에(예를 들면, 시료를 순차적으로 조명하기 위해 상이한 조명 채널이 사용되는 경우) 광을 시료에 디렉팅하도록, 다수의 조명 채널이 구성될 수도 있다. 다른 경우에, 동일한 조명 채널은, 상이한 시간에 상이한 특성을 가지고 광을 시료로 디렉팅하도록 구성될 수도 있다. 예를 들면, 몇몇 경우에, 광학 엘리먼트(18)는 스펙트럼 필터로서 구성될 수도 있고, 스펙트럼 필터의 속성(property)은, 광의 상이한 파장이 상이한 시간에 시료로 디렉팅될 수 있도록, 여러 가지 상이한 방식으로 (예를 들면, 스펙트럼 필터를 교환하는 것에 의해) 변경될 수 있다. 조명 서브시스템은, 상이한 또는 동일한 특성을 갖는 광을 상이한 또는 동일한 입사각에서 순차적으로 또는 동시적으로 시료로 디렉팅하기 위한 기술 분야에서 공지되어 있는 임의의 다른 적절한 구성을 구비할 수도 있다.
하나의 실시형태에서, 광원(16)은 광대역 플라즈마(broadband plasma; BBP) 광원을 포함할 수도 있다. 이 방식에서, 광원에 의해 생성되며 시료로 디렉팅되는 광은 광대역 광을 포함할 수도 있다. 그러나, 광원은 레이저와 같은 임의의 다른 적절한 광원을 포함할 수도 있다. 레이저는 기술 분야에서 공지되어 있는 임의의 적절한 레이저를 포함할 수도 있고 기술 분야에서 공지되어 있는 임의의 적절한 파장 또는 파장들에서 광을 생성하도록 구성될 수도 있다. 또한, 레이저는 단색성인(monochromatic) 또는 거의 단색성인 광을 생성하도록 구성될 수도 있다. 이 방식에서, 레이저는 협대역(narrowband) 레이저일 수도 있다. 광원은 또한, 다수의 별개의 파장 또는 파장 대역(waveband)에서 광을 생성하는 다색성 광원(polychromatic light source)을 포함할 수도 있다.
광학 엘리먼트(18)로부터의 광은 렌즈(20)에 의해 빔 스플리터(21)로 집광될 수도 있다. 비록 렌즈(20)가 도 1에서 단일의 굴절성 광학 엘리먼트로서 도시되지만, 렌즈(20)는, 실제로는, 조합하여 광학 엘리먼트로부터의 광을 시료로 집광시키는 다수의 굴절성 및/또는 반사성 광학 엘리먼트를 포함할 수도 있다는 것이 이해되어야 한다. 도 1에서 도시되며 본원에서 설명되는 조명 서브시스템은 임의의 다른 적절한 광학 엘리먼트(도시되지 않음)를 포함할 수도 있다. 이러한 광학 엘리먼트의 예는, 편광 컴포넌트(들), 스펙트럼 필터(들), 공간 필터(들), 반사성 광학 엘리먼트(들), 아포다이저(들), 빔 분리기(들), 어퍼쳐(들), 및 기술 분야에서 공지되어 있는 임의의 이러한 적절한 광학 엘리먼트를 포함할 수도 있는 기타 등등을 포함하지만, 이들로 제한되지는 않는다. 또한, 시스템은, 계측을 위해 사용될 조명의 타입에 기초하여 조명 서브시스템의 엘리먼트 중 하나 이상을 수정하도록 구성될 수도 있다.
측정 서브시스템은 또한, 광으로 하여금 시료에 걸쳐 스캐닝되게 하도록 구성되는 스캐닝 서브시스템을 포함할 수도 있다. 예를 들면, 측정 서브시스템은 측정 동안 시료(14)가 배치되는 스테이지(22)를 포함할 수도 있다. 스캐닝 서브시스템은, 광이 시료에 걸쳐 스캐닝될 수 있도록, 시료를 이동시키도록 구성될 수 있는 임의의 적절한 기계적 및/또는 로봇식 어셈블리(스테이지(22)를 포함함)를 포함할 수도 있다. 추가적으로, 또는 대안적으로, 측정 서브시스템은, 측정 서브시스템의 하나 이상의 광학 엘리먼트가 시료에 걸쳐 광의 어떤 스캐닝을 수행하도록 구성될 수도 있다. 광은 임의의 적절한 방식으로 시료에 대해 스캐닝될 수도 있다.
측정 서브시스템은 하나 이상의 검출 채널을 더 포함한다. 하나 이상의 검출 채널 중 적어도 하나는, 측정 서브시스템에 의한 시료의 조명에 기인하는 시료로부터의 광을 검출하도록 그리고 검출된 광에 응답하는 출력을 생성하도록 구성되는 검출기를 포함한다. 예를 들면, 도 1에서 도시되는 측정 서브시스템은 두 개의 검출 채널을 포함하는데, 하나는 콜렉터(24), 엘리먼트(26), 및 검출기(28)에 의해 형성되고 다른 하나는 콜렉터(30), 엘리먼트(32), 및 검출기(34)에 의해 형성된다. 도 1에서 도시되는 바와 같이, 두 개의 검출 채널은 상이한 수집각에서 광을 수집하고 검출하도록 구성된다. 몇몇 경우에, 하나의 검출 채널은 거울 반사된(specularly reflected) 광을 검출하도록 구성되고, 다른 검출 채널은 시료로부터 거울 반사(예를 들면, 산란, 회절, 등등)되지 않는 광을 검출하도록 구성된다. 그러나, 검출 채널 중 둘 이상은, 시료로부터 동일한 타입의 광(예를 들면, 거울 반사된 광)을 검출하도록 구성될 수도 있다. 두 개의 검출 채널을 포함하는 측정 서브시스템의 한 실시형태를 도 1이 도시하지만, 측정 서브시스템은 상이한 수의 검출 채널(예를 들면, 단지 하나의 검출 채널 또는 두 개 이상의 검출 채널)을 포함할 수도 있다. 비록 콜렉터의 각각이 도 1에서 단일의 굴절성 광학 엘리먼트로서 도시되지만, 콜렉터의 각각은 하나 이상의 굴절성 광학 엘리먼트(들) 및/또는 하나 이상의 반사성 광학 엘리먼트(들)를 포함할 수도 있다는 것이 이해되어야 한다.
하나 이상의 검출 채널은 기술 분야에서 공지되어 있는 임의의 적절한 검출기를 포함할 수도 있다. 예를 들면, 검출기는, 광 증배관(photo-multiplier tube; PMT), 전하 결합 소자(charge coupled device; CCD) 및 시간 지연 통합(time delay integration; TDI) 카메라를 포함할 수도 있다. 검출기는 또한 기술 분야에서 공지되어 있는 임의의 다른 적절한 검출기를 포함할 수도 있다. 검출기는 또한, 비이미징(non-imaging) 검출기 또는 이미징(imaging) 검출기를 포함할 수도 있다. 이 방식에서, 검출기가 비이미징 검출기이면, 검출기의 각각은, 세기(intensity)와 같은 산란된 광의 소정의 특성을 검출하도록 구성될 수도 있지만, 그러나 이러한 특성을 이미징 면 내에서의 위치의 함수로서 검출하도록 구성되지 않을 수도 있다. 이와 같이, 측정 시스템의 검출 채널의 각각에 포함되는 검출기의 각각에 의해 생성되는 출력은 신호 또는 데이터일 수도 있지만, 그러나 이미지 신호 또는 이미지 데이터는 아닐 수도 있다. 이러한 경우에, 시스템의 컴퓨터 서브시스템(36)과 같은 컴퓨터 서브시스템은, 검출기의 비이미징 출력으로부터 시료의 이미지를 생성하도록 구성될 수도 있다. 그러나, 다른 경우에, 검출기는, 이미징 신호 또는 이미지 데이터를 생성하도록 구성되는 이미징 검출기로서 구성될 수도 있다. 따라서, 시스템은 본원에서 설명되는 이미지를 다수의 방식으로 생성하도록 구성될 수도 있다.
도 1은, 본원에서 설명되는 시스템 실시형태에 포함될 수도 있는 측정 서브시스템의 구성을 일반적으로 예시하도록 본원에서 제공된다는 것을 유의한다. 명백하게는, 본원에서 설명되는 측정 서브시스템 구성은 시스템의 성능을 최적화하도록 수정될 수도 있는데, 이러한 것은 상업적 계측 시스템을 설계할 때 일반적으로 수행되는 것이다. 또한, 본원에서 설명되는 시스템은 KLA-Tencor로부터 상업적으로 이용 가능한 Archer 시리즈의 툴 및 SpectraShape 제품군의 툴과 같은 현존하는 계측 시스템을 사용하여 (예를 들면, 본원에서 설명되는 기능성을 현존하는 계측 시스템에 추가하는 것에 의해) 구현될 수도 있다. 몇몇 이러한 시스템의 경우, 본원에서 설명되는 방법은 계측 시스템의 옵션적인 기능성으로서 (예를 들면, 계측 시스템의 다른 기능성에 추가하여) 제공될 수도 있다. 대안적으로, 본원에서 설명되는 계측 시스템은, 완전히 새로운 계측 시스템을 제공하기 위해 "맨 처음부터(from scratch)" 설계될 수도 있다.
시스템의 컴퓨터 서브시스템(36)은, 컴퓨터 서브시스템이 시료의 스캐닝 동안 검출기에 의해 생성되는 출력을 수신할 수 있도록, (예를 들면, "유선" 및/또는 "무선" 송신 매체를 포함할 수도 있는 하나 이상의 송신 매체를 통해) 임의의 적절한 방식으로 측정 서브시스템의 검출기에 커플링될 수도 있다. 컴퓨터 서브시스템(36)은 본원에서 설명되는 바와 같은 검출기의 출력 및 본원에서 추가로 설명되는 임의의 다른 기능을 사용하여 다수의 기능을 수행하도록 구성될 수도 있다. 이 컴퓨터 서브시스템은 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다.
이 컴퓨터 서브시스템(뿐만 아니라 본원에서 설명되는 다른 컴퓨터 서브시스템)은 또한, 본원에서 컴퓨터 시스템(들)으로 칭해질 수도 있다. 본원에서 설명되는 컴퓨터 서브시스템(들) 또는 시스템(들)의 각각은, 퍼스널 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스, 인터넷 어플라이언스, 또는 다른 디바이스를 비롯한 다양한 형태를 취할 수도 있다. 일반적으로, 용어 "컴퓨터 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다. 컴퓨터 서브시스템(들) 또는 시스템(들)은 또한, 병렬 프로세서와 같은 기술 분야에서 공지되어 있는 임의의 적절한 프로세서를 포함할 수도 있다. 또한, 컴퓨터 서브시스템(들) 또는 시스템(들)은, 고속 프로세싱 및 소프트웨어를, 독립형의 또는 네트워크화된 툴로서 갖는 컴퓨터 플랫폼을 포함할 수도 있다.
시스템이 하나보다 많은 컴퓨터 서브시스템을 포함하면, 상이한 컴퓨터 서브시스템은, 이미지, 데이터, 정보, 명령어, 등등이, 본원에서 추가로 설명되는 바와 같이, 컴퓨터 서브시스템 사이에서 전송될 수 있도록, 서로 커플링될 수도 있다. 예를 들면, 컴퓨터 서브시스템(36)은, 임의의 적절한 송신 매체에 의해 (도 1에서 점선에 의해 도시되는 바와 같이) 컴퓨터 서브시스템(들)(102)에 커플링될 수도 있는데, 임의의 적절한 송신 매체는 기술 분야에서 공지되어 있는 임의의 적절한 유선 및/또는 무선 송신 매체를 포함할 수도 있다. 이러한 컴퓨터 서브시스템 중 두 개 이상은 또한, 공유된 컴퓨터 판독가능 저장 매체(도시되지 않음)에 의해 효과적으로 커플링될 수도 있다.
측정 서브시스템이 광학 또는 광 기반의 측정 서브시스템인 것으로서 상기에서 설명되지만, 측정 서브시스템은 전자 빔 기반의 측정 서브시스템일 수도 있다. 예를 들면, 하나의 실시형태에서, 시료로 디렉팅되는 에너지는 전자를 포함하고, 시료로부터 검출되는 에너지는 전자를 포함한다. 이러한 방식에서, 에너지 소스는 전자 빔 소스 일 수도 있다. 도 2에서 도시되는 하나의 이러한 실시형태에서, 측정 서브시스템은 컴퓨터 서브시스템(124)에 커플링되는 전자 칼럼(122)을 포함한다.
또한 도 2에서 도시되는 바와 같이, 전자 칼럼은, 하나 이상의 엘리먼트(130)에 의해 시료(128)로 집속되는 전자를 생성하도록 구성되는 전자 빔 소스(126)를 포함한다. 전자 빔 소스는, 예를 들면, 캐소드 소스 또는 에미터 팁을 포함할 수도 있고, 하나 이상의 엘리먼트(130)는, 예를 들면, 건 렌즈(gun lens), 애노드, 빔 제한용 어퍼쳐(beam limiting aperture), 게이트 밸브, 빔 전류 선택 어퍼쳐, 대물 렌즈, 및 스캐닝 서브시스템을 포함할 수도 있는데, 이들 모두는 기술 분야에서 공지되어 있는 임의의 이런 적절한 엘리먼트를 포함할 수도 있다.
시료로부터 되돌아오는 전자(예를 들면, 이차 전자)는 하나 이상의 엘리먼트(132)에 의해 검출기(134)로 집속될 수도 있다. 하나 이상의 엘리먼트(132)는, 예를 들면, 스캐닝 서브시스템을 포함할 수도 있는데, 스캐닝 서브시스템은 엘리먼트(들)(130)에 포함되는 동일한 스캐닝 서브시스템일 수도 있다.
전자 칼럼은 기술 분야에서 공지되어 있는 임의의 다른 적절한 엘리먼트를 포함할 수도 있다. 게다가, 전자 칼럼은 또한, Jiang 등에게 2014년 4월 4일자로 발행된 미국 특허 제8,664,594호, Kojima 등에게 2014년 4월 8일자로 발행된 제8,692,204호, Gubbens 등에게 2014년 4월 15일자로 발행된 8,698,093호, 및 MacDonald 등에게 2014년 5월 6일자로 발행된 제8,716,662호에서 설명되는 바와 같이 구성될 수도 있는데, 이들 특허는 참조에 의해, 마치 본원에서 완전히 개시되는 것처럼 통합된다.
전자가 비스듬한 입사각에서 시료로 디렉팅되도록 그리고 다른 비스듬한 각도에서 시료로부터 산란되도록 구성되는 것으로 전자 칼럼이 도 2에서 도시되지만, 전자 빔은 임의의 적절한 각도에서 시료로 디렉팅될 수도 있고 시료로부터 산란될 수도 있다는 것이 이해되어야 한다. 게다가, 전자 빔 기반의 서브시스템은, (예를 들면, 상이한 조명 각도, 수집 각도, 등등을 사용하여) 시료의 이미지를 생성하기 위해 다수의 모드를 사용하도록 구성될 수도 있다. 전자 빔 기반의 측정 서브시스템의 다수의 모드는 측정 서브시스템의 임의의 이미지 생성 파라미터에서 상이할 수도 있다.
컴퓨터 서브시스템(124)은 상기에서 설명되는 바와 같이 검출기(134)에 커플링될 수도 있다. 검출기는 시료의 표면으로부터 되돌아오는 전자를 검출하고 그에 의해 시료의 전자 빔 이미지를 형성할 수도 있다. 전자 빔 이미지는 임의의 적절한 전자 빔 이미지를 포함할 수도 있다. 컴퓨터 서브시스템(124)은 전자 빔 이미지 및/또는 검출기의 출력을 사용하여 본원에서 설명되는 기능 중 임의의 것을 수행하도록 구성될 수도 있다. 컴퓨터 서브시스템(124)은 본원에서 설명되는 임의의 추가적인 단계(들)를 수행하도록 구성될 수도 있다. 도 2에서 도시되는 측정 서브시스템을 포함하는 시스템은 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다.
도 2는, 본원에서 설명되는 실시형태에 포함될 수도 있는 전자 빔 기반의 측정 서브시스템의 구성을 일반적으로 예시하기 위해 본원에서 제공된다는 것을 유의한다. 상기에서 설명되는 광학적 측정 서브시스템에서와 같이, 본원에서 설명되는 전자 빔 기반의 측정 서브시스템 구성은, 상업적 계측 시스템을 설계할 때 일반적으로 수행되는 바와 같이, 측정 서브시스템의 성능을 최적화하도록 수정될 수도 있다. 또한, 본원에서 설명되는 시스템은 KLA-Tencor로부터 상업적으로 이용 가능한 eDR-xxxx 시리즈의 툴과 같은 현존하는 계측 또는 고해상도 결함 리뷰 시스템을 사용하여 (예를 들면, 본원에서 설명되는 기능성을 현존하는 계측 시스템에 추가하는 것에 의해) 구현될 수도 있다. 몇몇 이러한 시스템의 경우, 본원에서 설명되는 방법은 시스템의 옵션적인 기능성으로서 (예를 들면, 시스템의 다른 기능성에 추가하여) 제공될 수도 있다. 대안적으로, 본원에서 설명되는 시스템은, 완전히 새로운 시스템을 제공하기 위해 "맨 처음부터" 설계될 수도 있다.
측정 서브시스템은 광 기반의 또는 전자 빔 기반의 측정 서브시스템인 것으로 상기에서 설명되지만, 측정 서브시스템은 이온 빔 기반의 측정 서브시스템일 수도 있다. 이러한 측정 서브시스템은, 전자 빔 소스가 기술 분야에서 공지되어 있는 임의의 적절한 이온 빔 소스로 대체될 수도 있다는 점을 제외하면, 도 2에 도시되는 바와 같이 구성될 수도 있다. 게다가, 측정 서브시스템은 상업적으로 이용 가능한 집속 이온빔(focused ion beam; FIB) 시스템, 헬륨 이온 현미경(helium ion microscopy; HIM) 시스템, 및 이차 이온 질량 분광기(secondary ion mass spectroscopy; SIMS) 시스템에 포함되는 것과 같은 임의의 다른 적합한 이온 빔 기반의 서브시스템일 수도 있다.
본원에서 설명되는 시스템 실시형태에 포함되는 하나 이상의 컴퓨터 서브시스템은, 시료에 대한 설계에 기초하여 측정 서브시스템을 사용하여 시료에 대해 수행되는 계측 프로세스 동안 측정될 주목 구역(ROI)을 자동적으로 생성하도록 구성된다. ROI가 시료에 대한 설계에 기초하여 결정되기 때문에, ROI는 "설계 기반의 ROI"로 칭해질 수도 있다. 또한, 본원에서 설명되는 바와 같이 하나 이상의 파라미터가 결정되는 계측 프로세스는 "설계 기반 계측 프로세스(design driven metrology process)"로 칭해질 수도 있다.
도 3은 ROI를 포함하는 본원에서 사용되는 다양한 용어에 대한 몇몇 상황(context)을 제공한다. 예를 들면, 도 3은 측정 사이트(302)를 중심으로 본원에서 설명되는 것 중 하나와 같은 측정 서브시스템에 대한 시야(field of view; FOV)(300)를 도시한다. 측정 사이트는 검출된 결함(검사 및/또는 리뷰에 의해 검출됨)의 사이트 또는 샘플링된 사이트일 수도 있다. 계측 프로세스 동안 웨이퍼 상의 각각의 FOV 위치는, 계측 프로세스가 수행될 측정 사이트 중 하나와만 관련될 수도 있다. 예를 들면, 계측 프로세스 동안, 주사 전자 현미경(SEM) 또는 다른 측정 서브시스템이 측정 사이트로부터 측정 사이트로 이동할 수도 있다.
도 3에서 또한 도시되는 바와 같이, FOV(300) 내에는, 다수의 ROI(304, 306, 308)가 위치될 수도 있다. 비록 도 3에서는 세 개의 ROI가 도시되지만, 임의의 하나의 FOV에는 임의의 수의 ROI(즉, 하나 이상의 ROI)가 존재할 수도 있다. 도 3에서 더 도시되는 바와 같이, ROI는 FOV 내의 다양한 위치에서 위치될 수도 있으며, 비록 세 개의 ROI가 FOV에서 중첩하지 않는 것으로 도시되지만, ROI는, 몇몇 경우에서는, FOV 내에서 어느 정도 중첩할 수도 있다. ROI의 각각 내에서, 적어도 하나의 측정이 선택되어 수행될 수도 있는데, 적어도 하나의 측정은 본원에서 추가로 설명되는 바와 같이 자동적으로 선택 또는 결정될 수도 있다. 비록 도 3에서 도시되는 FOV에서 위치되는 웨이퍼의 영역에 형성될 임의의 패턴화된 피쳐를 도 3이 도시하지는 않지만, 측정은 일반적으로 패턴화된 피쳐의 하나 이상의 특성에 대한 것일 것이다.
상이한 ROI에서 수행될 수도 있는 상이한 측정을 예시하기 위해, 도 3은, 이러한 측정이 가로질러 수행될 수도 있는 치수의 범위와 방향을 나타내는 양방향 화살표로서 이들 상이한 측정을 추상적으로 예시한다. 예를 들면, 도 3에서 도시되는 바와 같이, 측정(310)은 ROI(304)에서 ROI의 전체 치수의 일부분만을 한 방향에서 가로지르는 그 방향에서 수행될 수도 있다. 측정(312)은 ROI(306)에서 ROI의 전체 치수를 한 방향에서 가로지르는 그 방향에서 수행될 수도 있다. 또한, 측정(314 및 316)은 ROI(308)를 가로지르는 수직 방향에서 수행될 수도 있다. 측정(314)은 측정의 방향에서 ROI의 전체 치수의 일부분만을 가로질러 수행될 수도 있고 한편 측정(316)은 측정의 방향에서 ROI의 전체를 가로질러 수행될 수도 있다. 따라서, 본원에서 추가로 설명되는 바와 같이, 상이한 측정이 상이한 ROI에서 수행될 수도 있고, 임의의 하나의 ROI에서 수행되는 측정은 본원에서 추가로 설명되는 바와 같이 선택 또는 결정될 수도 있다.
하나 이상의 컴퓨터 서브시스템은 또한, ROI의 제1 및 제2 서브세트에 각각 위치되는 시료에 대한 설계의 일부분에 기초하여 계측 서브시스템을 사용하여 계측 프로세스 동안 ROI의 제1 및 제2 서브세트에서 수행되는 하나 이상의 측정의 하나 이상의 파라미터를 자동적으로 결정하도록 구성된다. 제1 서브세트에서 수행되는 하나 이상의 측정의 하나 이상의 파라미터는 제2 서브세트에서 수행되는 하나 이상의 측정의 하나 이상의 파라미터와는 별개로 그리고 독립적으로 결정된다. 다시 말하면, 하나 이상의 파라미터는 제1 서브세트에 위치되는 설계의 일부분에만 기초하여 ROI의 제1 서브세트에 대해 결정될 수도 있고, 하나 이상의 파라미터는 제2 서브세트에 위치되는 설계의 일부분에만 기초하여 ROI의 제2 서브세트에 대해 결정될 수도 있고, 등등일 수도 있다. 또한, 몇몇 실시형태가 본원에서 제1 및 제2 서브세트와 관련하여 설명되지만, 컴퓨터 서브시스템(들)에 의해 수행되는 단계(들)는 ROI의 둘보다 많은 서브세트(예를 들면, ROI의 두 개 이상의 서브세트)에 대해 수행될 수도 있다는 것이 이해되어야 한다. 또한, ROI의 서브세트의 각각은 하나 이상의 ROI를 포함할 수도 있다. 예를 들면, ROI의 제1 서브세트는 오직 하나의 ROI만을 포함할 수도 있고, 한편 ROI의 제2 서브세트는 하나보다 많은 ROI를 포함할 수도 있다. 이러한 방식에서, 본원에서 설명되는 실시형태는 자동화된 패턴 충실도 측정 계획 생성(automated pattern fidelity measurement plan generation)을 위해 구성된다. 본원에서 설명되는 실시형태는 또한 생성되는 패턴 충실도 측정 계획의 실행을 위해 구성될 수도 있다.
하나의 실시형태에서, 자동 생성 및 자동 결정은 계측 프로세스의 셋업 동안 수행된다. 이러한 방식에서, 방법은 웨이퍼에 대한 물리적 설계를 사용한 셋업 동안의 자동 ROI 생성을 포함할 수도 있다. 또한, 패턴 충실도 측정을 위한 레시피 셋업은, 셋업 동안 수천 개의 고유한 사이트에 대한 ROI가 자동적으로 생성될 수 있기 때문에 완전히 자동화될 수도 있다.
다른 실시형태에서, 자동 생성 및 자동 결정은 계측 프로세스의 런타임 동안 즉석에서(on-the-fly) 수행된다. 이러한 방식에서, 본원에서 설명되는 실시형태는 자동화된 즉석 패턴 충실도 측정 계획 생성을 위해 구성될 수도 있다. 또한, 방법은 웨이퍼에 대한 물리적 설계를 사용한 런타임 동안의 자동 ROI 생성을 포함할 수도 있다.
본원에서 설명되는 실시형태는 또한, 측정될 구조체의 사전 지식을 가질 필요 없이 계측 측정 계획을 생성할 수 있다. 예를 들면, 본원에서 설명되는 실시형태는 측정될 구조체에 대해 다른 시스템 또는 방법에 의해 생성되는 정보를 사용하여 기능을 수행할 필요는 없다. 따라서, 본원에서 설명되는 실시형태는 측정 계획 생성을 위해 현재 사용되는 방법 및 시스템에 비해 다수의 이점을 제공한다. 예를 들면, 새로운 프로세스 노드에서, 검사 툴에 의해 검출되는 패턴 편차는, 그들이 "결함"이 되는 기준을 충족하는지의 여부를 결정하기 위해 정량적 분석을 필요로 한다. 이들 결함 후보가 나타날 수도 있는 곳을 미리 예상할 수 없고, 따라서, 즉석에서의 자동화된 계측 계획 생성에 대한 필요성.
몇몇 실시형태에서, 자동 생성은 계측 프로세스의 셋업 동안 상기 설계의 규칙 기반의 검색을 수행하는 것을 포함한다. 예를 들면, 수천 개의 고유한 사이트에 대한 ROI가 셋업 동안 웨이퍼에 대한 물리적 설계의 규칙 기반의 검색을 사용하여 자동적으로 생성될 수 있기 때문에, 패턴 충실도 측정을 위한 레시피 셋업이 완전히 자동화될 수 있다. 이러한 방식에서, 본원에서 설명되는 실시형태는 규칙 기반의 자동 ROI 생성을 위해 구성될 수도 있다.
ROI 생성을 위한 규칙을 설계에 적용하는 것은 다수의 상이한 방식으로 수행될 수도 있다. 예를 들면, 규칙 기반의 접근법은, ROI를 생성하기 위해 규칙이 설계 데이터에 적용되는 비 이미지 프로세싱 접근법(non-image processing approach)일 수도 있다. 이러한 적용은 CAD 소프트웨어를 사용하여 수행될 수도 있다. 다른 예에서, 설계 데이터를 이미지로서 렌더링하는 것 및 그 다음 이미지 프로세싱 알고리즘을 사용하여 규칙을 입력으로서 사용하여 ROI를 생성하는 것을 포함할 수도 있는 이미지 프로세싱 기반의 접근법이 사용될 수도 있다. 이러한 방식에서, 설계 데이터는, 규칙을 입력으로 사용하여 ROI를 생성하기 위해, 다양한 타입의 설계 분석 소프트웨어 및/또는 알고리즘에 의해 소비될 수도 있다.
ROI를 자동적으로 생성하기 위한 규칙 기반의 검색의 하나의 실시형태에서, 각각의 상이한 측정 타입에 대해 하나의 규칙이 생성될 수도 있다. 다시 말하면, 규칙 1은 측정 타입 1에 대한 것일 수도 있고, 규칙 2는 측정 타입 2에 대한 것일 수도 있고, 등등일 수도 있다. 또한, 각각의 규칙은 하나보다 많은 측정 타입에 대한 것이 아닐 수도 있다. 이러한 방식에서, 각각의 규칙은 웨이퍼 상에 형성될 설계에서의 패턴의 특성을 정의할 수도 있는데, 그 특성은 각각의 규칙의 측정 타입의 측정을 그 패턴에 적합하게 만들 것이다. 예를 들면, 선폭 측정 타입에 대한 규칙은 선폭 측정 타입에 대한 후보로서 패턴의 상대적으로 큰 부분에 걸쳐 실질적으로 균일한 치수를 갖는 패턴 또는 패턴의 일부분을 식별하도록 설계될 수도 있다.
몇몇 이러한 경우에, 규칙의 각각은 임의의 하나의 FOV에 포함되는 임의의 및/또는 모든 패턴에 대해 수행될 수도 있다. 따라서 모든 규칙은 FOV 단위로 실행될 수도 있다. 각각의 규칙이 그것이 작성되었던 타입의 측정에 대한 가능한 위치를 식별할 수도 있기 때문에, 각각의 규칙은 그 FOV에 대한 가능한 ROI의 수를 식별할 수도 있는데, 여기서, 측정 타입에 대한 각각의 잠재적인 위치는 ROI 중 하나에 대응한다. 따라서, 각각의 규칙을 각각의 FOV에 적용한 결과는, FOV에 하나 이상의 ROI 위치를 포함시킬 수도 있다. 이와 같이, 각각의 FOV에 다수의 규칙을 적용하는 것은, 각각의 FOV에 하나 이상의 ROI 위치를 생성할 수도 있는데, 그 중 일부는 상이한 측정 타입에 대응할 수도 있다. 몇몇 이러한 예에서, FOV 내의 ROI 위치의 각각은 단지 하나의 타입의 단지 하나의 측정에 대응할 수도 있다. 그러나, (예를 들면, FOV의 동일한 부분에서 두 가지 상이한 타입의 두 가지 측정을 수행하는 것이 적절할 때) FOV 내의 다수의 ROI 위치가 FOV 내에서 서로 (부분적으로 또는 완전히) 중첩할 수도 있다는 것이 가능하다. 이러한 경우, 중첩하는 ROI 중에서, 각각의 개개의 ROI는 단지 하나의 측정 타입의 하나의 측정에만 대응할 수도 있다. 다시 말하면, ROI마다 단지 하나의 측정 타입만 있을 수도 있다. 따라서, 주어진 ROI 위치에 대한 다수의 측정을 수행하기 위해, 각각의 ROI가 동일한 ROI 범위(또는 위치, 좌표, 등등)를 갖는 그러나 각각이 상이한 측정 타입을 갖는 다수의 ROI가 생성될 수도 있다.
따라서, 요약하면, 웨이퍼 상의 임의의 하나의 측정 사이트에 대해, 하나의 FOV가 그 측정 사이트에 대해 지정될 수도 있다. 모든 규칙은 각각의 FOV에 대해 실행될 수도 있다. 모든 규칙을 실행한 결과, ROI마다 하나의 측정을 사용하여, FOV마다 규칙당 하나 이상의 ROI가 생성될 수도 있다. 모든 FOV/측정 사이트가 프로세싱될 때까지 각각의 FOV/측정 사이트에 대해 동일한 단계가 반복될 수도 있다.
하나의 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 전자 설계 자동화(electronic design automation; EDA) 툴의 컴퓨터 서브시스템을 포함한다. 예를 들면, 런타임시에 ROI 생성을 위해, 그 방법은 EDA 물리적 설계 분석 툴을 사용할 수도 있거나 또는 물리적 설계에 커스텀 알고리즘을 적용할 수도 있다. 몇몇 이러한 경우에, 설계 클립 또는 설계의 다른 표현 내에서 유효한 측정을 결정하기 위해, 설계 클립 또는 설계의 다른 표현이 물리적 설계 분석 소프트웨어에 의해 자동적으로 분석될 수도 있다. 하나의 이러한 예에서, 런타임시에 ROI 생성을 위해, 알고리즘은, 패턴의 주어진 세그먼트가 직선인지/평행한지(즉, 구조체/패턴의 두 에지가 서로 평행한지), (예를 들면, 모서리 상에서) 만곡되는지, 또는 라인의 끝인지의 여부에 기초하여 설계를 자동적으로 분할할 수도 있다. EDA 툴은 임의의 적합한 상업적으로 이용 가능한 EDA 툴을 포함할 수도 있다. 몇몇 이러한 실시형태에서, 본원에서 설명되는 하나 이상의 컴퓨터 서브시스템(예를 들면, 컴퓨터 서브시스템(들)(102))은 EDA 툴로서 구성될 수도 있다.
다른 실시형태에서, ROI의 제1 서브세트에 대해 자동적으로 결정되는 하나 이상의 파라미터는 ROI의 제1 서브세트에서 수행되는 제1 타입의 측정(들)을 초래하고, ROI의 제2 서브세트에 대해 자동적으로 결정되는 하나 이상의 파라미터는 ROI의 제2 서브세트에서 수행되는 제2 타입의 측정(들)을 초래하고, 제1 및 제2 타입의 측정(들)은 서로 상이하다. 이러한 방식에서, 방법은 ROI 생성 프로세스 동안 측정 타입의 자동 결정을 포함할 수도 있다. ROI마다 하나의 측정 타입이 있을 수도 있으며 ROI 생성 프로세스 동안 자동적으로 결정될 수도 있다. 이와 같이, 본원에서 설명되는 실시형태는 각각의 ROI에 대한 적절한 측정 타입을 갖는 계측 계획의 자동 생성을 위해 구성될 수도 있다. 예를 들면, 계측 계획 생성은, 각각의 FOV에 대해, 물리적 설계로부터 ROI 및 측정 타입을 자동적으로 정의하는 것을 포함할 수도 있다. ROI 및 측정 타입을 자동적으로 정의하는 것은 설계 분석 알고리즘 및 소프트웨어를 사용하여 수행될 수도 있다. 하나 이상의 파라미터는 또한, ROI에서 측정 타입이 수행될 곳을 포함할 수도 있다. 측정 타입이 수행될 ROI 내의 위치는 본원에서 추가로 설명되는 바와 같이 결정될 수도 있다.
본원에서 설명되는 계측 프로세스는, 웨이퍼 상의 패턴이 설계에서의 패턴과 어떻게 다른지를 결정하기 위해 수행될 수도 있으며, 특히, 웨이퍼 상에 인쇄되도록 설계되는 바와 같은 패턴은 설계된 대로 정확히 웨이퍼 상에 거의 절대 인쇄되지는 않는다. 설계시 패턴과 인쇄시 패턴에서의 이러한 차이는, 웨이퍼 상에 패턴을 인쇄하기 위해 사용되는 프로세스, 툴 및 재료에서의 고유한 한계뿐만 아니라, 프로세스, 툴 및 재료에서의 임의의 에러에 기인할 수 있다.
웨이퍼 상에 인쇄되는 패턴이 설계시의 패턴과 어떻게 상이할 수 있는지의 하나의 예가 도 4 및 도 5에서 도시되어 있다. 특히, 도 4에서 도시되는 바와 같이, 웨이퍼(도 4에 도시되지 않음)에 대한 설계의 일부분(400)은 세 개의 상이한 패턴(402, 404 및 406)을 포함할 수도 있다. 패턴(402)은 웨이퍼에 대한 설계에 포함될 수도 있는 라인 구조체의 한 예이다. 패턴(404)은 웨이퍼에 대한 설계에 포함될 수도 있는 콘택트 구조체의 한 예이고, 패턴(406)은 웨이퍼에 대한 설계에 포함될 수도 있는 다각형 구조체의 한 예이다.
웨이퍼에 대한 설계에 포함될 수도 있는 구조체의 몇몇 예가 도 4(및 본원에서 설명되는 다른 도면)에서 도시되지만, 예는 임의의 특정한 웨이퍼에 대한 임의의 특정한 설계를 대표하는 것으로 의도되지는 않는다. 대신, 기술 분야에서 통상의 지식을 가진 자에게 명백한 바와 같이, 웨이퍼에 대한 설계는 많은 상이한 타입의 구조체를 많은 상이한 배치로 그리고 많은 상이한 수로 포함할 수도 있다. 도 4(및 본원에서 설명되는 다른 도면)에서 도시되는 구조체는, 본원에서 설명되는 다양한 실시형태의 추가적인 이해를 위해 몇몇 가상의 웨이퍼 구조체를 예시하도록 의도되는 것에 불과하다.
설계의 일부분(400)에서 도시되는 구조체를 인쇄하기 위해 사용되는 툴, 재료, 및 프로세스의 고유한 제한으로 인해, 구조체는 설계에서 포함되는 바와 같이 웨이퍼 상에 반드시 인쇄되지는 않을 것이다. 예를 들면, 도 5에서 도시되는 바와 같이, 설계에서 도시되는 바와 같이 예리한 90도 모서리를 갖는 일부분(400)의 패턴(402, 404 및 406) 대신, 패턴은 적어도 다소 둥근 모서리를 가질 것이다. 게다가, 구조체 중 임의의 것은, 구조체를 가로지르는 다양한 지점에서 폭과 같은 치수에서 변동을 가질 수도 있다. 예를 들면, 도 5에서 도시되는 바와 같이, 패턴(406)은 구조체를 가로지르는 다수의 지점에서 이 구조체의 설계 특성과 비교하여 약간의 선폭 변동을 갖는다.
따라서, ROI 및 ROI마다의 측정 타입은 설계시 패턴의 특성에 기초하여, 어쩌면 패턴에서의 잠재적인 문제의 몇몇 사전 지식과 조합하여, 본원에서 설명되는 바와 같이 자동적으로 선택될 수도 있다. 도 5에서 도시되는 패턴에 대한 다수의 가능한 ROI가 도 6에서 도시된다. 비록 이들 가능한 ROI가 도 5에서 도시되는 패턴과 관련하여 도시되지만, ROI는 실제로는 도 5에서 도시되는 패턴에 대응하는 설계에 기초하여, 즉, 도 4에 도시되는 바와 같은 패턴에 기초하여 결정될 수도 있다.
도 6에서 도시되는 실시형태에서, ROI(600, 602 및 604)는, 피쳐의 일부분에 걸쳐 실질적으로 균일한 치수를 가지도록 설계되는 피쳐의 일부분에 대해 결정될 수도 있다. 예를 들면, ROI(600)는 실질적으로 균일한 치수를 가지도록 설계되는 피쳐(402)의 일부분에 대해 생성될 수도 있고, ROI(602 및 604)는 실질적으로 균일한 치수를 가지도록 설계되는 피쳐(406)의 일부분에 대해 생성될 수도 있다. 이들 ROI에 대해 자동적으로 선택되는 측정 타입은 패턴 폭 측정일 수도 있는데, 이것은 패턴 피쳐에서 좁아지는(necking) 또는 넓어지는(bulging) 문제를 검출하기 위해 사용될 수도 있다.
다른 ROI인 ROI(606)는, ROI를 가로질러 실질적으로 동일한 치수를 가지도록 설계되는 피쳐 중 두 개인 피쳐(402 및 406) 사이의 스페이스에 대해 자동적으로 생성될 수도 있다. 본원에서 설명되는 실시형태에 의해 이 ROI에 대해 자동적으로 선택되는 측정 타입은 갭 측정(또는 두 피쳐 사이의 거리 또는 거리의 몇몇 통계적 척도)을 포함할 수도 있다. 두 개의 패턴화된 피쳐 사이의 브리징 문제를 검출하기 위해 갭 측정이 수행될 수도 있다.
본원에서 설명되는 실시형태는 또한, 피쳐 중 하나 이상의 단부에서 및/또는 그 단부 부근에서 다수의 ROI를 자동적으로 생성하도록 구성될 수도 있다. 예를 들면, 도 6에서 도시되는 바와 같이, ROI(608 및 610)는 피쳐(402)의 단부에 대해 자동적으로 생성될 수도 있고, 한편 ROI(612 및 614)는 피쳐(406)의 단부에 대해 자동적으로 생성될 수도 있다. 이들 ROI에 대해 선택되는 측정 타입은, 라인 단부 위치, 라인 단부 풀백, 라인 단부 거리(예를 들면, 직선의 두 라인 단부 사이의 거리) 또는 피쳐의 단부의 상대적 위치를 설계시 대 인쇄시로 설명하기 위해 사용될 수 있는 몇몇 다른 측정 타입일 수도 있다.
설계에서의 패턴화된 피쳐 중 하나 이상의 모서리에 대해 하나 이상의 ROI가 자동적으로 또한 생성될 수도 있다. 예를 들면, 도 6에서 도시되는 바와 같이, ROI(616 및 618)는 피쳐(406)의 모서리에 대해 생성될 수도 있다. 이들 ROI에 대해 선택되는 측정 타입은, 곡률, 반경, 거리, 원호 면적, 또는 모서리의 형상을 설명하기 위해 사용될 수 있는 다른 측정 타입일 수도 있다.
설계에서의 콘택트 패턴화 피쳐(contact patterned feature)에 대해, 본원에서 설명되는 실시형태에 의해 다른 ROI가 자동적으로 생성될 수도 있다. 예를 들면, 도 6에서 도시되는 바와 같이, ROI(620)가 콘택트 피쳐(404)에 대해 생성될 수도 있다. 이 ROI에 대해 선택되는 측정 타입은, 직경, 폭, 높이, 반경, 면적, 또는 인쇄시 콘택트가 설계시 콘택트와 어떻게 상이한지를 설명하기 위해 사용될 수 있는 다른 측정 타입일 수도 있다.
계측 프로세스에 대해 결정될 수도 있는 다른 측정 타입은, 단 대 단(tip-to-tip)(두 라인 단부 사이의 갭의 측정), 단 대 라인(tip-line)(라인 단부와 라인 사이의 갭의 측정), 라인 길이(직선의 길이의 측정), 모서리 대 모서리(corner-to-corner) 측정을 포함한다.
따라서, 상기에서 설명되는 바와 같이, 본원에서 설명되는 실시형태는, 웨이퍼에 대한 설계의 적어도 일부분을, 계측 프로세스에 대한 ROI로 설계에 기초하여 분할하도록 구성될 수도 있다. 또한, 세그먼트 중 일부는 직선 세그먼트, 직선 갭 세그먼트, 라인 단부 세그먼트, 모서리 세그먼트, 및 콘택트 세그먼트를 포함할 수도 있다. 상이한 세그먼트 및 대응하는 ROI는 본원에서 설명되는 다수의 상이한 방식으로 설계에서 결정될 수도 있다. 예를 들면, 세그먼트 또는 ROI는 설계에 하나 이상의 규칙을 적용하는 것에 의해 결정될 수도 있다. 다른 예에서, 설계에서의 패턴화된 피쳐를 통과하는 가상 중심선(즉, 그들이 설계의 일부가 아니거나 또는 웨이퍼 상에 인쇄되지 않는다는 의미에서 가상임)이 본원에서 추가로 설명되는 바와 같이 식별될 수도 있고, 그 다음, 그들 중심선은 패턴화된 피쳐를 세그먼트 및/또는 ROI로 분할하기 위해 사용될 수 있다. 예를 들면, 패턴화된 피쳐를 통과하는 직선의 중심선은, 직선의 중심선이 직선 세그먼트로서 이어지는 패턴화된 피쳐의 일부분을 식별하기 위해 사용될 수도 있다. 다른 예에서, 두 개의 패턴화된 피쳐 사이의 스페이스를 통과하는 직선의 중심선은, 직선의 중심선이 직선 갭 세그먼트로서 이어지는 스페이스의 일부분을 식별하기 위해 사용될 수도 있다. 추가적인 예에서, 두 개의 직선이 90도 각도로 만나는 패턴화된 피쳐의 일부분이 모서리 세그먼트로서 식별될 수도 있다. 본원에서 설명되는 다른 세그먼트는 가상 중심선을 사용하여 유사한 방식으로 식별될 수 있다.
일단 계측 프로세스에 대한 다양한 위치(예를 들면, 측정 사이트 위치, 정렬 사이트 위치, 자동 초점 사이트 위치, 등등)가 결정되면, 계측 레시피 셋업은 다양한 추가적인 단계를 포함할 수도 있는데, 그 중 일부는 물리적 웨이퍼를 사용하는 계측 툴에 대해 수행될 수도 있다. 예를 들면, 위치 중 하나 이상은 측정 서브시스템의 FOV 내에 위치될 수도 있다. 일단 하나 이상의 위치가 측정 서브시스템의 FOV 내에 위치되면, 측정 서브시스템의 파라미터, 즉 광학, 전자빔, 또는 이미징 파라미터에 대해 상이한 값을 사용하여 측정 서브시스템의 출력이 생성될 수도 있다. 파라미터의 상이한 값을 사용하여 생성되는 상이한 출력은, 그 다음, 하나 이상의 위치에 대한 계측 프로세스에서의 사용에 대해 파라미터 중 어떤 것이 가장 적합한지를 결정하기 위해 비교될 수도 있다. 또한, 동일한 계측 프로세스에서 측정될 상이한 위치에 대해 상이한 계측 서브시스템 파라미터가 선택될 수도 있다. 예를 들면, 하나의 타입의 ROI에서 하나의 측정 타입에 대해 측정 서브시스템 파라미터의 하나의 세트가 최상인 것으로 결정될 수도 있고(따라서 선택될 수도 있고), 한편 다른 상이한 타입의 ROI에서 다른 상이한 측정 타입에 대해 측정 서브시스템 파라미터의 다른 상이한 세트가 최상인 것으로 결정될 수도 있다(따라서 선택될 수도 있다). 유사한 방식으로, 측정 서브시스템에 의해 생성되는 출력에 컴퓨터 서브시스템(들)에 의해 적용되는 하나 이상의 방법 및/또는 알고리즘의 하나 이상의 파라미터는, 위치 타입 기반으로 결정될 수도 있다(그 결과, 상이한 방법 및/또는 알고리즘 및/또는 동일한 방법(들) 및/또는 알고리즘(들)의 상이한 파라미터가 웨이퍼 상의 상이한 타입의 위치에서 생성되는 출력에 적용될 수도 있다).
몇몇 실시형태에서, 컴퓨터 서브시스템(들)은, 검출기의 출력을 시료에 대한 설계에 정렬시키는 것에 의해 계측 프로세스 동안 ROI의 제1 및 제2 서브세트의 시료 상의 위치를 결정하도록 구성된다. 예를 들면, 컴퓨터 서브시스템은 (예를 들면, SEM의 FOV에서의 기하학적 형상을 사용하여) 자동 SEM 대 설계 미세 정렬(automatic SEM-to-design fine alignment)을 위해 구성될 수도 있다. 측정 서브시스템과 설계 구조체에 의해 생성되는 이미지에서 전역적 정렬이 구조체의 중심선의 정렬을 보장하지 않기 때문에, SEM 대 설계 미세 정렬이 수행될 수도 있다.
측정 서브시스템의 출력을 설계에 정렬시키는 몇몇 실시형태에서, 설계 및 출력에서의 패턴화된 피쳐를 통해 묘사되는 가상의 중심선이 미세 정렬을 위해 사용될 수도 있다(반면, 본원에서 추가로 설명되는 정렬 마크는 하나 이상의 FOV 또는 웨이퍼의 전역적 정렬을 위해 사용될 수도 있다). 도 7 및 도 8은 정렬을 위해 설계 및 출력에서의 에지를 사용할 때 발생할 수 있는 몇몇 문제를 예시한다. 예를 들면, 도 7에서 도시되는 바와 같이, 설계의 일부분은 라인(700)과 다각형(702)의 두 개의 피쳐를 포함할 수도 있다. 게다가, 설계 일부분에 대응하는 측정 서브시스템에 의해 생성되는 출력의 일부분은 두 개의 피쳐인 라인(704) 및 다각형(706)에 대한 출력을 포함할 수도 있다. 측정 서브시스템의 출력 및 설계에서의 피쳐는, 상기에서 더 설명된 바와 같이 웨이퍼 상에서의 설계의 인쇄로 인해 상이하게 나타난다.
측정 서브시스템의 출력(예를 들면, SEM 이미지)은 주목 패턴의 상부 에지 또는 하부 에지에서 에지 대 에지 접근법(edge-to-edge approach)을 사용하여 설계에 정렬될 수 있다. 예를 들면, 도 7에서 도시되는 바와 같이, 다각형(702 및 706)의 수평 부분의 하부 에지(708)가 정렬을 위해 사용되면, 다각형의 영역(710 및 712)에서 다각형(706)에 대해 수행되는 라인 단부 측정은 하나의 측정치를 생성할 것이다. 그러나, 도 8에서 도시되는 바와 같이, 다각형(702 및 706)의 수평 부분의 상부 에지(800)가 정렬에 사용되면, 다각형의 영역(710 및 712)에서 다각형(706)에 대해 수행되는 라인 단부 측정은 상이한 측정치를 생성할 것이다. 이러한 방식에서, 출력에 대한 설계의 정렬을 위해 다각형의 어느 에지가 사용되는지에 따라, 라인 단부 측정은 상이한 결과를 생성할 것인데, 이것은 다수의 명백한 이유(예를 들면, 라인 단부 풀백 측정치가 일치하지 않음) 때문에 불리하다.
따라서, 에지 대 에지 정렬을 사용하는 대신, 본원에서 설명되는 실시형태는 출력에서의 그리고 설계에서의 피쳐의 중심을 사용하여 설계를 위한 측정 서브시스템 출력의 정렬을 수행할 수도 있다. 예를 들면, 도 9에서 도시되는 바와 같이, 다각형(702 및 706)의 중심이 정렬을 위해 사용되는 경우, 다각형의 영역(710 및 712)에서 다각형(706)에 대해 수행되는 라인 단부 측정에 대해서는, 상기에서 설명되는 에지 정렬 중 어느 하나가 사용되는 경우와는 상이한 측정치가 생성될 것이다. 그러나, 피쳐의 중심을 사용하여 설계 및 측정 서브시스템의 출력을 정렬하는 것은, ROI별로 훨씬 더 일관성있는 정렬을 산출할 것이고, 그에 의해 ROI에 대해 실질적으로 일관된 측정(예를 들면, 모서리 측정, 라인 단부 풀백 측정, 및 폭 측정)을 제공하게 된다. 정렬을 위해 피쳐의 에지 대신 그들의 중심을 사용하는 것은 또한, 심각하게 왜곡된 패턴에 대해 그리고 FOV가 주목 패턴을 정렬하기 위한 많은 피쳐를 가지지 않을 때, 정렬의 견고성을 향상시킬 수 있다.
도 10 내지 도 12는, 설계를 출력에 정렬하기 위해, 설계의 일부 내의 그리고 측정 서브시스템 출력 내의 패턴화된 피쳐의 중심이 어떻게 사용될 수 있는지를 예시한다. 예를 들면, 도 10에서 도시되는 바와 같이, 시료에 대한 설계의 일부분은 네 개의 상이한 피쳐인 다각형(1006) 및 라인(1000, 1002 및 1004)의 일부분을 포함할 수도 있다. 도 10에서 더 도시되는 바와 같이, 설계의 일부분 내에 포함되는 각각의 피쳐의 일부분 전체를 통과하는 가상 중심선이 결정될 수 있다. 예를 들면, 라인(1000, 1002 및 1004)의 일부분에 대해 가상 중심선(1008, 1010 및 1012)이 결정될 수도 있다. 또한, 다각형(1006)에 대해 가상 중심선(1014)이 결정될 수도 있다. 가상 중심선은 임의의 적절한 방식으로 결정될 수도 있다.
패턴화된 피쳐의 경우 그들이 측정 서브시스템 출력에서 나타나는 대로 가상 중심선이 또한 결정될 수도 있다. 예를 들면, 도 11에서 도시되는 바와 같이, 측정 서브시스템 출력에서의 설계의 일부분은 도 10에서 도시되는 것에 대응하는 4 개의 상이한 피쳐, 예를 들면, 다각형(1106) 및 라인(1100, 1102 및 1104)의 일부분을 포함할 수도 있다. 도 11에 더 도시되는 바와 같이, 설계의 이 일부분에 포함되는 각각의 피쳐의 일부분 전체를 통과하는 가상 중심선이 결정될 수 있다. 예를 들면, 라인(1100, 1102 및 1104)의 일부분에 대해 가상 중심선(1108, 1110 및 1112)이 결정될 수도 있다. 또한, 다각형(1106)에 대해 가상 중심선(1114)이 결정될 수도 있다. 가상 중심선은 본원에서 추가로 설명되는 바와 같이 결정될 수도 있다.
설계에서의 패턴화된 피쳐의 중심선이 재현 가능하게 결정될 수 있기 때문에 그리고 출력에서의 패턴화된 피쳐의 중심선이 실질적으로 재현 가능하게 결정될 수 있어야 하기 때문에, 가상 중심선은 설계에서의 패턴화된 피쳐를 출력에서의 패턴화된 피쳐에 반복적이고 재현 가능하게 정렬시키는 데 사용될 수 있다. 예를 들면, 도 12에서 도시되는 바와 같이, 중심선(1008 및 1108)의 정렬(1200)은, 설계에서의 라인(1000)을 출력에서의 라인(1100)에 재현 가능하게 정렬시키는 데 사용될 수 있다. 다른 예에서, 중심선(1010 및 1110)의 정렬(1202)은 설계에서의 라인(1002)을 출력에서의 라인(1102)에 재현 가능하게 정렬시키는 데 사용될 수 있다. 또한, 중심선(1012 및 1112)의 정렬(1204)은 설계에서의 라인(1004)을 출력에서의 라인(1104)에 재현 가능하게 정렬시키는 데 사용될 수 있다. 또한, 중심선(1014, 1114)의 정렬(1206)은 설계에서의 다각형(1006)을 출력에서의 다각형(1106)에 재현 가능하게 정렬시키는 데 사용될 수 있다.
물론, 설계의 일부분 내의 피쳐를, 측정 서브시스템의 출력에서의 설계의 동일한 일부분 내의 피쳐에 정렬시키기 위해서는, 모든 피쳐의 서로에 대한 정렬을 생성하도록 그 일부분 내의 모든 피쳐의 모든 중심선이 서로 정렬되어야 하는 것은 아니다. 예를 들면, 도 2에서 도시되는 예에서, 설계에서의 그리고 출력에서의 다각형의 중심선의 정렬은, 그 다각형뿐만 아니라 설계의 이 일부분에서의 나머지 피쳐에 대한 정밀한 설계 대 출력 정렬을 생성하는 데 사용될 수도 있다. 설계에서의 피쳐를 측정 서브시스템에서의 피쳐에 재현 가능하게 정렬시킬 수 있는 것은, 정렬의 결과를 사용하여 수행되는 측정의 일관성을 향상시킬 것이다.
또 다른 실시형태에서, 측정(들)의 파라미터(들)는, 측정(들)이 가로질러 수행되는 하나 이상의 치수의 범위를 포함한다. 예를 들면, 컴퓨터 서브시스템(들)은 측정 범위의 자동 생성을 위해 구성될 수도 있다. 측정 범위는 각각의 고유한 사이트에 대해 런타임에서 자동적으로 결정될 수도 있다(셋업 동안 어떠한 파라미터도 필요로 되지 않음).
몇몇 실시형태에서, 측정이 가로질러 수행되는 치수의 경계는 본원에서 추가로 설명되는 중심선을 사용하여 결정될 수도 있다. 예를 들면, 도 13에서 도시되는 바와 같이, 웨이퍼 상에 형성되는 설계의 일부분은 네 개의 패턴화된 피쳐(1300, 1302, 1304 및 1306)를 포함할 수도 있는데, 이들은 도 13에서 그들이 웨이퍼 상에 형성될 수도 있고 그 다음 측정 서브시스템에 의해 이미지화될 수도 있는 것처럼 도시된다. 가상의 중심선(1308, 1310, 1312 및 1314)은 본원에서 추가로 설명되는 바와 같이 피쳐의 각각에 대해 생성될 수도 있다. 가상의 중심선은 패턴화된 피쳐 사이의 스페이스에 대해서도 또한 생성될 수도 있다. 스페이스에 대한 중심선은 설계에서의 두 개의 인접한 피쳐 사이의 중간점에 의해 정의될 수도 있다. 예를 들면, 중심선(1316)은 피쳐(1300)의 중심선과 임의의 다른 인접한 피쳐(예를 들면, 피쳐(1302)) 사이의 중간점에 기초하여 정의될 수도 있다. 중심선(1318)은 피쳐(1302)의 중심선과 이 피쳐의 좌측 상에서 피쳐(1300) 위로 연장하는 임의의 다른 인접한 피쳐(도면 13에서 도시되지 않음)의 중심선 사이의 중간점에 기초하여 정의될 수도 있다. 중심선(1320)은 피쳐(1304)의 중심선과 임의의 다른 인접한 피쳐(예를 들면, 피쳐(1302 및 1306))의 중심선 사이의 중간점에 기초하여 정의될 수도 있다. 중심선(1322)은 피쳐(1302 및 1306)의 중심선 사이의 중간점에 기초하여 정의될 수도 있다. 게다가, 중심선(1324)은 피쳐(1306)의 중심선과 이 피쳐의 우측 상의 임의의 인접한 피쳐(도 13에서 도시되지 않음)의 중심선 사이의 중간점에 기초하여 정의될 수도 있다. 비록 도 13에서 도시되는 중심선이 측정 서브시스템 출력에서 나타나는 바와 같은 패턴화된 피쳐와 관련하여 정의되고 있는 것으로 설명되지만, 중심선은, 또한 또는 대안적으로, 설계 자체에 나타나는 바와 같은 패턴화된 피쳐에 기초하여 정의될 수도 있다. 또한, 패턴화된 피쳐 사이의 스페이스에서의 중심선이 패턴화된 피쳐에서의 중심선에 기초하여 정의되는 것으로 상기에서 설명되지만, 스페이스에서의 중심선은 패턴화된 피쳐의 몇몇 다른 특성(예를 들면, 패턴화된 피쳐의 에지)에 기초하여 정의될 수도 있다.
그 다음, 패턴화된 피쳐 사이의 스페이스에서의 중심선은, 수행되는 패턴화된 피쳐의 임의의 측정에 대한 경계로서 사용될 수도 있다. 예를 들면, 도 13에서 도시되는 바와 같이, 패턴화된 피쳐(1304)의 임계 치수(CD)가 이 패턴화된 피쳐에 대해 측정되어야 한다면, 측정은 라인(1326) 중 하나를 따라 패턴화된 피쳐의 일측의 중심선(1320)의 위치로부터 패턴화된 피쳐의 다른 측의 중심선(1320)의 위치로 그리고 패턴화된 피쳐(1304) 내의 중심선(1312)에 실질적으로 수직인 방향에서 수행될 수도 있다. 이러한 방식에서, 측정은 패턴화된 피쳐를 통해 중심선에 직교하는 방향으로 수행될 수도 있다. 비록 패턴화된 피쳐(1304)에 대해 상이한 측정이 가로질러 수행될 수도 있는 치수를 나타내는 세 개의 라인(1326)이 도 13에서 도시되지만, 임의의 적절한 수의 이러한 측정은 패턴화된 피쳐 내의 중심선을 따른 임의의 적절한 위치에서 수행될 수도 있다. 또한, 측정은 피쳐의 중심선에 실질적으로 평행한 방향으로 수행될 수도 있다. 예를 들면, 도 13에서 도시되는 바와 같이, 측정은 라인(1328) 중 하나를 따라 수행될 수도 있고, 비록 도 13에서 도시되지는 않지만, 이러한 측정의 경계는 본원에서 추가로 설명되는 바와 같이 패턴화된 피쳐 사이의 스페이스에서의 중심선에 의해 또한 결정될 수도 있다. 또한, 비록 도 13에서 도시되지는 않지만, 측정이 가로질러 수행되는 치수는 패턴화된 피쳐의 및/또는 패턴화된 피쳐 사이의 스페이스의 중심선과 (예를 들면, 반경을 측정하기 위해, 라인 단부 풀백 측정을 위해, 라인 단부 거리 측정을 위해, 등등을 위해) 직교 이외의 각도에서 교차할 수도 있다.
패턴화된 피쳐에 대해 수행되는 임의의 측정에 대한 경계로서 패턴화된 피쳐 사이의 스페이스에서의 중심선을 사용하는 것은, 패턴화된 피쳐 외부에서 측정이 시작하고 종료하는 것을 유익하게 보장할 수도 있고, 그에 의해 측정이 패턴화된 피쳐의 전체 치수를 가로질러 수행되는 것 및 패턴화된 피쳐의 에지가 충분한 정확도 및/또는 신뢰도를 가지고 측정 동안 생성되는 출력에서 결정될 수 있도록 측정의 경계가 패턴화된 피쳐의 충분히 외부에 있는 것을 보장하게 된다. 예를 들면, 측정이 시작하는 경계가 패턴 피쳐의 에지에 너무 가까우면, 출력 내의 패턴화된 피쳐의 에지 위치는 측정 경계와 쉽게 혼동될 수도 있고 및/또는 측정 경계 잡음에서 누락될 수도 있다. 그러나, 본원에서 설명되는 바와 같이 측정의 경계를 결정하기 위해 패턴화된 피쳐 사이의 스페이스에서의 중심선을 사용하는 것은, 패턴화된 피쳐 에지 검출에서의 임의의 이러한 에러를 실질적으로 제거할 것이다.
유사한 방식으로, 본원에서 설명되는 측정이 (예를 들면, 두 피쳐 사이의 갭을 측정하기 위해) 두 개의 패턴화된 피쳐 사이의 스페이스에 대해 수행되어야 한다면, 그 측정에 대한 경계는 그 스페이스를 둘러싸는 패턴화된 피쳐 내의 중심선에 기초하여 결정될 수도 있다. 이러한 방식에서, 측정은 스페이스의 에지를 충분히 초과하는 위치에서 시작하고 끝날 수 있으며, 그에 의해, 측정이 스페이스의 전체 치수를 가로질러 수행되는 것 및 스페이스의 에지가 상대적으로 높은 정확도 및/또는 신뢰도를 가지고 결정될 수 있는 것을 보장하게 된다.
하나의 실시형태에서, 측정(들)은 시료 상에 형성되는 하나 이상의 구조체의 하나 이상의 에지의 측정(들) 동안 검출기에 의해 생성되는 출력에서의 위치를 자동적으로 결정하는 것을 포함한다. 이러한 방식에서, 본원에서 설명되는 실시형태는 SEM 에지 위치의 자동 결정을 위해 구성될 수도 있다. 몇몇 경우에, 에지 위치는 본원에서 추가로 설명되는 1D 기울기 프로파일을 사용하여 결정될 수도 있다. 예를 들면, 에지 위치는 1D 기울기 프로파일 내에서 가장 강한 양의 또는 음의 기울기 피크를 찾는 것에 의해 자동적으로 결정될 수도 있다. 다시 말하면, 1D 기울기 프로파일에서의 피크 포인트는 에지 위치로서 선택될 수 있다. 그 다음, CD 또는 피쳐의 다른 속성이 에지 위치에 기초하여 결정될 수 있다. 예를 들면, 상부, 중간 또는 하부 CD는, 구조체의 중심을 통해 묘화되는 라인에 수직인 1D 기울기 프로파일의 양의/음의 기울기 피크, 제로 크로싱 또는 음의/양의 기울기 피크를 사용하여 상부, 중간 또는 하부 에지 위치를 위치 결정하는 것에 의해 결정될 수 있다. 그러나, 에지는 기울기 프로파일을 사용하는 것 외에 다른 측정 알고리즘을 사용하여 위치될 수 있다.
다른 실시형태에서, 컴퓨터 서브시스템(들)은 측정(들)의 결과에 기초하여 ROI의 제1 및 제2 서브세트 중 하나에 대한 하나 이상의 속성을 자동적으로 생성하도록 구성된다. 이러한 방식에서, 본원에서 설명되는 실시형태는 각각의 ROI에 대한 측정 통계치 및 속성의 자동 생성을 위해 구성될 수도 있다. 각각의 ROI에 대한 측정 통계치는 모든 다른 ROI에 대한 계측 결과와는 독립적으로 결정될 수도 있다. ROI에서의 다수의 측정을 사용하여 다양한 측정 통계치(예를 들면, 최대치, 최소치, 평균치(Mean), 평균치(Average), 중앙값, 표준 편차, 범위 및 합계)가 생성될 수도 있다. 다른 예에서, 컴퓨터 서브시스템(들)은 웨이퍼 상에 형성되는 패턴화된 구조체의 일차원(1D) 그레이 스케일 프로파일과 같은 다른 속성의 자동 생성을 위해 구성될 수도 있다. 1D 그레이 스케일 프로파일은 패턴화된 구조체를 통과하는 중심선에 수직인 또는 패턴화된 구조체를 통과하는 중심선에 평행 한 라인을 따라 생성되는 출력에 의해 자동적으로 생성될 수도 있다. 컴퓨터 서브시스템(들)은 또한, 상기에서 설명되는 바와 같이 결정되는 1D 그레이 스케일 프로파일의 기울기를 취하는 것에 의해 자동적으로 생성될 수도 있는 1D 기울기 프로파일의 자동 생성을 위해 구성될 수도 있다. 몇몇 경우에, ROI 내에서의 다수의 측정은 1D 그레이 스케일 또는 기울기 프로파일 당 하나의 측정을 포함할 수도 있다. 측정 통계치는, 실제 CD, 양의 델타 CD, 및 음의 델타 CD에 관련될 수도 있는데, 여기서 델타 CD는 설계와 관련되는 CD 측정치를 제공한다. 또한, 구조체를 통과하는 중심선에 평행한 또는 수직인 1D 그레이 스케일 프로파일을 사용하여 다양한 타입의 그레이 스케일 또는 기울기 기반의 속성(예컨대 최대 로컬 그레이 레벨 차이, 최대 양의 또는 음의 기울기, 등등)이 결정될 수 있다. 본원에서 설명되는 실시형태를 사용하여 결정될 수 있는 측정 통계치 및/또는 속성은 또한 본원에서 설명되는 것들로 제한되지는 않는다.
추가적인 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 하나 이상의 측정의 결과에 기초하여 제1 및 제2 서브세트 중 하나에서의 ROI의 다수의 인스턴스에 대한 하나 이상의 속성을 자동 생성하도록 그리고 다수의 인스턴스 중 둘 이상의 인스턴스에서의 이상점(outlier)을 식별하기 위해 다수의 인스턴스 중 두 개 이상의 인스턴스에 대한 하나 이상의 속성 중 적어도 하나를 비교하도록 구성된다. 이러한 방식에서, 본원에서 설명되는 실시형태는 이상점을 결정하기 위해 웨이퍼 상의 다양한 사이트에 걸쳐 측정 통계치 및 속성의 상대적인 비교를 위해 구성될 수도 있다. ROI의 각각에 대한 측정 통계치 및 속성은 결함 검출을 위한 이상점을 결정하기 위해 웨이퍼 상의 다양한 사이트 걸쳐 비교될 수 있다.
또 다른 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 설계에서 하나 이상의 정렬 사이트를 자동적으로 선택하도록 구성되고, 계측 프로세스는, 계측 프로세스 동안 시료 상의 하나 이상의 정렬 사이트 중 적어도 하나의 정렬 사이트의 하나 이상의 위치를 결정하는 것 및 시료 상의 적어도 하나의 정렬 사이트의 하나 이상의 위치에 기초하여 시료 상의 제1 및 제2 서브세트 내의 ROI 중 하나 이상의 ROI의 하나 이상의 위치를 결정하는 것을 포함한다. 예를 들면, 본원에서 설명되는 실시형태는 물리적 설계 분석과 함께 자동적으로 (대략적인 정렬을 위한) 정렬 사이트를 생성하도록 구성될 수도 있다. 하나의 이러한 예에서, 계측 계획 생성 동안, 각각의 FOV에 대해, 컴퓨터 서브시스템(들)은 물리적 설계를 사용하여 각각의 측정 사이트에 대한 고유한 정렬 사이트(들) 및 자동 초점 사이트(들)를 자동적으로 결정하도록 구성될 수도 있다. 고유한 정렬 사이트(들) 및 자동 초점 사이트(들)를 자동적으로 결정하는 것은 설계 분석 알고리즘 및 소프트웨어를 사용하여 수행될 수도 있다.
몇몇 실시형태에서, 본원에서 설명되는 시스템은 측정 서브시스템 및 적어도 하나의 컴퓨터 서브시스템을 포함하는 계측 툴 상에서 FOV마다 계측 계획을 실행하도록 구성될 수도 있다. 하나의 이러한 실시형태에서, 시스템은 FOV마다 자동 초점을 그 다음 FOV마다 앵커 포인트 정렬을 수행할 수도 있다. 몇몇 이러한 경우, 시스템은 자동 초점 및/또는 앵커 포인트 정렬을 위해 사용될 설계 데이터베이스로부터 앵커 포인트 및 측정 사이트에 대한 설계 클립을 가져올 수도 있다. 시스템은 또한 FOV마다 측정 사이트 정렬을 위해 구성될 수도 있으며 FOV 내의 ROI(들)에서 선택된 타입의 측정을 수행하는 것과 같은 측정 사이트에 대한 계측 계획을 실행할 수도 있다. 그 다음, 컴퓨터 서브시스템(들)은 ROI마다 측정 데이터를 생성할 수도 있다.
몇몇 실시형태에서, 계측 프로세스는, 하나의 ROI에서 수행되는 하나 이상의 측정에만 기초하여 제1 및 제2 서브세트 내의 ROI 중 하나에 결함이 존재하는지를 결정하는 것을 포함한다. 다시 말하면, ROI에서의 결함 검출은, 임의의 다른 ROI에서(ROI와 동일한 다이에서 또는 ROI가 위치되는 다이와는 상이한 다이에서) 생성되는 출력 또는 이러한 출력을 사용하여 생성되는 임의의 측정치에 기초하지 않을 수도 있다. 예를 들면, ROI에서 생성되는 출력만을 사용하여 그 ROI에 대해 생성되는 측정 결과가 임계치에 비교될 수도 있고, 임계치를 초과하는 임의의 측정 결과는 결함인 것으로 결정될 수도 있고, 한편 임계치 미만의 임의의 측정 결과는 결함이 아닌 것으로 결정될 수도 있다(또는 그 반대로 결정될 수도 있다). 게다가, 이러한 결함 검출은 하나보다 많은 임계치(예를 들면, 상부 임계치 및 하부 임계치) 및/또는 임의의 다른 적절한 결함 검출 방법 및/또는 알고리즘을 사용하여 수행될 수도 있다.
이러한 방식에서, 하나 이상의 파라미터가 결정되는 계측 프로세스는 ROI 기반의 단일의 다이 결함 검출을 포함할 수도 있다. 이러한 결함 검출은, ROI 위치에서 다양한 타입의 속성(예를 들면, CD 측정치, 기울기 크기, 로컬 그레이 레벨 콘트라스트, 등등)을 생성하는 것에 의해 다양한 결함 타입(예를 들면, 패턴 결함, 누락하는 및/또는 과소 충전 에피택셜 층(under-filled epitaxial layer), 실리콘 게르마늄(SiGe) 결함, 등등)을 검출하도록 수행될 수도 있다.
본원에서 설명되는 실시형태와는 대조적으로, ROI 기반의 단일의 다이 결함 검출을 위한 현재 사용되는 방법은, 결함 검출을 위해 (획득되는 또는 생성되는) 기준 이미지 또는 기준 윤곽을 사용한다. 획득된 이미지 접근법은 ROI 기반의 단일의 다이 결함 검출과 비교하여 절반의 처리량을 갖는다. 생성된 이미지 또는 윤곽 접근법은 참조물(reference)을 생성하는 복잡성 및 부정확성으로 인해 어려움을 겪는다.
하나의 실시형태에서, ROI의 제1 및 제2 서브세트 중 하나에서 수행되는 하나 이상의 측정은 ROI 중 다른 것의 CD 측정에 대한 ROI 중 하나의 CD 측정을 포함한다. 이러한 방식에서, 하나 이상의 파라미터가 결정되는 측정은, 주어진 웨이퍼 상의 주어진 주목 패턴(POI)의 다수의 인스턴스의 CD가 비교될 수도 있는 상대적인 CD 측정일 수도 있다. 다시 말하면, CD 측정은 절대 측정이 아닌 상대적인 측정일 수도 있다. 본원에서 설명되는 실시형태와는 대조적으로, 상대적인 CD 측정을 위해 현재 사용되는 방법은 CD-SEM 툴을 사용하는데, 여기서는 사이트마다 다수의 ROI를 정의하기 위한 레시피 셋업은 매우 수동적이고(manual) 시간 소모적인 프로세스이며 따라서 사이트마다 실질적으로 제한된 수의 ROI 및 다이마다 제한된 수의 고유한 사이트가 CD 측정을 위해 측정될 수 있다.
추가적인 실시형태에서, ROI의 제1 및 제2 서브세트 중 하나에서 수행되는 하나 이상의 측정은, ROI 중 다른 하나의 오버레이 측정에 대한 ROI 중 하나의 오버레이 측정을 포함한다. 이러한 방식에서, 하나 이상의 파라미터가 결정되는 측정은 상대적인 오버레이 측정일 수도 있다. 다시 말하면, 오버레이 측정은 절대 측정이 아닌 상대적인 측정일 수도 있다. 오버레이 에러는 다중 패턴화 제조 프로세스(예를 들면, 이중, 삼중, 또는 사중 패턴화), 스페이서 피치 분할 제조 프로세스, 등등 동안 측정될 수도 있다. 또한, 오버레이 에러는 웨이퍼 상에 형성되어 있는 현재 층과 웨이퍼 상에 형성되어 있는 이전 층 사이에서 측정될 수도 있다. 본원에서 설명되는 실시형태와는 대조적으로, 상대적인 오버레이 측정을 위해 현재 사용되는 방법은 CD-SEM 툴을 사용하는데, 여기서는 사이트마다 다수의 ROI를 정의하기 위한 레시피 셋업은 매우 수동적이고 시간 소모적인 프로세스이며 따라서 사이트마다 실질적으로 제한된 수의 ROI 및 다이마다 제한된 수의 고유한 사이트가 오버레이 측정을 위해 측정될 수 있다.
몇몇 실시형태에서, 시료는 프로세스 윈도우 검증(process window qualification; PWQ) 웨이퍼를 포함하고, 자동 생성은 시료에 대해 수행되는 검사 프로세스의 결과 및 설계에 기초하여 계측 프로세스 동안 측정될 ROI를 자동적으로 생성하는 것을 포함한다. 이러한 방식에서, 하나 이상의 파라미터가 결정되는 측정은, KLA-Tencor로부터 상업적으로 이용 가능한 검사 툴 중 하나와 같은 검사 툴에 의해 수행되는 웨이퍼의 PWQ 웨이퍼의 검사에 의해 검출될 수도 있는, (예를 들면, CD 측정을 사용한) PWQ 웨이퍼 상의 패턴 결함의 자동화된 리뷰를 포함할 수도 있다. 몇몇 경우에, PWQ 검사에 의해 검출되는 결함은 계측에 대한 핫 스팟으로서 사용될 수도 있고, 계측 핫스팟에서 수행되는 측정 및 검출은 PWQ 윈도우(예를 들면, PWQ가 수행되는 프로세스 파라미터의 윈도우)를 개선하는 데 사용될 수도 있다. 패턴 결함의 자동화된 PWQ 리뷰를 위한 현재 사용되는 방법은 PWQ 검사에 의해 발견되는 패턴 결함의 수동의 또는 자동화된 설계 기반의 리뷰를 수행한다. 수동의 방법은 정확하지 않고 신뢰할 수 없으며(예를 들면, 유저가 완전한 패턴 결함을 놓칠 수 있거나 또는 상당히 미묘한(예를 들면, 3 내지 7 nm) CD 변동을 구별할 수 없을 수 있다) 설계 기반의 접근법은 발견 단계와 계측 단계 사이에 레시피 셋업을 필요로 한다.
PWQ 검사는 2005년 6월 7일자로 Peterson 등등에게 발행된 미국 특허 제6,902,855호, 2008년 8월 26일자로 Peterson 등등에게 발행된 미국 특허 제7,418,124호, 2010년 8월 3일자로 Kekare 등등에게 발행된 미국 특허 제7,769,225호, 2011년 10월 18일자로 Pak 등등에게 발행된 미국 특허 제8,041,106호, 및 2012년 7월 3일자로 Peterson 등등에게 발행된 미국 특허 제8,213,704호에서 설명되는 바와 같이 수행될 수도 있는데, 이들 특허는 마치 본원에서 개시되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 이들 특허에서 설명되는 임의의 방법(들)의 임의의 단계(들)를 포함할 수도 있고 이들 특허에서 설명되는 바와 같이 더 구성될 수도 있다. PWQ 웨이퍼는 이들 특허에서 설명되는 바와 같이 인쇄될 수도 있다.
또 다른 실시형태에서, 계측 프로세스는 시료에 대해 수행되는 제조 프로세스의 인라인 모니터링 동안 시료에 대해 수행된다. 이러한 방식에서, 하나 이상의 파라미터가 결정되는 계측 프로세스는 인라인 모니터링(즉, 생산 제조 프로세스에 의해 생성되는 웨이퍼에 대해 수행되는 측정) 동안 수행되는 계측 프로세스를 포함할 수도 있다. 이러한 계측 프로세스는 게이트 임계 치수 균일성(critical dimension uniformity; CDU) 측정, 라인 에지 거칠기(line edge roughness; LER)/라인 폭 거칠기(line width roughness; LWR) 측정, CD/오버레이 측정, 등등과 같은 측정을 위해 수행될 수도 있다.
다른 실시형태에서, 자동 생성은 시료에 대해 수행되는 검사 프로세스의 결과 및 설계에 기초하여 계측 프로세스 동안 측정될 ROI를 자동적으로 생성하는 것을 포함한다. 예를 들면, 인라인 모니터링은 또한, 검출된 결함의 위치가 검사 유도 계측을 위한 "핫 스팟"으로서 본질적으로 사용되도록, 검사에 의해 검출되는 결함의 위치에 대해 수행될 수도 있다. 몇몇 이러한 실시형태에서, 계측의 결과는 검사 결과에 상관될 수도 있다. 예를 들면, 몇몇 경우에, 검사에 의해 생성되는 패턴 충실도 서명이 계측 동안 수행되는 측정에 상관될 수도 있다.
본원에서 설명되는 실시형태와는 대조적으로, 인라인 모니터링 동안 계측을 위한 현재 사용되는 방법은 CD-SEM 툴을 사용하여 특정한 계측 타겟(예를 들면, 웨이퍼 상의 스크라이브 라인에 인쇄됨)에서 CD/오버레이 측정을 수행하고, ROI를 정의함에 있어서 레시피 셋업이 상당한 수작업이기 때문에, 웨이퍼 상의 수천 개의 고유한 사이트를 자동적으로 측정하는 것은 가능하지 않다. 인라인 모니터링을 위한 몇몇 다른 현재 사용되는 방법은, 다이 대 다이 모드를 사용하여 임계점 검사(critical point inspection; CPI)를 수행하도록 수백 만개의 핫 스팟 위치로부터 몇몇 개의 위치를 랜덤하게 샘플링하기 위해 SEM 리뷰 툴을 사용하는 것을 포함한다. 그러나, 핫 스팟 위치는 랜덤하게 샘플링되기 때문에, 현재 사용되는 방법은 실질적으로 많은 핫 스팟 결함을 놓칠 수 있다.
추가적인 실시형태에서, 하나 이상의 컴퓨터 서브시스템은, ROI의 제1 및 제2 서브세트 중 하나에서 수행되는 하나 이상의 측정을, ROI의 제1 및 제2 서브세트 중 하나에 대한 설계 의도에 비교하도록 그리고 비교 결과에 기초하여 광 근접 보정(OPC) 모델을 수정하도록 구성된다. 이러한 방식에서, 하나 이상의 파라미터가 결정되는 계측 프로세스는 설계 의도에 대한 OPC 모델 검증을 위해 수행될 수도 있다. 본원에서 설명되는 실시형태와는 대조적으로, 설계 의도에 대한 OPC 모델 검증을 위한 현재 사용되는 방법은 CD-SEM을 사용하는데, 여기서는, 사이트마다 다수의 ROI를 정의하기 위한 레시피 셋업은 매우 수동적이고 시간 소모적인 프로세스이며 따라서 사이트마다 아주 제한된 수의 ROI 및 다이마다 제한된 수의 고유한 사이트가 CD 측정을 위해 측정될 수 있다. OPC의 경우, 약한 구조체를 자동적으로 발견하고 다이마다 수천 개의 고유한 사이트를 즉각적으로 및/또는 자동적으로 셋업하고 측정하는 것이 필요로 된다.
다른 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 하나 이상의 측정치에 기초하여 ROI의 제1 및 제2 서브세트 중 하나에서 결함을 검출하도록 그리고 검출된 결함에 대한 결함 속성으로서 하나 이상의 측정치를 보고하도록 구성된다. 이러한 방식에서, 계측 프로세스는 재검출 알고리즘에 의해 보고되는 결함 위치에서의 결함 속성으로서 패턴 충실도 측정치를 보고하는 것을 포함할 수도 있다. 본원에서 설명되는 실시형태와는 대조적으로, 현재 사용되는 방법은 결함 속성의 일부로서 측정 통계치를 보고하지 않으며, 따라서, 패턴 왜곡이 성가신 것인지, 부분적 파괴인지, 완전한 파괴인지, 부분적 브리지인지 또는 완전한 브리지인지의 여부를 정량화할 수 없다.
본원에서 설명되는 실시형태는 계측 프로세스에 대한 하나 이상의 파라미터를 결정하기 위한 현재 사용되는 방법에 비해 다수의 이점을 갖는다. 예를 들면, 본원에서 설명되는 실시형태는 수천 개의 고유한 사이트에 대한 ROI를 생성하고 그 다음 (주어진 사이트에 대한 물리적 설계 클립 및 SEM 이미지를 사용하여) 다양한 사이트에 걸쳐 각각의 ROI에 대한 다양한 측정 통계치 및 속성을 자동 생성하기 위한 실질적으로 빠르고 자동화된 즉석의 메커니즘을 제공하는데, 그 메커니즘은 그 다음 본원에서 설명되는 다양한 사용 사례를 서비스하도록 사용된다.
다른 실시형태는 시료에 대해 수행될 계측 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 그 방법은 상기에서 설명되는 단계를 자동적으로 생성하는 단계 및 자동적으로 결정하는 단계를 포함한다.
방법의 단계의 각각은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 방법은 또한, 본원에서 설명되는 측정 서브시스템 및/또는 컴퓨터 서브시스템(들) 또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 포함할 수도 있다. 자동 생성 및 자동 결정 단계는 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있는 하나 이상의 컴퓨터 시스템에 의해 수행된다. 게다가, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 실시형태 중 임의의 것에 의해 수행될 수도 있다.
추가적인 실시형태는, 시료에 대해 수행될 계측 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독가능 매체에 관한 것이다. 하나의 이러한 실시형태는 도 14에서 도시된다. 특히, 도 14에서 도시되는 바와 같이, 비일시적 컴퓨터 판독가능 매체(1400)는 컴퓨터 시스템(1404) 상에서 실행 가능한 프로그램 명령어(1402)를 포함한다. 컴퓨터 구현 방법은, 본원에서 설명되는 임의의 방법(들)의 임의의 단계(들)를 포함할 수도 있다.
본원에서 설명되는 것과 같은 방법을 구현하는 프로그램 명령어(1402)는 컴퓨터 판독가능 매체(1400) 상에 저장될 수도 있다. 컴퓨터 판독가능 매체는, 자기 또는 광학 디스크, 자기 테이프, 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 비일시적 컴퓨터 판독가능 매체와 같은 저장 매체일 수도 있다.
프로그램 명령어는, 다른 것들 중에서도, 프로시져 기반의 기술, 컴포넌트 기반의 기술, 및/또는 객체 디렉팅 기술을 비롯한 다양한 방식 중 임의의 것으로 구현될 수도 있다. 예를 들면, 프로그램 명령어는, 필요에 따라, 액티브X(ActiveX) 컨트롤, C++ 오브젝트, 자바빈(JavaBeans), 마이크로소프트 파운데이션 클래스(Microsoft Foundation Classes; "MFC"), SSE(Streaming SIMD Extension; 스트리밍 SIMD 확장) 또는 다른 기술 또는 방법론을 사용하여 구현될 수도 있다.
컴퓨터 시스템(1404)은, 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있다.
본원에서 설명되는 추가적인 실시형태는 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템을 포함한다. 시스템은, 본원에서 설명되는 임의의 실시형태에 따라 구성될 수도 있는 측정 서브시스템을 포함하며, 하나의 실시형태에서, 시료는 웨이퍼를 포함한다. 다른 실시형태에서, 시료는 레티클을 포함한다. 웨이퍼 및 레티클은 기술 분야에서 공지되어 있는 임의의 적절한 웨이퍼 및 레티클을 포함할 수도 있다.
본원에서 추가로 설명되는 실시형태는 자동화된 패턴 충실도 평가 및 모니터링을 위한 영역(또는 ROI) 생성을 위해 구성된다. 본원에서 설명되는 실시형태는 반도체 동작에서의 핫 스팟 모니터링을 위한 계측 및/또는 검사 사이트를 자동적으로 생성하기 위해 사용될 수도 있다.
패턴 충실도 및 오버레이 문제는 디바이스 수율에 대해 점점 더 중요해지고 있다. 전통적으로, 측정 사이트는 수동으로 생성된다. 예를 들면, 핫 스팟 모니터링을 위한 현재 및 이전에 사용된 방법은 수작업에 의해 구동되었다. 하나의 이러한 예에서, 주어진 핫 스팟 위치에 대해, 유저는 계측 목적을 위해 영역의 서브세트를 수동으로 묘화해야 할 것이다. 이 접근법이 한정된 수의 사이트(예를 들면, 수십 개의 사이트)에 대해 작동할 수도 있지만, 핫스팟 내부에 수십 개의 사이트를 갖는 수백 개의 핫스팟 위치가 모니터링되어야 하는 경우에는 그것은 실행 불가능하다. 예를 들면, 모니터링되어야 하는 패턴의 타입 및 사이트의 수는 증가하고 있고, 계측 및 검사 사이트를 셋업하기 위한 수동의 접근법은 불충분하며, 특히 이들 수백 개의 영역을 셋업하는 것은 실질적으로 시간 소모적이고 비효율적이다. 하나의 이러한 예에서, 수십 개의 사이트에 대해 계측 사이트(또는 경계 박스)를 묘화하는 것은 한 시간이 소요될 수도 있다. 그러나, 수백 개의 사이트에 대해 이러한 계측 사이트를 묘화하는 것은 유저 피로를 유발하고 너무 많은 시간이 걸려 계측 레시피를 생성할 수 없다. 따라서, 현존하는 수동 방법에는 한계가 있다. 또한, SEM 이미지의 시각적 리뷰는 종종, 불량 패턴으로부터 양호한 것을 결정하기에 충분하지 않고 객관적인 결정을 내리는 정량화 능력이 또한 부족하다.
실질적으로 많은 양의 사이트를 모니터링할 필요성 및 패턴 충실도 정량화를 위한 SEM 이미지에 대한 필요성의 조합은 본원에서 설명되는 새로운 접근법을 촉구하였다. 또한, 제품 개발 및 모니터링을 위해서는, 측정 메트릭 및 계측 사이트의 실질적으로 정확한 자동화된 배치가 필요로 된다.
시스템은 본원에서 설명되는 컴퓨터 서브시스템 및 컴퓨터 시스템 실시형태 중 임의의 것을 포함할 수도 있는 하나 이상의 컴퓨터 서브시스템을 포함한다. 하나 이상의 컴퓨터 서브시스템은 시료 상에서 검출되는 결함의 영역을 결정하도록 구성된다. 결함은, 광학 검사(예를 들면, 광대역 광학 검사 또는 광 산란 기반의 광학 검사) 또는 전자빔 기반의 검사(예를 들면, SEM을 사용하여 수행됨)를 포함할 수도 있는 검사에 의해 시료 상에서 검출될 수도 있다. 결함은 계측과 같은 시료의 물리적 버전에 대해 수행되는 다른 프로세스에 의해 검출될 수도 있다. 그러나, 결함은 설계에 대해 수행되는 하나 이상의 프로세스에 의해, 예컨대 웨이퍼 상에 설계가 어떻게 형성될지의 시뮬레이션(예를 들면, 리소그래피 시뮬레이션, 에칭 시뮬레이션, 등등)에 의해 검출될 수도 있다. 게다가, 결함은, 결함이 존재할 수도 있다는 것을 유저가 의심하는 또는 결함이 존재한다는 것을 유저가 알고 있는, 시료 상의 유저 정의 위치일 수도 있다.
시료 상에서 검출되는 결함의 영역을 결정하는 것은, 물리적 시료의 검사에 의해 검출되는 결함 또는 시뮬레이션에 의해 식별되는 핫 스팟과 같은 본원에서 설명되는 결함 중 임의의 것일 수도 있는 결함의 중심의 자동화된 식별을 포함할 수도 있다. 그 다음, 결함의 영역은 결함의 식별된 중심 주위에서 결정될 수도 있고 결함의 식별된 중심에 중심을 둘 수도 있다. 이러한 방식에서, 컴퓨터 서브시스템(들)은 고장 사이트 및 그것의 영향을 받는 구역의 자동 영역 정의를 위해 구성될 수도 있다. 결함의 영역은 본원에서 설명되는 바와 같이 추가로 결정될 수도 있다.
하나의 실시형태에서, 결함의 영역은 결함의 이미지에서 결함 주위에 묘화되는 경계 박스에 의해 정의된다. 예를 들면, 경계 박스는 설계 공간에서의 결함 위치에 기초하여 묘화될 수도 있다. 하나의 그러한 예에서, 경계 박스는 결함의 영역(예를 들면, 결함 픽셀)에 기초하여 결정될 수 있다. 게다가, 경계 박스는 설계 규칙 검사(design rule checking; DRC)와 같은 기술을 사용하여 계산될 수 있다.
다른 실시형태에서, 결함의 영역은 결함의 전자 빔 기반의 이미지에서 결함 주위에 묘화되는 자유 형태 영역에 의해 정의된다. 예를 들면, 결함의 영역은 SEM 이미지의 "얼룩(blob)" 또는 영향을 받은 영역일 수도 있다. 유사한 "얼룩(blob)"이 광학 이미지와 같은 다른 타입의 이미지에 기초하여 결정될 수도 있다. 트리 형태의 영역은 본원에서 설명되는 컴퓨터 서브시스템(들)에 의해 그리고 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 자동적으로 묘화될 수도 있다.
하나 이상의 컴퓨터 서브시스템은 또한, 결함의 영역을, 시료에 대한 설계를 위한 정보와 상관시키기 위해 구성된다. 본원에서 설명되는 실시형태에서 사용되는 시료에 대한 설계는 본원에서 설명되는 설계 또는 설계 데이터 중 임의의 것을 포함할 수도 있다. 게다가, 본원에서 설명되는 실시형태에서 사용되는 설계는, 자동 측정 영역 생성에 적합한 임의의 설계 레이아웃 데이터 포맷을 포함할 수도 있다. 이들 포맷은, CDS, OASIS, 텍스트와 같은 개방형 포맷 또는 RDF(KLA-Tencor로부터 이용 가능함)와 같은 독점적 포맷을 포함한다. 적절한 데이터 포맷 또는 변환된 포맷이 본원에서 설명되는 자동 계측/검사 영역 생성기 실시형태로 공급될 수도 있다.
하나의 실시형태에서, 설계를 위한 정보는 설계의 하나보다 많은 층에 대한 정보를 포함한다. 예를 들면, 설계를 위한 정보는, 결함이 검출된 설계의 층 위의 및/또는 아래의 층에 대한 정보를 포함할 수도 있다. 이러한 방식에서, 본원에서 설명되는 단계 또는 기능은 시료에 대한 설계의 하나보다 많은 층에 대해 수행될 수도 있다. 설계의 하나보다 많은 층에 대한 정보는, 다르게는, 본원에서 설명되는 설계 정보 중 임의의 것을 포함할 수도 있다.
다른 실시형태에서, 설계를 위한 정보는 시료 상에 인쇄되지 않을 설계의 피쳐에 대한 정보를 포함하지 않는다. 예를 들면, 설계를 위한 정보는, 시료에 대한 설계 데이터에 포함되지만 그러나 실제로는 시료 상에 인쇄하지 않는 광 근접 보정(OPC) 피쳐와 같은 피쳐를 포함하지 않는 것이 바람직하다. 이러한 방식에서, 설계 정보가 OPC 피쳐 및 시료 상에 인쇄되지 않을 다른 피쳐에 대한 정보를 포함한 경우보다, 설계를 위한 정보는, 설계가 어떻게 시료 상에 인쇄되도록 의도되었는지를 더욱 정확하게 반영할 수도 있다.
몇몇 실시형태에서, 설계를 위한 정보는 설계에서의 패턴화된 피쳐에 대한 정보를 포함하고, 상관화(correlating)는 결함의 영역을 설계에서의 패턴화된 피쳐로 오버레이하는 것을 포함한다. 예를 들면, 컴퓨터 서브시스템(들)은, 본원에서 추가로 설명되는 바와 같이 ROI를 자동적으로 결정하기 위해 그리고 본원에서 추가로 설명되는 바와 같이 ROI에 대한 메트릭을 자동적으로 결정하기 위해, 광학 또는 SEM 기반의 얼룩을 설계를 위한 정보로 오버레이하도록 구성될 수도 있다. 결함의 영역을 시료에 대한 설계를 위한 정보와 상관시키는 것은, 설계를, 측정 서브시스템의 검출기에 의해 생성되는 출력에 몇몇 방식으로 정렬시키는 것에 의해 수행될 수도 있다. 측정 서브시스템의 출력을 설계에 정렬시키기 위해 사용될 수 있는 방법 및 시스템의 예는 Kulkarni 등등에게 2010년 3월 9일자로 발행된 미국 특허 제7,676,077호에서 설명되는데, 이 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 결함의 영역을 설계를 위한 정보와 상관시키는 것은 또한, 설계에서의 결함의 영역의 설계 데이터 공간 좌표를 결정하는 것을 수반할 수도 있다.
하나의 실시형태에서, 설계를 위한 정보는 결함이 아니라 설계에 기초하여 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 설계에서의 추가 ROI에 대한 정보를 포함한다. 이러한 방식에서, 추가 ROI가 설계에만 기초하여 결정되고 결함 정보와 같은 임의의 다른 정보에 의해 "감독(supervised)" 또는 수정되지 않는다는 점에서, 추가 ROI는 "비감독 ROI(unsupervised ROI)"로서 칭해질 수도 있다. 예를 들면, 컴퓨터 서브시스템(들)은, 주어진 위치에 기초하여 ROI를 자동적으로 생성하기 위해 설계 레이아웃 파일을 사용하도록 구성될 수도 있다. 비감독 모드에서, 임계 구역은 설계 노드에 기초하여 결정될 수도 있다. 게다가, 비감독 모드에서 다각형의 그룹의 가장 약한 지점에 대해 추가 ROI가 자동적으로 식별될 수도 있다. ROI 타입은, 스페이스, 라인, 및 인접한 패턴과 관련되는 사이트를 포함할 수도 있지만, 그러나 이들로 제한되는 것은 아니다. 게다가, 컴퓨터 서브시스템(들)에 의해 수행되는 추가 ROI의 결정은 오버레이 계측을 위한 자동화된 사이트 선택을 포함할 수도 있다.
추가 ROI는 본원에서 설명되는 것과 같은 여러 가지 설계 분석 툴 중 하나 이상(또는 이들의 조합)을 사용하여 자동적으로 결정될 수 있다. 본원에서 추가로 설명되는 설계 분석 툴이 이 방식에서는 현재 사용되고 있지는 않지만, 툴 및 데이터 흐름은 본원에서 설명되는 바와 같이 자동화된 계측 사이트 생성을 수행하도록 수정될 수 있다. 따라서, 본원에서 설명되는 실시형태는, 자동화가 미리 시도되지 않는 근본적으로 새로운 접근법을 제공한다. 예를 들면, 본원에서 설명되는 실시형태에 대한 대안으로서, 유저는 주어진 핫 스팟에 대해 주목 구역을 수동으로 묘화하는 것을 허용하는 툴을 제공 받을 수도 있다. 그러나, 이것은 실질적으로 시간 소모적인 프로세스이며 실질적으로 에러가 발생하기 쉽다.
다른 실시형태에서, 설계를 위한 정보는, 결함이 아닌 설계에 기초하여 수행되는 패턴 매칭에 의해 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 설계에서의 추가 ROI에 대한 정보를 포함한다. 예를 들면, 물리적 패턴 매칭은 설계에서의 다각형에 대한 몇몇 정보를 사용하여 설계에서의 다른 다각형에 대한 정보에 매치시키는 것을 포함할 수도 있다. 이러한 매칭은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 그 다음, 추가 ROI에 대한 정보는 임의의 적절한 방식으로 패턴 매칭 결과에 기초하여 결정될 수도 있다.
추가적인 실시형태에서, 설계를 위한 정보는, 결함이 아닌 설계에 기초하여 수행되는 기하학적 형상의 매칭에 의해 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 설계에서의 추가 ROI에 대한 정보를 포함한다. 예를 들면, 기하학적 형상의 매칭은 하나 이상의 규칙을 사용하여 설계의 소정의 기하학적 형상 기반의 특성의 인스턴스를 찾는 것을 포함할 수도 있다. 하나 이상의 규칙은 기술 분야에서 공지되어 있는 임의의 적절한 포맷을 가질 수도 있고 기술 분야에서 공지되어 있는 임의의 방식으로 생성 또는 획득될 수도 있다. 기하학적 형상 기반의 특성은, 특정한 치수, 간격, 주기, 방위, 등등을 갖는 소정의 타입의 기하학적 형상 또는 소정의 형상을 갖는 기하학적 형상과 같은 시료에 대한 설계의 임의의 기하학적 형상 기반의 특성을 포함할 수도 있다. 그 다음, 추가 ROI에 대한 정보는 임의의 적절한 방식으로 기하학적인 매칭에 기초하여 결정될 수도 있다.
몇몇 실시형태에서, 설계를 위한 정보는, 결함이 아니라 설계를 위한 셀 정보에 기초하여 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 설계에서의 추가 ROI에 대한 정보를 포함한다. 추가 ROI는, 셀 내의 다각형 또는 구조체에 관한 몇몇 정보를 사용하여 추가 ROI를 식별하는 것에 의해, 셀 정보에 기초하여 결정될 수도 있다. 셀 정보는 본원에서 설명되는 설계 데이터 중 임의의 것에 포함될 수도 있거나 그 설계 데이터 중 임의의 것으로부터 획득될 수 있다. 그 다음, 추가 ROI에 대한 정보는 임의의 적절한 방식으로 셀 정보에 기초하여 결정될 수도 있다.
다른 실시형태에서, 설계를 위한 정보는, 결함이 아닌 설계의 그래픽 표현의 이미지 프로세싱에 기초하여 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 설계에서의 추가 ROI에 대한 정보를 포함한다. 설계 정보는 임의의 적절한 방식으로 설계의 그래픽 표현으로 변환될 수도 있고, 그래픽 표현은 임의의 적합한 포맷을 가질 수도 있다. 그 다음, 이미지 프로세싱은, 특정한 이미지 특성을 갖는 그래픽 표현의 소정의 부분을 식별하기 위해, 그래픽 표현에 대해 수행될 수도 있다. 그 다음, 추가 ROI에 대한 정보는 임의의 적합한 방식으로 그래픽 표현에 기초하여 결정될 수도 있다.
또한, 컴퓨터 서브시스템(들)은 또한, 패턴 매칭, 기하학적 형상의 매칭, 셀 정보, 및 ROI를 정의하기 위한 이미지 프로세싱과 같은 본원에서 설명되는 기술 중 둘 이상의 조합을 사용하도록 구성될 수도 있다.
하나의 실시형태에서, 설계를 위한 정보는, 결함이 아닌 설계를 위한 핫 스팟 정보에 기초하여 결정되는 설계에서의 추가 ROI에 대한 정보를 포함한다. 핫 스팟 정보는 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 생성 또는 획득될 수도 있다. 핫 스팟 정보를 생성 또는 획득하기 위해 사용될 수 있는 방법 및 시스템의 예는, Kulkarni 등등에게 2010년 3월 9일자로 발행된 미국 특허 제7,676,077호에서 설명되는데, 이 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 그 다음, 추가 ROI에 대한 정보는 임의의 적절한 방식으로 핫 스팟 정보에 기초하여 결정될 수도 있다.
또 다른 실시형태에서, 설계를 위한 정보는, 결함이 아닌 설계에서의 핫 스팟에 대한 정보에 기초하여 결정되는 설계에서의 추가 ROI에 대한 정보를 포함하고, 추가 ROI 중 하나보다 많은 ROI가 핫 스팟 중 하나에 대해서만 결정된다. 도 18은 하나보다 많은 추가 ROI를 포함하는 핫 스팟의 하나의 실시형태를 예시한다. 예를 들면, 도 18에서 도시되는 바와 같이, 핫 스팟(1800)은 두 개의 넓은 피쳐(1804) 사이에 상대적으로 좁은 스페이스(1802)를 포함할 수도 있다. 따라서, 스페이스(1802)는 CD의 임계 스페이스일 수도 있다. 핫 스팟(1800)은 또한, 핫 스팟 내의 다른 피쳐로부터 이격되는 상대적으로 좁은 라인(1806)을 포함한다. 이와 같이, 라인(1806)은 CD의 임계 라인일 수도 있다. 따라서, 이 핫 스팟은 적어도 세 개의 상이한 추가 ROI를 포함할 수도 있다. 하나의 추가 ROI(1808)가 단지 스페이스(1802)에 대해 생성될 수도 있다. 다른 추가 ROI(1810)는 단지 라인(1806)에 대해 생성될 수도 있다. 추가 ROI는 본원에서 설명되는 실시형태 중 임의의 것에 따라 결정될 수도 있다.
본원에서 추가로 설명되는 바와 같이, 몇몇 경우에, 컴퓨터 서브시스템(들)은 추가 ROI를 자동적으로 결정하도록 구성될 수도 있다. 따라서, 본원에서 설명되는 실시형태의 하나의 이점은, 핫 스팟의 서브 영역(또는 설계에서의 약한 스팟으로 종종 칭해짐)이 계측 모니터링 목적을 위해 자동적으로 식별될 수 있다는 것이다. 다시 말하면, 본원에서 설명되는 실시형태는 설계에서의 잠재적으로 약한 또는 가장 약한 사이트의 비감독 모니터링(unsupervised monitoring)을 위해 구성될 수도 있다. 모니터링될 필요가 있을 수도 있는 주어진 핫 스팟에 대해 수십 개의 영역이 있을 수도 있으며, 본원에서 설명되는 컴퓨터 서브시스템(들)은 이들 영역을 식별하기 위한 자동화된 알고리즘 기반의 접근법을 수행하도록 구성될 수도 있다.
상기 하나 이상의 컴퓨터 서브시스템은 또한, 상관의 결과에 기초하여 결함의 영역과 설계를 위한 정보 사이의 공간적 관계를 결정하도록 구성된다. 몇몇 실시형태에서, 설계를 위한 정보는 결함이 아니라 설계에 기초하여 결정되는 추가 ROI에 대한 정보를 포함하고, 공간적 관계를 결정하는 것은 추가 ROI 중 어떤 것이 결함의 영역과 공간적으로 중첩하는지를 결정하는 것을 포함한다. 예를 들면, 일단 결함의 영역이 설계 정보와 상관되면, 설계 정보의 어떤 엘리먼트 또는 어떤 추가 ROI(들)가 결함의 영역과 (적어도 부분적으로) 중첩하는지, 설계 정보의 어떤 엘리먼트 또는 어떤 추가 ROI(들)가 결함의 영역에 가장 가까운지, 설계의 하나 이상의 엘리먼트와 결함의 영역 사이의 하나 이상의 거리, 추가 ROI 중 하나 이상과 결함의 영역 사이의 하나 이상의 거리, 등등과 같은 공간적 관계가 결정될 수도 있다. 공간적 관계는 임의의 적합한 포맷으로, 예를 들면, 설계 엘리먼트 중 어떤 것 또는 어떤 추가 ROI(들)가 결함의 영역과 (적어도 부분적으로) 중첩하는지 또는 결함의 영역에 가장 가까운지를 나타내는 하나 이상의 식별자, 결함의 영역과 설계 정보의 하나 이상의 피쳐 사이의 하나 이상의 치수, 또는 하나 이상의 추가 ROI, 등등으로서 표현될 수도 있다.
또한, 하나 이상의 컴퓨터 서브시스템은 공간적 관계에 기초하여 측정 서브시스템을 사용하여 시료에 대해 수행되는 프로세스 동안 측정될 ROI를 자동적으로 생성하도록 구성된다. 이러한 방식에서, 컴퓨터 서브시스템(들)에 의해 수행되는 단계에 대한 입력 데이터는 설계 레이아웃, 결함 위치(예를 들면, 고장난 패턴 위치), 핫 스팟, 알려진 및 알려지지 않은 위치, 및 설계 정보에 대해 수행되는 프로세스(예를 들면, 이미지 기반의 알고리즘)일 수도 있고, 그 다음, 측정의 영역 및 주목 영역은 자동적으로 식별 및 생성될 수도 있다. 이와 같이, 컴퓨터 서브시스템(들)은 결함, 고장난 패턴 사이트, 또는 규정된 위치에 기초하여 ROI가 자동적으로 결정되는 감독 모드를 수행하도록 구성될 수도 있다. 따라서, 본원에서 설명되는 ROI는 "감독된" ROI로 칭해질 수도 있다. 이러한 방식에서, 본원에서 설명되는 실시형태는 검사 유도 계측(또는 본원에서 설명되는 다른 프로세스)에 대한 ROI를 결정하도록, 그리고 옵션적으로 검사 유도 계측(또는 본원에서 설명되는 다른 프로세스)을 수행하도록 구성될 수도 있다. 감독된 ROI 타입은, 스페이스, 라인, 및 인접한 패턴과 관련되는 사이트를 포함하지만, 그러나 이들로 제한되는 것은 아니다. 따라서, 본원에서 설명되는 실시형태의 출력은, 측정 및/또는 검사될 필요가 있는 ROI 또는 모니터 영역을 포함할 수도 있다. 그 다음, 이들 출력은 본원에서 설명되는 동작 중 하나(예를 들면, 계측, 검사, 또는 리뷰 동작)를 실행하도록 변형될 수도 있다. ROI를 생성하는 단계의 결과는, 시료 또는 다른 시료 상의 ROI를 식별하기 위해 사용될 수 있는 임의의 정보(예를 들면, ROI 이름, 번호 또는 다른 식별자, ROI 설계 또는 시료 좌표, 등등)를 포함할 수도 있다.
하나의 실시형태에서, ROI를 자동적으로 생성하는 것은, 결함의 영역과 공간적으로 중첩하는 추가 ROI 중 하나를 결함에 대해 측정될 ROI로서 선택하는 것을 포함한다. 도 15는 이렇게 ROI를 자동적으로 생성하는 하나의 실시형태를 예시한다. 예를 들면, 도 15에서 도시되는 바와 같이, 설계 클립(1500)과 같은 설계를 위한 정보가 컴퓨터 서브시스템(들)에 입력될 수도 있다. 도 15에서 도시되는 바와 같이, 설계 클립은 설계의 피쳐를 나타내는 다수의 상이한 다각형을 포함할 수도 있다. 설계 클립(1500)에서 도시되는 음영 처리된 다각형은 시료 상에 형성되는 피쳐를 나타내고, 한편 음영 처리되지 않은 영역은 피쳐 사이의 스페이스를 나타낸다.
컴퓨터 서브시스템(들)은 알려진 패턴 충실도 메트릭에 기초하여 추가 ROI를 미리 정의하기 위해 설계 클립 내의 정보(그리고 어쩌면 설계 클립 자체)를 사용할 수도 있다. 다시 말하면, 임의의 주어진 프로세스에서 수행될 수도 있는 측정의 타입은 이러한 측정을 위한 잠재적인 후보에 대한 설계 정보를 검색하는 데 사용될 수도 있다. 예를 들면, 설계 클립 정보에 기초하여, 라인 단부 풀백, 폭, 스페이스, 모서리, 등등에 기초한 ROI가 컴퓨터 서브시스템(들)에 의해 미리 정의될 수도 있다. 하나의 이러한 예에서, 측정의 타입이 폭 측정을 포함하면, 설계 정보는 소정의 값 미만의 폭을 가지도록 설계되는 피쳐에 대해 검색될 수도 있는데, 그 소정의 값은 피쳐를, 더 넓은 피쳐보다, 결함, 예를 들면, 개방(open)에 대해 더욱 취약하게 만든다. 도 15에서 도시되는 실시형태에서, 다수의 상이한 ROI가 이러한 메트릭에 기초하여 결정될 수도 있다. 이들 ROI는, 예를 들면, 라인 단부 풀백 ROI(1502), 폭 ROI(1504), 스페이스 ROI(1506), 및 모서리 ROI(1508)를 포함할 수도 있다. ROI가 ROI 결정을 감독하는 다른 정보 없이 설계에 기초하여 결정될 수도 있기 때문에, ROI는 감독되지 않을 수도 있다.
하나의 실시형태에서, 결함의 영역은 결함(예를 들면, 본원에서 추가로 설명되는 바와 같은 SEM 얼룩)의 전자 빔 기반의 이미지에서 결함 주위에 묘화되는 자유 형태 영역에 의해 정의되고, 설계를 위한 정보는 결함이 아니라 설계에 기초하여 결정되는 설계에서의 추가 ROI에 대한 정보(이것은 본원에서 설명되는 이러한 정보 중 임의의 것을 포함할 수도 있다)를 포함하고, 공간적 관계를 결정하는 것은, 추가 ROI 중 어떤 것이 결함의 영역과 중첩하는지 또는 결함의 영역에 가장 가까운지를 결정하는 것을 포함하고(이것은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다), ROI를 자동적으로 생성하는 것은, 추가 ROI 중, 결함의 영역과 공간적으로 중첩하는 또는 결함의 영역에 가장 가까운 하나를, 결함에 대한 측정될 ROI로서, 선택하는 것 또는 우선시하는 것을 포함한다. 이러한 방식에서, 잠재적인 계측 사이트는 SEM 위치(얼룩)에 기초하여 재선택될 수도 있거나 또는 우선될 수도 있고, 그 결과 가장 가능성이 있는 결함 사이트가 측정된다. 예를 들면, ROI에 관한 정보는 SEM 얼룩 또는 시료 검사 또는 시뮬레이션으로부터의 결함 영역과 같은 본원에서 설명되는 결함의 영역 중 하나와 결합될 수도 있다. 하나의 이러한 예에서, 도 15에서 도시되는 바와 같이, SEM 얼룩(1510)은 상기에서 설명되는 ROI, 예를 들면, ROI(1502, 1504, 1506 및 1508)에 대한 정보와 중첩될 수도 있다. 도 15에서 더 도시되는 바와 같이, SEM 얼룩이 중첩되는 설계를 위한 정보는 설계 클립에 대한 패턴화된 피쳐에 대한 정보가 아닌 ROI에 대한 정보를 포함할 수도 있다. 그러나, SEM 얼룩이 중첩되는 설계를 위한 정보는 설계 클립(1500)에서 도시되는 것과 같은 패턴화된 피쳐에 대한 정보를 또한 포함할 수도 있다. 그 다음, ROI(즉, 계측, 검사, 등등)에 대해 수행되는 프로세스에서 SEM 얼룩에 대응하는 결함에 대해 사용될 ROI로서, SEM 얼룩과 공간적으로 중첩하는 또는 SEM 얼룩에 가장 가까운 ROI가 선택될 수도 있거나 또는 우선될 수도 있다. 예를 들면, 도 15에서 도시되는 바와 같이, SEM 얼룩(1510)이 폭 ROI(1504) 중 하나와 중첩하기 때문에, 그 ROI는 SEM 얼룩에 대응하는 결함에 대해 수행될 측정을 위해 선택될 수도 있거나 또는 그 측정으로서 우선될 수도 있다. 따라서, ROI(1504a)만을 대상으로 하는 정보가, 본원에서 설명되는 프로세스 중 하나와 같은 프로세스에서의 사용을 위해 ROI 정보(1512)로서 내보내기될(exported) 수도 있다. 그러나, 감독되지 않은 ROI에 대해 프로세스가 수행될 경우, 프로세스에서의 사용을 위해 모든 ROI에 대한 정보도 또한 내보내기될 수도 있다.
다른 실시형태에서, ROI를 자동적으로 생성하는 것은, 결함의 영역과 설계를 위한 정보 사이의 공간적 관계에 기초하여 결함의 영역을 수정하는 것 및 결함의 수정된 영역을 ROI로서 지정하는 것을 포함한다. 예를 들면, 컴퓨터 서브시스템(들)은 규정된 위치와 설계 레이아웃 사이의 상호 작용을 활용하는 것에 의해 ROI를 자체 사이즈 조정하도록(보정하도록) 구성될 수도 있다. 하나의 이러한 예에서, 측정 사이트는 설계 레이아웃을 오버레이하는 것에 의해 자체 보정될(self-corrected) 수 있다. 예를 들면, 컴퓨터 서브시스템(들)은, 잠재적인 결함 지점을 설계 레이아웃에서의 라인 또는 스페이스로 오버레이하는 것에 의해, ROI를 자체 사이즈 조정하도록 구성될 수도 있다. 결함 또는 규정된 사이트에 기초하여, 계측 영역은 설계에 기초하여 자동적으로 보정될 수 있다.
하나의 실시형태에서, 설계를 위한 정보는 설계에서의 패턴화된 피쳐에 대한 정보를 포함하고, ROI를 자동 생성하는 것은 결함의 영역 및 결함의 영역에 인접하는 패턴화된 피쳐에 기초하여 ROI의 영역을 결정하는 것을 포함한다. 결함의 영역에 인접하는 패턴화된 피쳐는, 결함의 영역과 (부분적으로 또는 완전히) 중첩하는, 결함의 영역으로부터 이격되지만 그 결함의 영역에 인접하는, 결함의 영역에 가장 가까운 패턴화된 피쳐인, 결함의 영역을 둘러싸는, 등등인 패턴화된 피쳐를 포함할 수도 있다. 예를 들면, 본원에서 설명되는 실시형태는, 결함 위치 및 그 주위의 바로 인접한 다각형에 기초하여 계측 사이트의 배치를 자동적으로 결정할 수 있을 뿐만 아니라, 계측 영역의 사이즈를 조정할 수 있다. 기본적으로, 검사는 패턴 변동 또는 다른 결함을 식별하기 위해 사용되고, SEM 또는 기타 측정 서브시스템 출력은 결함의 정확한 위치를 향상시키기 위해 사용되고, 계측 영역은, 다각형 자체의 라인, 스페이스, 등등의 정확한 치수에 기초하여 계측 사이트가 최적화되는 설계를 고려하는 것에 의해 향상된다. 이렇게 ROI의 영역을 결정하는 것은, 본원에서 설명되는 바와 같이 추가로 수행될 수도 있다.
다른 실시형태에서, 설계를 위한 정보는 설계에서의 패턴화된 피쳐에 대한 정보(이것은 본원에서 설명되는 이러한 정보 중 임의의 것을 포함할 수도 있음)를 포함하고, ROI를 자동적으로 생성하는 것은, 결함 부근의 패턴화된 피쳐 중 하나 이상 또는 결함의 영역의 최소치에 기초하여 ROI의 영역을 결정하는 것을 포함한다. 예를 들면, 본원에서 설명되는 실시형태는, 계측 사이트를 결함 부근에서의 스페이스 또는 다각형 또는 결함의 최소치로 사이즈 조정하도록 구성될 수도 있다. 계측 사이트의 이러한 사이즈 조정은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다.
도 16은 ROI 사이즈를 자체 보정하는 하나의 실시형태를 도시한다. 예를 들면, 본원에서 설명되는 것과 같은 시료의 검사는 위치(1600)에서 브리징 결함을 검출할 수도 있다. 그 다음, 영역(1602)이 결함에 대해 결정될 수도 있다. 이 경우, 영역은 설계 공간에서의 결함 위치에 기초하여 결정되는 경계 박스에 의해 정의될 수도 있다. 경계 박스는 본원에서 설명되는 바와 같이 결정될 수 있다. 그 다음, 경계 박스는 설계를 위한 정보와 중첩될 수 있다. 예를 들면, 도 16에서 도시되는 바와 같이, 경계 박스는 설계 클립(1604)과 중첩될 수 있다. 이 설계 클립에서, 음영 처리되지 않은 영역은 설계 클립에 포함되는 패턴화된 피쳐에 대응하고, 한편 음영 처리된 영역은 패턴화된 피쳐 사이의 스페이스에 대응한다. 그 다음, 패턴화된 피쳐 사이의, 결함의 영역이 중첩하는 스페이스의 폭에 적합하도록 결함의 영역의 사이즈를 자체 보정하기 위해 불 연산이 수행될 수도 있다. 예를 들면, 도 16에서 도시되는 바와 같이, 영역(1602)의 사이즈는, 영역이 그 위의 그리고 그 아래의 패턴화된 피쳐 사이의 스페이스를 넘어서 연장하지 않도록 영역의 사이즈를 감소시키는 것에 의해, ROI(1606)의 사이즈로 자체 보정될 수도 있다. 이러한 방식에서, 설계 정보를 "커터(cutter)"로서 사용하는 것에 의해, 결함의 영역은 측정의 영역을 정확하게 정의하도록 자체 보정될 수도 있는데, 그것은, 그 다음, 본원에서 설명되는 프로세스에서 결함에 대한 ROI로서 사용될 수 있다.
도 17은 ROI 사이즈를 자체 보정하는 하나의 실시형태를 예시한다. 예를 들면, 본원에서 설명되는 것과 같은 시료의 검사는, 위치(1700)에서 개방 결함을 그리고 위치(1702)에서 라인 단부 부족 결함(line end short defect)을 검출할 수도 있다. 그 다음, 위치(1700)에서의 결함에 대해 영역(1704)이 결정될 수도 있고, 위치(1702)에서의 결함에 대해 영역(706)이 결정될 수도 있다. 이 예에서, 영역은 설계 공간에서의 결함 위치에 기초하여 결정되는 경계 박스에 의해 각각의 결함 위치에 대해 개별적으로 정의될 수도 있다. 경계 박스는 본원에서 설명되는 바와 같이 결정될 수 있다. 그 다음, 경계 박스는 설계를 위한 정보와 중첩될 수 있다. 예를 들면, 도 17에서 도시되는 바와 같이, 경계 박스는 설계 클립(1710)과 중첩될 수 있다. 이 설계 클립에서, 음영 처리된 영역은 설계 클립에 포함되는 패턴화된 피쳐에 대응하고, 한편 음영 처리되지 않은 영역은 패턴화된 피쳐 사이의 스페이스에 대응한다. 그 다음, 결함의 영역이 공간적으로 중첩하는 패턴화된 피쳐의 폭에 적합하도록 결함의 영역의 사이즈를 자체 보정하기 위해, 불 연산이 수행될 수도 있다. 예를 들면, 도 17에서 도시되는 바와 같이, 영역(1704)의 사이즈는, 영역이 공간적으로 중첩하는 패턴화된 피쳐를 넘어서 연장하지 않도록 영역의 사이즈를 감소시키는 것에 의해, ROI(1712)의 사이즈로 자체 보정될 수도 있다. 또한, 도 17에서 도시되는 바와 같이, 영역(1706)의 사이즈는, 영역이 공간적으로 중첩하는 패턴화된 피쳐를 넘어서 연장하지 않도록 영역의 사이즈를 감소시키는 것에 의해, ROI(1714)의 사이즈로 자체 보정될 수도 있다. 이러한 방식에서, 설계 정보를 "커터(cutter)"로서 사용하는 것에 의해, 결함의 영역은 측정의 영역을 정확하게 정의하도록 자체 보정될 수도 있는데, 그것은, 그 다음, 본원에서 설명되는 프로세스에서 결함에 대한 ROI로서 사용될 수 있다.
몇몇 실시형태에서, 설계를 위한 정보는, 결함이 아니라 설계에 기초하여 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 설계에서의 추가 ROI에 대한 정보를 포함하고, 추가 구역 중 하나 이상이 프로세스 동안 측정된다. 예를 들면, 본원에서 추가로 설명되는 바와 같이, 컴퓨터 서브시스템(들)은, 추가 ROI가 설계 정보에만 기초하여 결정되는 감독되지 않은 ROI 생성을 위해 구성될 수도 있다. 또한, 컴퓨터 서브시스템(들)은, 결함 정보와 조합한 설계 정보에 기초하여 ROI(들)가 결정되는 감독된 ROI 생성을 위해 구성된다. 이러한 방식에서, 공지된 결함의 영역(들)(감독됨) 및 모든 잠재적인 약한 사이트(감독되지 않음)에 대한 위치 양자가 자동적으로 식별될 수 있다. 양 타입의 ROI는 시료에 대해 수행되는 동일한 프로세스에서 측정될 수 있다. 몇몇 이러한 경우에, ROI(들) 및 추가 ROI에 대한 정보는, 임의의 주어진 ROI가 감독된 ROI인지 또는 감독되지 않은 ROI인지의 여부를 결정하는 데 사용될 수 있는 몇몇 표시(예를 들면, 태그, ID, 등등)를 포함할 수도 있다.
ROI(들) 및 추가 ROI는 ROI 자체에 따라 임의의 적절한 방식으로 측정될 수도 있다. 예를 들면, 컴퓨터 서브시스템(들)은 ROI(들)뿐만 아니라 추가 ROI에서 독립적으로 그리고 개별적으로 수행될 측정의 하나 이상의 파라미터를 결정하도록 구성될 수도 있다. 하나의 이러한 예에서, ROI(들)에 대한 측정의 파라미터(들)는, ROI(들)에 근접한 설계의 일부분(들)에 대한 정보에 기초하여, 어쩌면 결함에 대한 정보와 조합하여, 결정될 수도 있고, 한편 추가 ROI에 대한 측정의 파라미터(들)는 추가 ROI에 근접한 설계의 일부분에 대한 정보에만 기초하여 결정될 수도 있다. 이러한 방식에서, ROI(들)에 대해 사용되는 측정의 파라미터(들)는 추가 ROI에 대해 사용되는 측정의 파라미터(들)와는 상이할 수도 있다.
다른 실시형태에서, 하나 이상의 컴퓨터 서브시스템은, 측정 서브시스템을 사용하여 프로세스 동안 ROI에서 수행되는 하나 이상의 측정의 하나 이상의 파라미터를 자동적으로 결정하도록 구성된다. 예를 들면, 컴퓨터 서브시스템(들)은 측정 타입(또는 측정하는 방법)을 식별하기 위해 설계 레이아웃 및 레이어 인식 영역을 사용할 수도 있다. 게다가, 컴퓨터 서브시스템(들)은 메트릭 또는 각각의 ROI를 측정하는 방법을 자동적으로 셋업하도록 구성될 수도 있다. 컴퓨터 서브시스템(들)은, 모든 선택된 ROI에 대한 측정을 자동적으로 실행하기 위해 메트릭을 각각의 ROI에 할당하도록 또한 구성될 수도 있다. 하나 이상의 파라미터는 측정의 방향, 측정 타입, 등등과 같은 측정(들)의 임의의 파라미터를 포함할 수도 있다. 파라미터(들)가 하나보다 많은 ROI에 대해 결정되는 경우, ROI는 그들의 측정 타입(또는 측정 방법)에 기초하여 자동적으로 그룹화될 수 있고 각각의 타입에 대해 인덱스(예를 들면, ID)가 생성될 수도 있다. 예를 들면, 동일한 측정 타입을 사용하여 두 개의 ROI가 측정되어야 하는 경우, 이들 두 ROI는 함께 그리고 다른 ROI와는 별개로 그룹화될 수도 있는데, 다른 ROI는 ROI의 상이한 세트로 그룹화될 수도 있다. 이러한 방식에서, 본원에서 설명되는 실시형태는 ROI를 식별하기 위해 (예를 들면, 설계, 광학 이미지, SEM 이미지, 패턴 매칭, 기하학적 형상 검색, 셀 정보, 등등을) 검색하기 위한 기술 및 메트릭의 자동화된 생성을 위해 ROI 타입에 ROI를 할당하기 위한 기술의 조합을 사용하도록 구성될 수도 있다.
하나의 실시형태에서, 프로세스는 시료에 대해 수행되는 제조 프로세스의 인라인 모니터링 동안 시료에 대해 수행된다. 또한, 시스템은 본원에서 설명되는 ROI에 기초하여 프로세스를 수행하도록 구성될 수도 있다. 이러한 방식에서, 본원에서 설명되는 실시형태는, ROI를 식별하기 위한 그리고 ROI에 기초하여 본원에서 추가로 설명되는 프로세스 중 하나와 같은 프로세스를 수행하기 위한 자동화된 프로세스를 수행하도록 구성될 수도 있다. 이와 같이, 본원에서 설명되는 실시형태는 완전 자동화된 셋업(예를 들면, 모니터할 영역을 식별하는 것, 등등)을 위해 그리고 패턴 충실도 및 변동의 정량화를 위해 구성될 수도 있다. 따라서, 본원에서 설명되는 실시형태는 반도체 프로세싱 환경에서 패턴 충실도를 모니터링함에 있어서 전체 흐름의 핵심 부분을 가능하게 한다. 데이터는 또한, 하나 이상의 알고리즘 및 툴 플랫폼을 사용하여 각각의 단계에서 튜닝될 수 있다.
다른 실시형태에서, 프로세스는 계측 프로세스를 포함하고, 시스템은 계측 툴로서 구성된다. 이러한 방식에서, 본원에서 설명되는 바와 같이 식별되는 ROI(들)는, 자동적으로 식별되는 계측 사이트를 포함할 수도 있다. 계측 프로세스 및 계측 툴은 본원에서 설명되는 바와 같이 추가로 구성될 수도 있다.
추가적인 실시형태에서, 프로세스는 검사 프로세스를 포함하고, 시스템은 검사 툴로서 구성된다. 이러한 방식에서, 본원에서 설명되는 바와 같이 식별되는 ROI(들)는 자동적으로 식별되는 검사 사이트를 포함할 수도 있다. 검사 프로세스 및 검사 툴은 본원에서 설명되는 바와 같이 추가로 구성될 수도 있다. 예를 들면, 도 1 및 도 2에서 도시되는 시스템은, 시스템의 하나 이상의 파라미터를 수정하는 것에 의해 계측보다는 검사를 위해 구성될 수도 있다. 특히, 도 1 및 도 2에서 도시되는 시스템은 시료에 걸쳐 에너지를 스캐닝하도록, 계측을 위해 사용될 해상도보다 낮은 해상도에서 및/또는 계측을 위해 사용될 속도보다 더 높은 속도에서 검출된 에너지에 응답하는 출력을 생성하도록 구성될 수도 있다. 도 1 및 도 2에 도시되는 시스템의 해상도, 속도, 등등과 같은 파라미터를 수정하는 것은, 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있다. 이러한 방식에서, 도 1 및 도 2에 도시되는 시스템은 상대적으로 짧은 시간의 기간 내에 시료의 상당 부분에 대한 출력을 생성하도록 구성될 수도 있는데, 그 출력은, 그 다음, 임의의 적절한 방식으로(예를 들면, 기술 분야에서 공지되어 있는 임의의 적합한 결함 검출 알고리즘을 포함할 수도 있는 하나 이상의 결함 검출 알고리즘을 출력에 적용시키는 것에 의해) 시료 상의 결함을 검출하도록 하나 이상의 컴퓨터 서브시스템에 의해 사용될 수 있다. 검사 프로세스 및 검사 툴은 시료의 광 기반의 검사, 시료의 전자 빔 기반의 검사, 또는 시스템의 다른 하전 입자 기반의 검사를 위해 구성될 수도 있다. 특히, 본원에서 추가로 설명되는 바와 같이, 도 1 및 도 2에서 도시되는 시스템은 광, 전자, 또는 다른 하전된 입자를 사용하여 시료에 대한 출력을 생성하도록 구성될 수도 있다.
또 다른 실시형태에서, 프로세스는 결함 리뷰 프로세스를 포함하고, 시스템은 결함 리뷰 툴로서 구성된다. 프로세스는 또한 기술 분야에서 공지되어 있는 임의의 다른 시료 분석 프로세스를 포함할 수도 있다. 결함 리뷰 또는 기타 분석 프로세스 및 툴은 본원에서 설명되는 바와 같이 추가 구성될 수도 있다. 예를 들면, 도 1 및 도 2에 도시되는 시스템은 시스템의 하나 이상의 파라미터를 수정하는 것에 의해 계측 이외의 다른 분석 또는 결함 리뷰를 위해 구성될 수도 있는데, 검사를 위해 도 1 및 도 2에서 도시되는 시스템을 수정하는 대신, 시스템을 결함 리뷰 또는 다른 분석에 적합하게 만들기 위해 수정이 수행될 수도 있다는 점을 제외하면, 시스템의 하나 이상의 파라미터를 수정하는 것은 상기에서 더 설명된 바와 같이 수행될 수도 있다. 결함 리뷰 또는 다른 분석 프로세스 및 툴은, 시료의 광 기반의 결함 리뷰 또는 다른 분석, 시료의 전자 빔 기반의 결함 리뷰 또는 다른 분석, 또는 시료의 하전 입자 기반의 결함 리뷰 또는 다른 분석을 위해 구성될 수도 있다. 특히, 본원에서 추가로 설명되는 바와 같이, 도 1 및 도 2에서 도시되는 시스템은 광, 전자, 또는 다른 하전된 입자를 사용하여 시료에 대한 출력을 생성하도록 구성될 수도 있다.
다른 실시형태는 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법에 관한 것이다. 그 방법은, 상기에서 설명되는 영역을 결정하는 단계, 영역을 상관시키는 단계, 공간적 관계를 결정하는 단계, 및 ROI를 자동적으로 생성하는 단계를 포함한다.
방법의 단계의 각각은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 방법은 또한, 본원에서 설명되는 측정 서브시스템 및/또는 컴퓨터 서브시스템(들) 또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 포함할 수도 있다. 영역을 결정하는 단계, 영역을 상관시키는 단계, 공간적 관계를 결정하는 단계, 및 ROI를 자동적으로 생성하는 단계는, 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있는 하나 이상의 컴퓨터 시스템에 의해 수행될 수도 있다. 비록 하나 이상의 컴퓨터 서브시스템이, 측정 서브시스템을 포함하는 시스템의 일부인 것으로 본원에서 설명되지만, 하나 이상의 컴퓨터 서브시스템은, 계측, 검사, 리뷰의 일부가 아닌 독립형 컴퓨터 서브시스템(들)으로서, 또는 시료의 물리적 버전을 핸들링하기 위한 그리고 시료의 물리적 버전에 대한 측정을 수행하기 위한 능력을 포함하는 다른 시스템으로서 또한 구성될 수도 있다. 게다가, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 실시형태 중 임의의 것에 의해 수행될 수도 있다.
추가적인 실시형태는, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독가능 매체에 관한 것이다. 이 실시형태는 본원에서 추가로 설명되는 바와 같이 그리고 도 14에 도시되는 바와 같이 구성될 수도 있다. 컴퓨터 구현 방법은, 본원에서 설명되는 임의의 방법(들)의 임의의 단계(들)를 포함할 수도 있다.
몇몇 실시형태에서, 검출기에 의해 생성되는 출력은 시료의 이미지를 포함하고, 시료 상의 상이한 영역에 대해 검출기에 의해 상이한 이미지가 생성되고, 시료 상의 다수의 패턴화된 피쳐는 상이한 이미지에서 이미지화된다. 검출기는 본원에서 추가로 설명되는 바와 같이 시료의 상이한 영역에 대한 이미지를 생성할 수도 있다. 다수의 패턴화된 피쳐는 레티클 및 웨이퍼와 같은 시료 상에 형성되도록 설계되는 임의의 패턴화된 피쳐를 포함할 수도 있다. 예를 들면, 본원에서 추가로 설명되는 바와 같이, 다수의 패턴화된 피쳐는 콘택트 또는 라인/스페이스 쌍을 포함할 수도 있다.
하나의 이러한 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 상이한 이미지에서 다수의 패턴화된 피쳐를 자동적으로 위치 결정하도록, 상이한 이미지 내의 위치 결정된 다수의 패턴화된 피쳐의 하나 이상의 특성을 결정하도록, 그리고 상이한 이미지 내의 위치 결정된 다수의 패턴화된 피쳐에 대해 결정되는 하나 이상의 특성의 하나 이상의 통계치를 결정하도록 구성된다. 예를 들면, 본원에서 설명되는 실시형태는, SEM 리뷰 이미지를 판독하고 이들 이미지에서의 패턴화된 피쳐의 결정된 CD 또는 다른 특성의 통계치 및 측정치를 출력하는 알고리즘을 이미지 프로세싱 툴 상에서 사용하여 수행될 수도 있다. 이미지는, 라인-스페이스 패턴 또는 콘택트의 어레이와 같은 정량화될 결함 타입 및/또는 패턴 타입에 따라 여러 가지 방법 중 임의의 것을 사용하여 프로세싱될 수도 있다. 비록 몇몇 실시형태가 CD와 관련하여 본원에서 설명되지만, CD는 패턴화된 피쳐의 임의의 다른 적절한 특성으로 대체될 수도 있고, 실시형태는 본원에서 설명되는 것과 동일한 방식으로 기능할 수 있다는 것이 이해되어야 한다.
상이한 이미지에서 다수의 패턴화된 피쳐를 자동적으로 위치 결정하는 것은, (이미지 내의 패턴화된 피쳐를 참조물 내의 패턴화된 피쳐에 정렬시키는 것에 의해) 본원에서 추가로 설명되는 바와 같이 또는 임의의 다른 방식으로 수행될 수 있다. 상이한 이미지 내의 위치 결정된 다수의 패턴화된 피쳐의 하나 이상의 특성은, 본원에서 추가로 설명되는 바와 같이 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 방식으로 결정될 수도 있다. 하나 이상의 특성의 하나 이상의 통계치를 결정하는 것은 본원에서 추가로 설명되는 바와 같이 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 방식으로 수행될 수도 있다. 하나 이상의 통계치는 평균값(mean) 또는 임의의 다른 적절한 통계치 예컨대 중앙값, 표준 편차, 평균값(average), 및 등등과 같은 본원에서 설명되는 통계치 중 임의의 것을 포함할 수도 있다.
하나의 이러한 예에서, 이미지는 순차적으로 판독될 수도 있다. 이미지 프로세싱 알고리즘은 주목하는 임의의 피쳐를 자동적으로 위치 결정할 수도 있다. 예를 들면, 이미지 내의 모든 콘택트의 위치 또는 모든 라인 스페이스 쌍의 위치는 컴퓨터 서브시스템(들)에 의해 자동적으로 위치될 수도 있다. 그 다음, 알고리즘은 모든 피쳐의 CD를 측정할 수도 있고 모든 이미지에 대한 결과를 디스플레이할 수도 있다. 모든 이미지의 정량화의 종료시, CD 측정에 대한 자세한 통계치가 유저에 의한 추가 분석을 위해 저장될 수도 있다. 콘택트 어레이의 예에서, 측정될 양은, 이미지 내의 모든 콘택트의 X 및 Y에서의 직경뿐만 아니라 모든 콘택트 사이의 거리(즉, 셀 사이즈)일 수도 있다. 그 다음, 컴퓨터 서브시스템(들)은 이미지 내의 콘택트 중 하나를 통해 이미지 세기 프로파일을 생성할 수도 있으며, 이로부터 콘택트 홀의 직경이 측정될 수 있다. 예를 들면, 하나의 콘택트 홀에 대한 이미지에서의 그레이 레벨은 콘택트 홀의 하나의 직경을 가로지르는 위치의 함수로서 플롯될 수 있다. 그 다음, 프로파일의 반치전폭(full width half maximum; FWHM)이 측정되어 콘택트 홀의 직경으로 결정될 수 있다.
본원에서 설명되는 실시형태는 실질적으로 유연하며 새로운 패턴 타입 또는 제어량이 소망될 때 새로운 알고리즘이 쉽게 추가될 수 있다. 임의의 관련 측정 패턴 속성과 함께 이미지가 유저에게 디스플레이된다. 그 다음, 이들 측정치 및 속성은 나중의 사용을 위해 저장될 수도 있다. 이미지는 새로운 결함 속성에 따라 정렬될 수 있고 결과적으로 나타나는 통계적 분포는 초기 광학 검사의 결과 파일에 통합될 수 있다.
몇몇 실시형태에서, 상이한 영역은, 시료 상에서 검출되고 결함 리뷰를 위해 선택되는 결함이 위치되는 시료 상의 영역을 포함하고, 상이한 이미지는, 결함에 대해 수행되는 결함 리뷰 프로세스 동안 측정 서브시스템에 의해 생성된다. 예를 들면, 웨이퍼 검사 동안, 결함이 시료 상에서 검출될 수도 있다. 그 다음, 결함의 샘플이 결함 리뷰를 위해 선택된다. 결함 리뷰는, 결함이 위치되는 시료 상의 영역에서만 시료의 이미지를 획득하는 것을 수반한다. 따라서, 결함 리뷰에서, 샘플에 포함되는 각각의 결함에 대해, 한 번에 하나의 위치씩, 시료 상의 별개의 위치에서 일련의 이미지가 획득될 수도 있다. 본원에서 설명되는 실시형태는, 상이한 이미지에서의 패턴화된 피쳐의 하나 이상의 특성을 결정하기 위해, 본원에서 추가로 설명되는 바와 같이 이들 이미지를 사용할 수도 있다. 이와 같이, 본원에서 설명되는 실시형태는, 이미지에서의 패턴화된 피쳐의 특성(들)을 결정하기 위해, 시료 상에서 이전에 검출된 결함의 위치에서만 (임의의 추가적인 이미지 생성 또는 획득 없이) 결함 리뷰 동안 생성되는 이미지만을 사용할 수도 있다.
하나의 실시형태에서, 다수의 패턴화된 피쳐는 콘택트를 포함한다. 콘택트는, 시료 상에 임의의 타입의 디바이스를 제조하기 위해 사용되는 임의의 타입의 설계에서의 임의의 타입의 콘택트를 포함할 수도 있다. 다른 실시형태에서, 측정 서브시스템은 전자 빔 현미경으로서 구성된다. 이러한 방식에서, 본원에서 설명되는 실시형태는, 본원에서 추가로 설명되는 바와 같이 구성될 수도 있는 SEM 또는 다른 적절한 전자 빔 현미경에 의해 생성되는 이미지를 사용하여 콘택트를 측정하도록 구성될 수도 있다. 몇몇 실시형태에서, 하나 이상의 특성은 콘택트의 임계 치수(CD)를 포함한다. 콘택트의 CD는 콘택트의 직경 또는 콘택트의 다른 적절한 CD를 포함할 수도 있다.
하나의 이러한 예에서, 광학 결함 발견 장치에 의해 발견되는 결함은 실질적으로 고해상도의 SEM 리뷰 툴을 사용하여 리뷰된다. 이들 리뷰 이미지에서, 결함 사이즈 및 다른 이미지 피쳐 및 CD를 실질적으로 정확하게 측정하는 것이 가능하다. 본원에서 설명되는 실시형태는, 유저가 실질적으로 많은 수의 이러한 SEM 리뷰 이미지를 효율적으로 스캐닝하는 것 및 이들 이미지 내에서 많은 CD를 자동적으로 측정하는 것을 허용하여, 광학 검사 툴에 피드백을 제공하게 된다. 예를 들면, 수백 개의 콘택트를 포함하는 SEM 이미지에서, 프로세스 단계의 일관성을 정량화하기 위해, 뿐만 아니라, 모든 콘택트 사이의 거리를 측정하기 위해, 모든 콘택트의 직경은 측정될 수 있는데, 이것은 이중 또는 다중 패턴화 프로세스 단계의 경우에서 오버레이의 정확도를 정량화할 수 있다. 이중 또는 다중 패턴화 단계는 일반적으로 상이한 프로세스 단계에서 시료 상에 단일 층의 상이한 부분을 인쇄하는 것을 수반한다. 따라서, 다른 프로세스 단계에서 시료의 한 층 상에 인쇄되는 피쳐의 배치에 대한 하나의 프로세스 단계에서 시료의 동일한 층 상에 인쇄되는 제1 피쳐의 배치는, 본원에서 설명되는 실시형태를 사용하여 효율적이고 정확하게 결정될 수도 있다. 본원에서 설명되는 실시형태는 초기 광학 결함 발견 장치 상에서 결과까지의 시간을 상당히 단축시킨다.
특정한 예에서, 본원에서 설명되는 실시형태는 프로그래밍된 결함을 갖는 콘택트 층에 적용되었다. 모든 콘택트 직경을 측정하고 산포도(scatter plot)로 플롯하였다. 특히, 이미지에서의 모든 콘택트에 대한 X 및 Y 치수의 산포도가 생성되었다. 축소된 콘택트로 구성되는 프로그래밍된 결함이 쉽게 두드러진다. 예를 들면, 콘택트 직경의 "클라우드"의 사이즈는, 콘택트 사이즈의 정확성과 반복성에 대한 즉각적인 시각적 피드백을 제공한다. 임의의 통계적 이상점, 예를 들면, 축소된 콘택트는 몇몇 방식으로, 예를 들면, 적색과 같은 컬러로 마킹될 수 있고, 광학 검사에서 결함으로 검출될 수 있다. 직경 수직 콘택트의 확산(표준 편차)은, 자연적으로 발생하는 프로세스 변동 범위 밖에서 결함 사이즈가 검출 가능하게 되는 한계를 설정한다. 게다가, 1000 개의 이미지에서의 모든 콘택트 사이즈의 히스토그램 분포가 생성되었으며, 실질적으로 짧은 양의 시간 내에 100,000 개의 측정이 실질적으로 정확하게 수행될 수 있다.
SEM 리뷰 이미지는 통상적으로 검사 툴에 의해 이전에 검출된 결함을 정량화하기 위해 사용되었다. 본원에서 설명되는 실시형태는, SEM 리뷰 이미지에서의 실질적으로 많은 수의 콘택트 직경 및 셀 사이즈와 같은 피쳐 및 CD의 자동화된 측정을 결함 검출 프로세스의 필수 부분으로서 제공한다. 이러한 능력은 프로세스 제어에서 중요하며, CD 측정 정보를 광학 검사 툴의 결함 검출 프로세스에 피드백하게 된다. 이 능력은 SEM 이미지에서의 많은 상이한 피쳐 측정으로 확장될 수 있다. 이 능력은 결함 검출 프로세스 결과까지의 시간을 크게 단축시킨다.
본원에서 설명되는 실시형태와는 대조적으로, 잠재적으로 결함이 있는 영역의 상대적으로 많은 수의 SEM 이미지가 웨이퍼 상의 많은 위치로부터 수집될 수도 있다. 그 다음, 애플리케이션 엔지니어는 컴퓨터 화면에 이들 이미지의 각각을 디스플레이하여 이미지에 결함이 존재하는지를 결정할 수도 있다. 결함 주위에 박스를 묘화하고 두 차원에서 픽셀을 카운팅하는 것에 의해 결함의 사이즈를 측정하는 것은 간단하지만, 그러나 이 방식에서 이미지 내의 많은(수백 개의) 피쳐의 CD를 측정하는 것은 비실용적이다. 통상적으로, 측정은 정수 개의 픽셀의 정밀도까지만 수행된다. 따라서, CD에 대한 통계치는 희박하고 상대적으로 정확하지 않다.
따라서, 상기에서 설명되는 현재 사용되는 방법은, 본원에서 설명되는 실시형태와 비교하여 다수의 단점을 갖는다. 예를 들면, SEM 이미지의 수동 분류는 상당히 노동 집약적이며 시간 소모적이다. 설계 규칙이 축소함에 따라, 주어진 층에 대한 프로세스 변동이 중요한 변수가 된다. 할당된 시간에, 엔지니어는 이미지 또는 이미지의 세트에서 실질적으로 제한된 수의 CD만 측정할 수 있다. 측정의 정확도는 유저 의존적이며, 이미지 노이즈의 존재시, 이들 측정치는 주관적일 수 있고 반복마다 다를 수 있다.
또 다른 실시형태에서, 다수의 패턴화된 피쳐는 라인 및 스페이스 쌍을 포함한다. 예를 들면, 수직 라인-스페이스 패턴을 갖는 이미지는, 트렌치를 따라 모든 픽셀에서 라인-간격을 측정하는 것에 의해 검사될 수도 있다. 라인-스페이스 패턴에 대해 결정되는 치수의 산포도가 생성될 수도 있다. 이러한 산포도를 사용하여, 임의의 이상점 라인-스페이스가 상기에서 설명되는 바와 같이 식별될 수 있다. 상당히 많은 수의 이미지, 예를 들면, 1000 개의 이미지에서의 라인-스페이스에 대해 측정되는 치수에 기초하여 결정될 수도 있는, 평균 폭, 최소 깊이, 최대 깊이, 최소 폭, 및 최대 폭과 같은 트렌치 폭 또는 깊이의 다양한 통계치에 대한 트렌치 폭의 함수로서, 트렌치 깊이/콘트라스트의 다른 플롯이 생성될 수도 있다.
추가적인 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 또한, 결정된 하나 이상의 통계치에 기초하여 다수의 패턴화된 피쳐를 자동적으로 분류하도록 구성된다. 예를 들면, 본원에서 설명되는 실시형태는 SEM 리뷰 이미지를 사용하여 자동 콘택트 분류를 위해 구성될 수도 있다. 콘택트는 결함(예를 들면, 수축된 콘택트, 확장된 콘택트, 오배치된 콘택트, 등등)으로서, 또는 결정된 하나 이상의 통계치에 기초하여 몇몇 다른 방식으로 자동적으로 분류될 수도 있다.
본원에서 설명되는 실시형태는 패턴화된 피쳐의 특성을 측정하기 위한 다른 방법 및 시스템에 비해 다수의 이점을 갖는다. 예를 들면, 설계 규칙이 축소함에 따라, 단지 결함 자체가 아니라, 라인 에지 거칠기(LER) 또는 콘택트 사이즈와 같은 인쇄된 피쳐에서의 상당히 작은 수차가, 프로세스 제어에서 중요한 임계적 측도(critical measurement)가 된다. CD를 측정하기 위한 본원에서 설명되는 실시형태는 전용 CD 툴을 필요로 하지 않으며, SEM 리뷰 이미지에서의 CD의 인간 측정치보다 10의 몇승 배 더 빠르고 더 정확하다. 따라서, 본원에서 설명되는 실시형태는, SEM 리뷰 툴을 사용하여 이미지화되는 패턴에서의 CD의 분류 및 수동 측정에 비해 상당한 양의 시간을 절약할 수 있다. 결과는 더욱 반복 가능하며 유저 종속적이 아니다. 따라서, 측정치는 더 정확하고 반복 가능하다. 상당히 적은 양의 시간 내에 더 많은 결함 및 피쳐가 측정될 수 있기 때문에, 실시형태는 결함 검출 프로세스 및 프로세스 자격(qualification)의 필수 부분일 수 있다. 게다가, 더 큰 샘플이 프로세싱될 수 있어서, 주어진 프로세스 단계의 일관성에 대한 더 나은 통계치를 유저에게 제공하게 된다. 게다가, 본원에서 설명되는 실시형태에 의해 생성되는 결과는 검사 레시피의 추가 튜닝을 위해 광학 검사 툴로 피드백될 수도 있다. 이러한 구성은 PWQ 또는 FEM 웨이퍼를 특성 묘사하는 데 특히 유리할 수도 있다.
본 설명의 관점에서, 기술 분야의 숙련된 자에게는, 본 발명의 다양한 양태의 다른 수정예 및 대안적 실시형태가 명백할 것이다. 예를 들면, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 방법 및 시스템이 제공된다. 따라서, 본 설명은, 단지 예증적인 것으로만 해석되어야 하며, 본 발명을 실행하는 일반적인 방식을 기술 분야의 숙련된 자에게 교시하는 목적을 위한 것이다. 본원에서 도시되고 설명되는 본 발명의 형태는 현 시점에서의 바람직한 실시형태로서 간주되어야 한다는 것이 이해되어야 한다. 엘리먼트 및 재료가 본원에서 예시되고 설명되는 것 대신 대용될 수도 있고, 부품 및 프로세스는 반대로 될 수도 있고, 본 발명의 소정의 피쳐는 독립적으로 활용될 수도 있는데, 이들 모두는, 본 발명의 본 설명의 이익을 가진 이후, 기술 분야의 숙련된 자에게 명백해질 것이다. 하기의 청구범위에서 설명되는 바와 같은 본 발명의 취지와 범위를 벗어나지 않으면서, 본원에서 설명되는 엘리먼트에서 변경이 이루어질 수도 있다.

Claims (37)

  1. 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템으로서,
    적어도 에너지 소스 및 검출기 - 상기 에너지 소스는 상기 시료로 디렉팅되는 에너지를 생성하도록 구성되고, 상기 검출기는 상기 시료로부터 에너지를 검출하고 상기 검출된 에너지에 응답하는 출력을 생성하도록 구성됨 - 를 포함하는 측정 서브시스템; 및
    하나 이상의 컴퓨터 서브시스템
    을 포함하고, 상기 하나 이상의 컴퓨터 서브시스템은,
    상기 시료 상에서 검출되는 결함의 영역을 결정하도록;
    상기 결함의 영역을 상기 시료에 대한 설계를 위한 정보와 상관시키도록;
    상기 상관의 결과에 기초하여 상기 결함의 영역과 상기 설계를 위한 정보 사이의 공간적 관계를 결정하도록;
    상기 공간적 관계에 기초하여 상기 시료에 대해 하나 이상의 측정이 수행되는 주목 구역(region of interest)을 자동적으로 생성하도록 - 상기 하나 이상의 측정은 상기 측정 서브시스템에 의해 상기 시료에 대해 수행되는 프로세스 동안 상기 측정 서브시스템에 의해 상기 주목 구역에서 수행됨 -
    구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  2. 제1항에 있어서,
    상기 결함의 영역은 상기 결함의 이미지에서 상기 결함 주위에 묘화되는 경계 박스에 의해 정의되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  3. 제1항에 있어서,
    상기 결함의 영역은 상기 결함의 전자 빔 기반의 이미지에서 상기 결함 주위에 묘화되는 자유 형태 영역에 의해 정의되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  4. 제1항에 있어서,
    상기 설계를 위한 정보는 상기 설계의 하나보다 많은 층에 대한 정보를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  5. 제1항에 있어서,
    상기 설계를 위한 정보는 상기 시료 상에 인쇄되지 않을 상기 설계의 피쳐(feature)에 대한 정보를 포함하지 않는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  6. 제1항에 있어서,
    상기 설계를 위한 정보는 상기 설계에서의 패턴화된 피쳐에 대한 정보를 포함하고, 상기 상관은 상기 결함의 영역을 상기 설계에서의 상기 패턴화된 피쳐로 오버레이하는 것을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  7. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계에 기초하여 상기 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  8. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계에 기초하여 수행되는 패턴 매칭에 의해 상기 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  9. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계에 기초하여 수행되는 기하학적 형상 매칭에 의해 상기 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  10. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계를 위한 셀(cell) 정보에 기초하여 상기 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  11. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계의 그래픽 표현의 이미지 프로세싱에 기초하여 상기 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  12. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계를 위한 핫 스팟 정보에 기초하여 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  13. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계에서의 핫 스팟에 대한 정보에 기초하여 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하고, 상기 추가 주목 구역 중 하나보다 많은 추가 주목 구역은 상기 핫 스팟 중 하나에 대해서만 결정되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  14. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계에 기초하여 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하고, 상기 공간적 관계의 결정은, 상기 추가 주목 구역 중 어떤 것이 상기 결함의 영역과 공간적으로 중첩하는지를 결정하는 것을 포함하고, 상기 자동 생성은, 상기 결함의 영역과 공간적으로 중첩하는 상기 추가 주목 구역 중 하나를, 상기 결함에 대해 측정될 상기 주목 구역이 되도록 선택하는 것을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  15. 제1항에 있어서,
    상기 자동 생성은, 상기 결함의 영역과 상기 설계를 위한 정보 사이의 상기 공간적 관계에 기초하여 상기 결함의 영역을 수정하는 것 및 상기 수정된 결함의 영역을 상기 주목 구역이 되도록 지정하는 것을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  16. 제1항에 있어서,
    상기 설계를 위한 정보는 상기 설계에서의 패턴화된 피쳐에 대한 정보를 포함하고, 상기 자동 생성은, 상기 결함의 영역 및 상기 결함의 영역에 인접하는 상기 패턴화된 피쳐에 기초하여 상기 주목 구역의 영역을 결정하는 것을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  17. 제1항에 있어서,
    상기 설계를 위한 정보는 상기 설계에서의 패턴화된 피쳐에 대한 정보를 포함하고, 상기 자동 생성은 상기 결함 부근의 상기 패턴화된 피쳐 중 하나 이상 또는 상기 결함의 영역의 최소치에 기초하여 상기 주목 구역의 영역을 결정하는 것을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  18. 제1항에 있어서,
    상기 결함의 영역은, 상기 결함의 전자 빔 기반의 이미지에서 상기 결함 주위에 묘화되는 자유 형태 영역에 의해 정의되고, 상기 설계를 위한 정보는, 오직 상기 설계에 기초하여 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하고, 상기 공간적 관계의 결정은, 상기 추가 주목 구역 중 어떤 것이 상기 결함의 영역과 공간적으로 중첩하는지 또는 상기 결함의 영역에 가장 가까운지를 결정하는 것을 포함하고, 상기 자동 생성은, 상기 추가 주목 구역 중, 상기 결함의 영역과 공간적으로 중첩하는 또는 상기 결함의 영역에 가장 가까운 하나의 추가 주목 구역을 상기 결함에 대해 측정될 상기 주목 구역이 되도록 선택하는 것 또는 우선시하는 것을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  19. 제1항에 있어서,
    상기 설계를 위한 정보는, 오직 상기 설계에 기초하여 상기 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 상기 설계에서의 추가 주목 구역에 대한 정보를 포함하고, 상기 추가 구역 중 하나 이상은 상기 프로세스 동안 측정되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  20. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 측정 서브시스템에 의한 상기 프로세스 동안 상기 주목 구역에서 수행되는 상기 하나 이상의 측정의 하나 이상의 파라미터를 자동적으로 결정하도록 구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  21. 제1항에 있어서,
    상기 검출기에 의해 생성되는 출력은 상기 시료의 이미지를 포함하고, 상기 시료 상의 상이한 영역에 대해 상기 검출기에 의해 상이한 이미지가 생성되며, 상기 시료 상의 다수의 패턴화된 피쳐는 상기 상이한 이미지에서 이미지화되고, 상기 하나 이상의 컴퓨터 서브시스템 또한, 상기 상이한 이미지에서 상기 다수의 패턴화된 피쳐를 자동적으로 위치 결정하도록, 상기 상이한 이미지 내의 상기 위치 결정된 다수의 패턴화된 피쳐의 하나 이상의 특성을 결정하도록, 상기 상이한 이미지 내의 상기 위치 결정된 다수의 패턴화된 피쳐에 대해 결정되는 상기 하나 이상의 특성의 하나 이상의 통계치를 결정하도록 구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  22. 제21항에 있어서,
    상기 상이한 영역은, 상기 시료 상에서 검출되며 결함 리뷰를 위해 선택되는 결함이 위치되는 상기 시료 상의 영역을 포함하고, 상기 상이한 이미지는 상기 결함에 대해 수행되는 결함 리뷰 프로세스 동안 상기 측정 서브시스템에 의해 생성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  23. 제21항에 있어서,
    상기 다수의 패턴화된 피쳐는 콘택트(contact)를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  24. 제23항에 있어서,
    상기 측정 서브시스템은 또한 전자 빔 현미경이 되도록 구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  25. 제23항에 있어서,
    상기 하나 이상의 특성은 상기 콘택트의 임계 치수(critical dimension)를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  26. 제21항에 있어서,
    상기 다수의 패턴화된 피쳐는 라인 및 스페이스 쌍을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  27. 제21항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 결정된 하나 이상의 통계치에 기초하여 상기 다수의 패턴화된 피쳐를 자동적으로 분류하도록 구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  28. 제1항에 있어서,
    상기 프로세스는 상기 시료에 대해 수행되는 제조 프로세스의 인라인 모니터링 동안 상기 시료에 대해 수행되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  29. 제1항에 있어서,
    상기 프로세스는 계측 프로세스를 포함하고, 상기 시스템은 또한 계측 툴이 되도록 구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  30. 제1항에 있어서,
    상기 프로세스는 검사 프로세스를 포함하고, 상기 시스템은 또한 검사 툴이 되도록 구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  31. 제1항에 있어서,
    상기 프로세스는 결함 리뷰 프로세스를 포함하고, 상기 시스템은 또한 결함 리뷰 툴이 되도록 구성되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  32. 제1항에 있어서,
    상기 시료는 웨이퍼를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  33. 제1항에 있어서,
    상기 시료는 레티클을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  34. 제1항에 있어서,
    상기 시료로 디렉팅되는 에너지는 광을 포함하고, 상기 시료로부터 검출되는 에너지는 광을 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  35. 제1항에 있어서,
    상기 시료로 디렉팅되는 에너지는 전자를 포함하고, 상기 시료로부터 검출되는 에너지는 전자를 포함하는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하도록 구성되는 시스템.
  36. 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독가능 매체로서,
    상기 컴퓨터 구현 방법은,
    상기 시료 상에서 검출되는 결함의 영역을 결정하는 단계;
    상기 결함의 영역을 상기 시료에 대한 설계를 위한 정보와 상관시키는 단계;
    상기 상관의 결과에 기초하여 상기 결함의 영역과 상기 설계를 위한 정보 사이의 공간적 관계를 결정하는 단계; 및
    상기 공간적 관계에 기초하여 상기 시료에 대해 하나 이상의 측정이 수행되는 주목 구역을 자동적으로 생성하는 단계
    를 포함하고,
    상기 하나 이상의 측정은 측정 서브시스템에 의해 상기 시료에 대해 수행되는 프로세스 동안 상기 측정 서브시스템에 의해 상기 주목 구역에서 수행되고,
    상기 측정 서브시스템은 적어도 에너지 소스 및 검출기를 포함하고, 상기 에너지 소스는 상기 시료로 디렉팅되는 에너지를 생성하도록 구성되고, 상기 검출기는 상기 시료로부터 에너지를 검출하고 상기 검출된 에너지에 응답하는 출력을 생성하도록 구성되는 것인, 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독가능 매체.
  37. 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법으로서,
    상기 시료 상에서 검출되는 결함의 영역을 결정하는 단계;
    상기 결함의 영역을 상기 시료에 대한 설계를 위한 정보와 상관시키는 단계;
    상기 상관의 결과에 기초하여 상기 결함의 영역과 상기 설계를 위한 정보 사이의 공간적 관계를 결정하는 단계; 및
    상기 공간적 관계에 기초하여 상기 시료에 대해 하나 이상의 측정이 수행되는 주목 구역을 자동적으로 생성하는 단계
    를 포함하고,
    상기 하나 이상의 측정은 측정 서브시스템에 의해 상기 시료에 대해 수행되는 프로세스 동안 상기 측정 서브시스템에 의해 상기 주목 구역에서 수행되고,
    상기 측정 서브시스템은 적어도 에너지 소스 및 검출기를 포함하고, 상기 에너지 소스는, 상기 시료로 디렉팅되는 에너지를 생성하도록 구성되고, 상기 검출기는, 상기 시료로부터 에너지를 검출하고 상기 검출된 에너지에 응답하는 출력을 생성하도록 구성되고, 상기 영역을 결정하는 단계, 상기 상관시키는 단계, 상기 공간적 관계를 결정하는 단계, 및 상기 자동 생성 단계는 하나 이상의 컴퓨터 시스템에 의해 수행되는 것인, 시료에 대해 수행될 프로세스의 하나 이상의 파라미터를 결정하기 위한 컴퓨터 구현 방법.
KR1020187007769A 2015-08-28 2016-08-27 셀프 디렉팅된 계측 및 패턴 분류 KR102340756B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562211375P 2015-08-28 2015-08-28
US62/211,375 2015-08-28
US15/247,774 2016-08-25
US15/247,774 US10483081B2 (en) 2014-10-22 2016-08-25 Self directed metrology and pattern classification
PCT/US2016/049157 WO2017040351A1 (en) 2015-08-28 2016-08-27 Self directed metrology and pattern classification

Publications (2)

Publication Number Publication Date
KR20180037055A KR20180037055A (ko) 2018-04-10
KR102340756B1 true KR102340756B1 (ko) 2021-12-16

Family

ID=58188172

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187007769A KR102340756B1 (ko) 2015-08-28 2016-08-27 셀프 디렉팅된 계측 및 패턴 분류

Country Status (6)

Country Link
JP (1) JP6914249B2 (ko)
KR (1) KR102340756B1 (ko)
CN (1) CN107924850B (ko)
IL (1) IL257205B (ko)
TW (1) TWI684225B (ko)
WO (1) WO2017040351A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997710B2 (en) * 2017-10-18 2021-05-04 Kla-Tencor Corporation Adaptive care areas for die-die inspection
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
CN116503397B (zh) * 2023-06-26 2023-09-01 山东天通汽车科技股份有限公司 基于图像数据的车内传输带缺陷检测方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090290782A1 (en) 2005-09-01 2009-11-26 Menachem Regensburger Method and a system for establishing an inspection-recipe
US20120216169A1 (en) 2011-02-22 2012-08-23 Kla-Tencor Corporation Design based device risk assessment
JP2021012399A (ja) 2016-03-31 2021-02-04 Hoya株式会社 反射型マスクブランクの製造方法、反射型マスクブランク、反射型マスクの製造方法、反射型マスク、及び半導体装置の製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891167B2 (en) * 2000-06-15 2005-05-10 Kla-Tencor Technologies Apparatus and method for applying feedback control to a magnetic lens
JP4154282B2 (ja) * 2003-05-14 2008-09-24 株式会社日立ハイテクノロジーズ 回路パターンの検査装置
EP1955225A4 (en) * 2005-11-18 2009-11-04 Kla Tencor Tech Corp METHOD AND SYSTEMS FOR USE OF DESIGN DATA IN COMBINATION WITH TEST DATA
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
JP2008235575A (ja) * 2007-03-20 2008-10-02 Toshiba Corp パターン測定方法、パターン測定装置およびプログラム
JP5118872B2 (ja) * 2007-03-30 2013-01-16 株式会社日立ハイテクノロジーズ 半導体デバイスの欠陥観察方法及びその装置
JP5408852B2 (ja) * 2007-08-09 2014-02-05 株式会社日立ハイテクノロジーズ パターン測定装置
JP5412169B2 (ja) * 2008-04-23 2014-02-12 株式会社日立ハイテクノロジーズ 欠陥観察方法及び欠陥観察装置
WO2009152046A1 (en) * 2008-06-11 2009-12-17 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
JP5479782B2 (ja) * 2009-06-02 2014-04-23 株式会社日立ハイテクノロジーズ 欠陥画像処理装置、欠陥画像処理方法、半導体欠陥分類装置および半導体欠陥分類方法
JP2012068051A (ja) * 2010-09-21 2012-04-05 Toshiba Corp パターン欠陥検査装置およびパターン欠陥検査方法
JP5948138B2 (ja) * 2012-05-11 2016-07-06 株式会社日立ハイテクノロジーズ 欠陥解析支援装置、欠陥解析支援装置で実行されるプログラム、および欠陥解析システム
US9189844B2 (en) * 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
CN102937599B (zh) * 2012-10-25 2015-01-07 中国科学院自动化研究所 一种通过x射线检测含金属被测物的无损检测系统和方法
WO2014149197A1 (en) * 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9619876B2 (en) * 2013-03-12 2017-04-11 Kla-Tencor Corp. Detecting defects on wafers based on 2D scatter plots of values determined for output generated using different optics modes
US9183624B2 (en) * 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090290782A1 (en) 2005-09-01 2009-11-26 Menachem Regensburger Method and a system for establishing an inspection-recipe
US20120216169A1 (en) 2011-02-22 2012-08-23 Kla-Tencor Corporation Design based device risk assessment
JP2021012399A (ja) 2016-03-31 2021-02-04 Hoya株式会社 反射型マスクブランクの製造方法、反射型マスクブランク、反射型マスクの製造方法、反射型マスク、及び半導体装置の製造方法

Also Published As

Publication number Publication date
KR20180037055A (ko) 2018-04-10
IL257205B (en) 2021-01-31
TWI684225B (zh) 2020-02-01
CN107924850B (zh) 2022-06-28
JP2018530911A (ja) 2018-10-18
IL257205A (en) 2018-03-29
JP6914249B2 (ja) 2021-08-04
CN107924850A (zh) 2018-04-17
TW201727789A (zh) 2017-08-01
WO2017040351A1 (en) 2017-03-09

Similar Documents

Publication Publication Date Title
JP7093828B2 (ja) 自動式パターン忠実度測定計画生成
US10483081B2 (en) Self directed metrology and pattern classification
JP6785663B2 (ja) 検査のための高解像度フルダイイメージデータの使用
CN107078073B (zh) 用于工艺窗口特征化的虚拟检验系统
US8150140B2 (en) System and method for a semiconductor lithographic process control using statistical information in defect identification
US8422761B2 (en) Defect and critical dimension analysis systems and methods for a semiconductor lithographic process
US10074036B2 (en) Critical dimension uniformity enhancement techniques and apparatus
US20080058977A1 (en) Reviewing apparatus using a sem and method for reviewing defects or detecting defects using the reviewing apparatus
JP2017032998A (ja) ウェーハー上の設計欠陥および工程欠陥の検出、ウェーハー上の欠陥の精査、設計内の1つ以上の特徴を工程監視特徴として使用するための選択、またはそのいくつかの組み合わせのための方法
KR20180034677A (ko) 시편 상의 관심 패턴의 하나 이상의 특성의 결정
KR20200131340A (ko) 웨이퍼 위치의 형상 메트릭 기반의 스코어링
KR102340756B1 (ko) 셀프 디렉팅된 계측 및 패턴 분류
CN114096832A (zh) 用于选择用于样本检验的缺陷检测方法的系统和方法
JP6900252B2 (ja) パターン検査装置の検査結果の度数分布形状に関する情報を活用する方法
CN117425819A (zh) 设置用于检验样品的关注区域

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant