CN1076479C - 包含主从触发器的电子电路及其测试方法 - Google Patents

包含主从触发器的电子电路及其测试方法 Download PDF

Info

Publication number
CN1076479C
CN1076479C CN95190769A CN95190769A CN1076479C CN 1076479 C CN1076479 C CN 1076479C CN 95190769 A CN95190769 A CN 95190769A CN 95190769 A CN95190769 A CN 95190769A CN 1076479 C CN1076479 C CN 1076479C
Authority
CN
China
Prior art keywords
trigger
main portion
circuit
phase inverter
input end
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN95190769A
Other languages
English (en)
Other versions
CN1131985A (zh
Inventor
M·沙迪夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Koninklijke Philips Electronics NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP94201929A external-priority patent/EP0633530B1/en
Application filed by Koninklijke Philips Electronics NV filed Critical Koninklijke Philips Electronics NV
Publication of CN1131985A publication Critical patent/CN1131985A/zh
Application granted granted Critical
Publication of CN1076479C publication Critical patent/CN1076479C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2205Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested
    • G06F11/2236Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested to test CPU or processors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3004Current or voltage test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3004Current or voltage test
    • G01R31/3008Quiescent current [IDDQ] test or leakage current test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318577AC testing, e.g. current testing, burn-in

Abstract

一种主部分和从部分通过缓冲器相互连接的触发器。主部分的倒相器位于从输入端到输出端的信号通道之外,同时,所述缓冲器为IDDQ测试和操作使用两者提供所需要的驱动能力。这种结构允许在没有附加于所述触发器的其他电路的情况下进行IDDQ测试,并且,减少了信号通道中的传输延迟。

Description

包含主从触发器的电子 电路及其测试方法
本发明的领域
本发明涉及包含至少一个具有通过可控耦合相互连接的主部分和从部分的触发器的电子电路的测试方法,其中,所述方法包括该触发器静态电流的测试。本发明还涉及包含这种触发器的电路。
背景技术
静态触发器是数字CMOS集成电路设计中的重要的基本电路块。典型的数字CMOS集成电路可能包含几千个触发器。典型的主从触发器包含通过传输门相互连接的主锁存器和从锁存器。所述锁存器中的每一个包含允许写入数据和锁存数据的各自的其他传输门。在触发器的操作应用中,通过传输门的互补控制而交替地允许主部分和从部分接收或储存数据,以便在功能上把触发器的输入端和输出端分开。
静态触发器,例如,以CMOS制造的静态触发器,都遇到同样的问题,即,不能够通过静态电流测试,也称为IDDQ测试法来检测引起固定故障(stuck-at fault)的特定桥接缺陷。桥接缺陷被认为是造成产量损失的唯一的最重要的制造缺陷机制。需要为测试能力而专门设计的测试方法,以便能够通过IDDQ测试来检测触发器中的这种缺陷。IDDQ测试被认为是在改进质量方面对布尔测试法的补充,并且,在专家中,这样的意见占优势,即,通过IDDQ测试技术所达到的质量是通过任何其他测试方法所达到的质量无法相比的。
本申请人的欧洲专利申请0633530(PHN14,520)提出把时序逻辑电路变换成组合逻辑电路。这种变换使得能够用IDDQ测试技术来测量触发器电路系统和扫描链路系统,以便检测桥接缺陷并显现这种缺陷。所述触发器向组合逻辑电路的可逆变换能力大大地减小了测试的复杂性,并显著地改善了故障覆盖情况。从本质上说,向组合电路的变换使所述触发器变成易于鉴别的(transparent)。通过向触发器输入数据来确认跨越缺陷所产生的逻辑冲突。整个触发器链变成易于鉴别的,这大幅度地降低了测试的复杂性。
在上述欧洲专利申请0633530中所讨论的测试方法中,主部分和从部分同时被启动以再现成为逻辑组合的、因而适合于进行IDDQ测试的。需要附加的电路系统以便能够进行这种向易于鉴别的状态的转换。例如,附加电路为时钟信号和它的逻辑补码提供单独的控制信号。这种结构对每个触发器需要双时钟线,从而提高了成本,并且,由于必须在整个电路中保证时钟信号和它的补码之间的适当的时序关系,因而,这种结构还影响设计的时序临界值。关于时序电路到组合电路的可逆变换的进一步的细节以及其他可能的实现方法,请参看上述欧洲专利申请0633530。
发明的目的
本发明的目的特别是进一步降低测试的复杂性和成本。本发明的另一个目的是允许在少量附加电路的情况下进行触发器的IDDQ测试。发明概述
为了所述目的,本发明提供如前序部分中说明的测试方法,其特征在于该方法包括对单向可控耦合的触发器进行静态电流测试,以及所述静态电流测试包括在时钟控制数据从主部分转移到从部分之后确定静态电流。
根据欧洲专利申请0633530中所述的方法除了把触发器变成透明的,不能通过IDDQ测试来检测主从触发器中特有的低电阻的桥接缺陷。但是,该方法需要附加电路以产生所述透明度。本发明尤其基于这样的见解,即,如果主部分和从部分之间的耦合是单向的,那么,就没有必要把触发器或触发器链变成透明的。
通常通过传输门实现所述可控耦合。但是,在数据从主部分转移到从部分期间,例如,由于从部分中的桥接缺陷,主部分和从部分之间的这种双向通道允许主部分的重写。所述重写是作为在这种过渡阶段的电压冲突的结果出现的并且在静态下是不可检测的。本发明人发现,如果主部分和从部分之间的可控耦合至少在测试期间是单向的,那么,所述电压冲突将持续而不影响主部分的数据,因而,将可以通过IDDQ测试来检测。
Akata的美国专利5189315介绍了一种其主部分和从部分通过缓冲电路耦合的触发器。所述缓冲电路使主部分免受从部分的不良影响,并且,使所述触发器适合于比无缓冲电路的触发器中能够达到的更高的时钟频率。但是,该现有技术对比文献未提及这种电路的可测试性,更不用说使用IDDQ技术。本发明确认这样的事实,即,主部分和从部分之间单向耦合的触发器非常适合于使用静态电流法进行测试。
所述单向耦合可以包括与双向开关串联的缓冲电路。例如,缓冲电路是普通的CMOS型反相器,而所述开关是普通的传输门。另一种方法是,所述单向耦合包括这样的缓冲器,即,该缓冲器具有连接到主部分的第一输入端、连接到从部分的输出端以及用于启动该缓冲器的控制输入端。适当的启动和截止具有与控制传输门相同的作用。开关倒相器可以作为这样的缓冲器。
为完整起见,请参见J.U.Horstmann等人的文章“CMOS ASIC触发器的亚稳度特性的理论和实验”,特别是其中的图13(b),该文章发表于IEEE Journal ofSolid State Circuits,Vol.24,NO.1,Febr.1989,pp146-157。该对比文献表明:开关倒相器用于整个主从触发器中,而不是通常的传输门中,以便降低亚降度。开关倒相器是通过求反式时钟控制晶体管连接在其电源端子之间的普通CMOS倒相器。不仅主部分和从部分之间的耦合,而且主部分和从部分中的开关都由这种开关倒相器构成。但是,在本发明中,最好仅仅主部分和从部分之间的耦合是单向的,而主部分和从部分各自包含双向开关。和本发明中所说明的电路相比,现有技术方案由于开关倒相器的缘故而需要更大量的附加的晶体管和时钟控制抽头。此外,该现有技术文献未提及可测试性专题。
如上所述,本发明人已经认识到:单向耦合中的缓冲器的驱动能力在触发器的操作中起主要从用。所述驱动能力既在由IDDQ可检测缺陷引起的电压冲突的情况下维持静态电流,又在正常操作使用期间允许重写从部分。因此,本发明人认识到:这不是与测试和操作使用有关的主部分的驱动能力,而是缓冲器的驱动能力。因此,最好不让主部分的倒相器进入在触发器的输入端和输出端之间动作的信号通道,以便减小具有单向耦合的触发器的传输延迟。在上述Akata的触发器中,信号通道包含主部分倒相器,这样就带来额外的、不必要的传输延迟。根据本发明,主部分包含第一和第二倒向器,第一倒相器的输入端连接到单向耦合电路,而其输出端连接到第二倒相器的输入端。
附图的简要描述
下面参考附图、用举例的方法更详细地说明本发明,附图中:
图1是典型的现有技术触发器的示意图;
图2是带有单向耦合的触发器的示意图;
图3是带有单向耦合和最佳信号通道的触发器的示意图;以及
图4是触发器链的示意图。
在全部图中,相同的标号表示相似或对应的器件。
实施例的详细描述
图1是具有典型的触发器102的电子电路100的示意图。触发器102是单相时钟主从触发器。触发器102包括连接到输入端D的传输门TG1、主部分和从部分,所述主部分包含倒相器104和106以及传输门TG2、传输门TG3;所述从部分包含倒相器108和110以及传输门TG4。传输门TG1至TG4是由时钟控制的。
当时钟信号是低电平时,传输门TG1和TG4导通,并且,传输门TG2和TG3闭塞,而当时钟信号是高电平时,传输门TG2和TG3导通,而传输门TG1和TG4闭塞。当时钟信号是低电平时,主部分104/106/TG2接收来自输入端D的数据,同时,从部分108/110/TG4保持其先前接收到的数据。当时钟信号是高电平时,主部分104/106/TG2不再接收来自输入端D的数据,而从部分108/110/TG4变成易于接收由主部分104/106/TG2提供的新数据。在无缺陷的触发器中,从部分108/110/TG4呈现与从主部分104/106/TG2接收到的数据一致的状态。
假定在从部分108/110/TG4的接点S1和电源端子VDD(未示出)或电源端子VSS(未示出)之间存在低电阻的桥接缺陷,分别引起持续1故障或持续0故障。在时钟的正转变时,传输门TG1和TG4从导通状态转变到闭塞状态,而传输门TG2和TG3从闭塞状态转变到导通状态。节点m2开始驱动节点S1,节点Q直到此刻才经由传输门TG4驱动节点S1。到达节点m2的输入由节点m1所确定。由于传输门TG1闭塞而传输门TG2导通,节点m1本身正经历过渡阶段。因此,节点m1具有有限的驱动能力。在无缺陷的情况下,借助一对背靠背的倒相器的正反馈,使触发器102能够度过这个过渡阶段。现在,由于桥接缺陷,节点S1视情况而定被连续地置于VDD或VSS电平。如果在节点S1存在低电阻的桥接缺陷,那么,所述缺陷的驱动能力远远强于节点m2的驱动能力。结果,所述桥接缺陷经由传输门TG3而将主部分104/106/TG2重写。所述操作与SRAM单元中进行的写操作相似。在稳态情况下,无电流流动,因此,用众所周知的IDDQ测试法检测不到缺陷。与此类似,在从部分108/110/TG4中可能存在另外的桥接和门氧化(gate-Oxide)缺陷,通过IDDQ测试检测不到这些缺陷。为了进行灵敏的IDDQ测试,需要提供独立的CLOCK和CLOCK-BAR控制信号的附加电路系统,以便如欧洲专利申请EP-A0633530中所说明的那样,使触发器102变成透明的。上述缺陷的电压检测依赖于电路电平参数和可观测性要求。
图2是含有触发器202的电子电路200的示意图,触发器202适合于进行IDDQ测试而不需要附加于该触发器的其他电路系统。就其功能而言,触发器202与上述Akata电路是一致的。此外,如关于图1所讨论的那样,假定在节点S1存在桥接缺陷。如果使主部分104/106/TG2免于因所述缺陷而被重写,那么,从部分108/104/TG4中的所述桥接缺陷就是可通过IDDQ测试来检测的。当主部分104/104/TG2不被重写时,逻辑冲突被持续。可以通过使主部分104/106/TG2和从部分108/110/TG4之间的耦合成为单向的来达到这一点。注意,在图1的触发器102中,所述耦合仅包含传输门TG3,这种耦合是双向的。例如,通过,如图2中所示那样在节点m2和传输门TG3之间附加倒相器204来建立主部分和从部分之间的单向耦合。假定输入端D保持逻辑低电平,同时,时钟也是低电平。因而,节点m4也是低电平。接着,时钟转换到逻辑高电平。时钟的所述转换导致传输门TG3开始导通。结果,在由倒相器204驱动到低电平的节点m4和由所述桥接缺陷驱动到高电平的节点S1之间产生逻辑冲突。只要时钟是逻辑高电平,就遭遇这种逻辑冲突。在这种时钟状态期间,可检测的mA量级的静态电流从电源端子VDD经由节点S1流入倒相器204而到达VSS
注意,当从倒相器110和108的输出端取出信号时,与图1中输出信号的极性相比,图2中输出信号Q和QBAR的极性已经转换。可以从节点S1,即,传输门TG3和倒相器108之间取出输出信号Q。
触发器202含有在从输入端D到输出端Q(或QBAR)的信号通道中串联排列的倒相器104和204。现在,当倒相器204提供用于控制从部分108/110/TG4的驱动能力时,倒相器104呈现一种不必存在于信号通道中的传输延迟。为了处理延迟临界局面,改进了触发器202的结构,以致于大大地减小了传输延迟。
图3是含有改进结构的触发器302的电路300的示意图。在主部分104/106/TG2中,倒相器104和106两者都被放入节点m2和节点m1之间的反馈通道中。测试表明,和触发器202相比较,触发器302的传输延迟降低了大约30%。触发器302的建立时间和延迟时间之和相对于触发器202降低了大约20%,并且,大约与触发器102的相同。
图4是具有串联的触发器402和404的电路400的示意图。触发器402和404是触发器302的扩展型,其中,倒相器406和408的输入端分别连接到触发器402和404的从部分的输出端。倒相器406的输出端连接到触发器404的输入端D。注意,触发器402和404的主部分和从部分两者都具有相同的结构。例如,触发器402的缓冲器204连接到主部分的输出端,并且,缓冲器406连接到从部分的输出端。缓冲器406在触发器402的从部分和触发器404的主部分之间的耦合中所起的作用与缓冲器204在同一个触发器402的主部分和从部分之间所起的作用相同。因此,缓冲器406使得能够对触发器404的主部分中的持续故障进行IDDQ检测。
触发器的增益-带宽乘积是该触发器从亚稳态复原的速度的量度。可以通过优化各种参数(例如,阈电压,晶体管宽高比,衬底掺杂)来改善触发器的增益-宽带乘积。可以通过减小在对电路的内部和外部节点充电和放电时所包含的RC时间来改善具有限定晶体管尺寸的触发器的增益-带宽乘积。因此,预计触发器302的亚稳态行为优于触发器202的亚稳态行为。已经报道,由于使用时钟控制的倒相器代替传输门而改善了触发器和锁存器的亚稳态。但是,由于需要比较多的晶体管数目以及降低了最高触发率,因此,逻辑电路设计者并不普遍使用这种办法。此外,建立时间和保持时间之间的窗口也可以称为亚稳态窗口。在这个窗口中,就数据的变更而言,触发器的行为是不确定的。因此,在该窗口中,数据的变更可能导致亚稳态。对于坚韧触发器而言,这种窗口的宽度可能是该触发器的品质因素。由于触发器202和302具有显著短于普通触发器102的建立时间和保持时间,因此,触发器202和302从本质上说具有比普通触发器102少的亚稳态窗口。总之,可进行IDDQ测试的触发器302为高性能的触发器结构提供一种极好的供选择的方案。
图1至3分别示出至少包含一个触发器102、202和302的电路100、200和300。一个集成数字或混合电路一般包含几千个触发器。为了免于使这些示意图模糊不清,仅仅详细地描绘了单一的触发器。图1至4示出仅仅作为功能表示法的倒相器。显然,可以用其他倒相逻辑门,例如,“与非”门和“或非”门来代替。

Claims (4)

1.包含至少一个带有通过可控单向耦合相互连接的主部分和从部分的触发器(302)的电子电路(300),其中每个相应的主和从部分具有一个相应的双向开关,并且其中主部分包括一个第一(104)和第二(106)倒象器,其特征在于:所述第一倒相器(104)的输入端连接到可控单向耦合电路(204)的输入端,并被连接到双向开关的输入/输出端。
2.根据权利要求1的电子电路(300),其特征在于,可控单向耦合电路(204)包含一个缓冲器。
3.根据权利要求2的电子电路,其特征在于:所述从部分包含第三和第四(110)倒相器,所述第三倒相器(108)的输入端经过相应的双向开关被连接到可控单向耦合电路(204)的输出端,其中所述触发器(302)包含其输入端连接到第三倒相器(110)的输入端的输出缓冲器(406)。
4.用于测试权利要求1-3之一所述的电子电路(300)的方法,包括触发器(302)的静态电流测试,其特征在于,所述方法包括对触发器(302)进行静态电流测试,静态电流测试包括,在从主部分到从部分的时钟控制数据传送之后,确定静态电流。
CN95190769A 1994-07-05 1995-06-29 包含主从触发器的电子电路及其测试方法 Expired - Fee Related CN1076479C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP94201929A EP0633530B1 (en) 1993-07-09 1994-07-05 Testing sequential logic circuit upon changing into combinatorial logic circuit
EP94201929.0 1994-07-05
EP95200212 1995-01-30
EP95200212.9 1995-01-30

Publications (2)

Publication Number Publication Date
CN1131985A CN1131985A (zh) 1996-09-25
CN1076479C true CN1076479C (zh) 2001-12-19

Family

ID=27235995

Family Applications (1)

Application Number Title Priority Date Filing Date
CN95190769A Expired - Fee Related CN1076479C (zh) 1994-07-05 1995-06-29 包含主从触发器的电子电路及其测试方法

Country Status (8)

Country Link
US (1) US6445235B1 (zh)
EP (1) EP0717851B1 (zh)
JP (1) JP3626757B2 (zh)
KR (1) KR100495456B1 (zh)
CN (1) CN1076479C (zh)
DE (1) DE69531597T2 (zh)
TW (1) TW281726B (zh)
WO (1) WO1996001434A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102044291A (zh) * 2009-10-26 2011-05-04 海力士半导体有限公司 半导体器件及其操作方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5907080A (en) * 1995-11-30 1999-05-25 Nexia Biotechnologies, Inc. Method for development of transgenic dwarf goats
JP3614125B2 (ja) * 2000-10-23 2005-01-26 三星電子株式会社 Cpフリップフロップ
US6919875B2 (en) * 2001-10-02 2005-07-19 Rohm Co., Ltd. Flip-flop circuit, shift register and scan driving circuit for display device
TW200535857A (en) * 2004-04-20 2005-11-01 Innolux Display Corp Dynamic shift register
US20060013352A1 (en) * 2004-07-13 2006-01-19 Ching-Wei Lin Shift register and flat panel display apparatus using the same
US7262648B2 (en) * 2004-08-03 2007-08-28 Marvell International Ltd. Two-latch clocked-LSSD flip-flop
JP2006217540A (ja) * 2005-02-07 2006-08-17 Fujitsu Ltd 半導体集積回路および半導体集積回路の制御方法
US7965119B2 (en) * 2006-06-20 2011-06-21 Freescale Semiconductor, Inc. Device and method for handling metastable signals
US7764086B2 (en) * 2006-12-22 2010-07-27 Industrial Technology Research Institute Buffer circuit
CN101706554B (zh) * 2009-11-02 2012-03-14 中国科学院计算技术研究所 用于部分增强型扫描时延测试的触发器选择方法及系统
US8514000B1 (en) * 2012-07-31 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Meta-hardened flip-flop
CN105092930B (zh) * 2014-05-06 2020-10-30 恩智浦美国有限公司 片上电流测试电路

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE30173E (en) * 1977-02-14 1979-12-18 Rca Corporation Current mirror amplifier
DE3443788A1 (de) * 1984-11-30 1986-06-05 Siemens AG, 1000 Berlin und 8000 München Taktgesteuerte master-slave-kippschaltung
JPS6295016A (ja) * 1985-10-21 1987-05-01 Mitsubishi Electric Corp ラツチ回路
JPH0654860B2 (ja) * 1987-09-30 1994-07-20 ローム株式会社 フリップフロップ回路
NL8900050A (nl) * 1989-01-10 1990-08-01 Philips Nv Inrichting voor het meten van een ruststroom van een geintegreerde monolitische digitale schakeling, geintegreerde monolitische digitale schakeling voorzien van een dergelijke inrichting en testapparaat voorzien van een dergelijke inrichting.
JP2621993B2 (ja) * 1989-09-05 1997-06-18 株式会社東芝 フリップフロップ回路
JPH03201717A (ja) * 1989-12-28 1991-09-03 Mitsubishi Electric Corp フリップフロップ回路
JPH04167612A (ja) * 1990-10-26 1992-06-15 Seiko Epson Corp フリップフロップ回路
JPH04246908A (ja) * 1991-02-01 1992-09-02 Nec Corp フリップフロップ回路
JPH04263510A (ja) * 1991-02-18 1992-09-18 Nec Corp フリップフロップ回路
TW222725B (en) * 1993-07-09 1994-04-21 Philips Electronics Nv Testing sequential logic circuit upon changing into combinatorial logic circuit

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102044291A (zh) * 2009-10-26 2011-05-04 海力士半导体有限公司 半导体器件及其操作方法
CN102044291B (zh) * 2009-10-26 2015-05-06 海力士半导体有限公司 半导体器件及其操作方法

Also Published As

Publication number Publication date
JP3626757B2 (ja) 2005-03-09
WO1996001434A1 (en) 1996-01-18
KR100495456B1 (ko) 2005-09-02
DE69531597D1 (de) 2003-10-02
KR960705220A (ko) 1996-10-09
CN1131985A (zh) 1996-09-25
JPH09502808A (ja) 1997-03-18
US6445235B1 (en) 2002-09-03
DE69531597T2 (de) 2004-06-24
EP0717851A1 (en) 1996-06-26
TW281726B (zh) 1996-07-21
EP0717851B1 (en) 2003-08-27

Similar Documents

Publication Publication Date Title
CN1076479C (zh) 包含主从触发器的电子电路及其测试方法
KR0169509B1 (ko) 바운더리 스캔 셀 및 전자 장치의 내부 논리 블럭과 전자 장치 사이의 신호 경로를 제어하는 방법
US5619511A (en) Dynamic scan circuit and method for using the same
US5552737A (en) Scannable master slave latch actuated by single phase clock
US4698830A (en) Shift register latch arrangement for enhanced testability in differential cascode voltage switch circuit
US20050162185A1 (en) Flip-flop circuit having majority-logic circuit
US5557620A (en) Quiescent current testing of dynamic logic systems
EP0505653A1 (en) Combined sense amplifier and latching circuit for high speed ROMs
US5450418A (en) Pseudo master slave capture mechanism for scan elements
EP0464746A2 (en) Easily and quickly testable master-slave flipflop circuit
US6700410B2 (en) Method and apparatus for asynchronously controlling a high-capacity domino pipeline
EP0477706B1 (en) Asynchronous state machine synchronization circuit
KR100350560B1 (ko) 순차논리회로를조합논리회로로변환하여테스트하는방법및장치
JPH0758458B2 (ja) データ転送装置及びそれを使用したパイプライン処理装置
US5831463A (en) MOS master-slave flip-flop with reduced number of pass gates
US5473618A (en) Semiconductor integrated circuit having a built-in test circuit
EP0744833A2 (en) A flip-flop
JPH0689578A (ja) ダイナミック回路の出力減衰の許容方法及びその装置
EP0381241A2 (en) High speed output circuit suitable for wired-or structure
CN1607727A (zh) 触发器
CA2365956A1 (en) Method and apparatus for testing a video display chip
KR900000995B1 (ko) 테스트 데이타 부하 기능을 갖춘 논리회로
Sachdev Transforming sequential logic in digital CMOS ICs for voltage and I/sub DDQ/testing
JP2728233B2 (ja) 半導体論理回路
EP0190427B1 (en) Random logic error detecting system for differential logic networks

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee