CN107481966A - 用于晶片均匀性的轮廓凹坑和混合基座 - Google Patents

用于晶片均匀性的轮廓凹坑和混合基座 Download PDF

Info

Publication number
CN107481966A
CN107481966A CN201710423175.7A CN201710423175A CN107481966A CN 107481966 A CN107481966 A CN 107481966A CN 201710423175 A CN201710423175 A CN 201710423175A CN 107481966 A CN107481966 A CN 107481966A
Authority
CN
China
Prior art keywords
cheese
covering
base assembly
recess
base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710423175.7A
Other languages
English (en)
Other versions
CN107481966B (zh
Inventor
K·甘加基德加
K·贝拉
J·约德伏斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107481966A publication Critical patent/CN107481966A/zh
Application granted granted Critical
Publication of CN107481966B publication Critical patent/CN107481966B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明描述了基座组件,所述基座组件包括:基座基部;以及多个饼形蒙皮,所述多个饼形蒙皮在基座基部上。饼形锚件可以定位在基座基部的中心,以便在处理期间将所述饼形蒙皮保持于适当位置处。

Description

用于晶片均匀性的轮廓凹坑和混合基座
技术领域
本公开大体上涉及用于在基座中支撑晶片的凹坑。具体来说,本公开的实施例涉及用于成批处理腔室的具有晶片凹坑的基座组件。
背景技术
在成批处理腔室中,膜厚度、折射率和湿蚀刻速率均匀性主要是取决于在径向和方位角方向的凹坑温度变化。一些沉积的膜如氮化硅(SiN)对于在两个方向上在凹坑内的热梯度和均匀性非常敏感。热不均匀性比大多数膜上的RF不均匀性更主要。使用具有一个狭缝阀、五个区加热器线圈的转盘基座的一些当前成批工艺腔室并不足以补偿晶片上的较大热梯度(>10℃),即使是分区调谐和基座旋转也是如此。这可能部分是由于在狭缝阀和升降杆附近的冷点。
当前碳化硅(SiC)涂覆的石墨基座是较大、整体的,并且清洁起来成本较高。为了得以清洁基座,保有备用基座以最小化腔室停机时间。无论新的基座何时安装,平度、径向振摆(runout)和其他测量值都会被记载下来。SiC涂覆的材料对于盐、有机试剂、一些稀酸(例如、稀氟化氢(HF)、氯化氢(HCl)、硫酸(H2SO4)、硝酸(HNO3))的水溶液和热的惰性气体具有耐性。然而,SiC涂层本身并不是惰性的,并且在三氟化氮(NF3)等离子体或氟、HF环境下更快速地腐蚀。
因此,本领域需要提高跨晶片的温度均匀性的装置和方法。本领域还需要对于腔室环境为惰性的基座。
发明内容
本公开的一个或多个实施例涉及基座组件,基座组件包括基座基部、多个饼形蒙皮和饼形锚件。多个饼形蒙皮在基座基部上。饼形锚件在基座基部的中心,并且被配置为与饼形蒙皮协作地相互作用以将饼形蒙皮保持于适当位置。
本公开的另外实施例涉及基座组件,基座组件包括基座基部以及在基座基部上方延伸的多个岛部。岛部大小适于在处理期间支撑基板。多个蒙皮被定位为环绕多个岛部,多个蒙皮中的每者均由陶瓷材料制成。
本公开的另外实施例涉及基座组件,基座组件包括基座基部,基座基部具有多个凹部,在凹部内具有凹坑盖件(pocket cover)。凹坑盖件具有与凹部的深度基本上相同的厚度。多个饼形蒙皮在基座基部上。饼形蒙皮中的每者具有与饼形蒙皮的内周边缘相邻的至少一个凹部或突起。饼形锚件在基座基部的中心。饼形锚件被配置为与饼形蒙皮协作地相互作用以将饼形蒙皮保持于适当位置。饼形锚件包括大小适于与饼形蒙皮上的至少一个凹部协作地相互作用的至少一个突起或大小适于与饼形蒙皮上的至少一个突起协作地相互作用的至少一个凹部。夹板被定位在锚件和蒙皮的内周边缘上方。
附图简述
因此,为了详细理解本公开的上述特征结构所用方式,上文所简要概述的本公开的更具体的描述可以参考实施例进行,一些实施例示出在附图中。然而,应当注意,附图仅示出了本公开的典型实施例,并且因此不应视为限制本公开的范围,因为本公开可允许其他等效实施例。
图1示出根据本公开的一个或多个实施例的成批处理腔室的剖面图;
图2示出根据本公开的一个或多个实施例的成批处理腔室的局部透视图;
图3示出根据本公开的一个或多个实施例的成批处理腔室的示意图;
图4示出根据本公开的一个或多个实施例的用于成批处理腔室中的楔形气体分配组件的一部分的示意图;
图5示出根据本公开的一个或多个实施例的成批处理腔室的示意图;以及
图6示出根据本公开的一个或多个实施例的基座组件;
图7示出根据本公开的一个或多个实施例的基座组件中的锚件;
图8示出根据本公开的一个或多个实施例的基座组件;
图9示出根据本公开的一个或多个实施例的基座组件;
图10示出根据本公开的一个或多个实施例的用于基座组件的凹坑盖件;
图11示出根据本公开的一个或多个实施例的基座组件;
图12示出根据本公开的一个或多个实施例的与基座组件一起使用的升降杆;
图13示出根据本公开的一个或多个实施例的基座组件;
图14示出根据本公开的一个或多个实施例的基座组件;
图15示出根据本公开的一个或多个实施例的基座组件;
图16示出用于图15的实施例的蒙皮;
图17示出根据本公开的一个或多个实施例的基座组件;
图18A和图18B示出根据本公开的一个或多个实施例的凹坑设计;
图19A和图19B示出根据本公开的一个或多个实施例的凹坑设计;
图20示出根据本公开的一个或多个实施例的凹坑设计;
图21示出根据本公开的一个或多个实施例的凹坑设计;
图22示出根据本公开的一个或多个实施例的凹坑设计;以及
图23A和图23B示出根据本公开的一个或多个实施例的凹坑设计。
具体实施方式
在描述本公开的若干示例性的实施例前,应当理解,本公开不限于以下描述中阐述的构造或工艺步骤的细节。本公开也能够具有其他实施例并且能够以各种方式来实践或实施。
如本文使用的“基板”是指任何基板或在基板上形成的材料表面,制造工艺中在所述基板上执行膜处理。例如,其上可执行处理的基板表面包含诸如以下材料:硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及诸如金属、金属氮化物、金属合金和其他导电材料的任何取决于应用的其他材料。基板包括但不限于半导体晶片。基板可暴露给预处理工艺以抛光、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。除了直接在基板本身表面上进行的膜处理之外,在本公开中,所公开的膜处理步骤中的任何一者还可在如下文更详细地公开的基板上形成的下层(under-layer)上执行,并且术语“基板表面”旨在包括上下文指示的此类下层。因此,例如,在膜/层或部分膜/层已经沉积在基板表面上时,新沉积的膜/层的暴露表面变为基板表面。
如本说明书和所附权利要求使用的,术语“前体”、“反应剂”、“反应气体”等等可互换地使用,以便指称能够与基板表面反应的任何气体物质。
图1示出处理腔室100的剖面,该处理腔室100包括气体分配组件120(也称注入器或注入器组件)和基座组件140。气体分配组件120是用于处理腔室中的任何类型气体输送设备。气体分配组件120包括面向基座组件140的前表面121。前表面121可以具有任何数量或种类的开口,以将气流向基座组件140输送。气体分配组件120还包括外缘124,在所示实施例中,外缘基本上是圆形的。
使用的特定类型的气体分配组件120可根据所使用的特定工艺而变化。本公开的实施例可与任何类型处理系统一起使用,其中,基座与气体分配组件之间的间隙被控制。尽管可以采用各种类型气体分配组件(例如,喷淋头),但是本公开的实施例对于具有多个基本平行的气体通道的空间气体分配组件可能是尤其有用的。如本说明书和所附权利要求使用的,术语“基本平行”表示在相同大致方向上延伸的气体通道的细长轴线。气体通道的平行度可能存在略微不完美。在二元反应中,多个基本平行的气体通道可以包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。从第一反应气体A通道、第二反应气体B通道和净化气体P通道流出的气体被引导向晶片的顶表面。一些气流在晶片表面上水平移动,并且通过净化气体P通道流出工艺区域。从气体分配组件的一个端部移动到另一端部的基板将依次暴露给每种工艺气体,从而在基板表面上形成某层。
在一些实施例中,气体分配组件120是由单个注入器单元制成的刚性固定主体。在一个或多个实施例中,气体分配组件120由多个单独扇区(例如,注入器单元122)组成,如图2所示。单件主体或多扇形式主体可与所描述的本公开的各种实施例一起使用。
基座组件140被定位在气体分配组件120下方。基座组件140包括顶表面141以及位于顶表面141中的至少一个凹部142。基座组件140还具有底表面143和边缘144。凹部142可为任何合适的形状和大小,这取决于要处理的基板60的形状和大小。在图1所示实施例中,凹部142具有平坦底部以支撑晶片底部;然而,凹部底部可以变化。在一些实施例中,凹部具有围绕凹部的外周边缘的阶梯区域,阶梯区域大小适于支撑该晶片的外周边缘。该晶片的外周边缘受到阶梯支撑的量可根据例如晶片厚度和已存在于晶片背面上的特征的存在而变化。
在一些实施例中,如图1所示,基座组件140的顶表面141中的凹部142大小适于使得凹部142中支撑的基板60具有与基座组件140的顶表面141基本共面的顶表面61。如本说明书和所附权利要求使用的,术语“基本共面”表示晶片的顶表面和基座组件的顶表面是共面的,偏差在±0.2mm内。在一些实施例中,顶表面是共面的,偏差在0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm或±0.05mm内。
图1的基座组件140包括支撑支柱160,所述支撑支柱能够提升、降低和旋转基座组件140。基座组件可以包括加热器或气体线路,或者位于支撑支柱160的中心内的电气部件。支撑支柱160可以是增大或减小基座组件140与气体分配组件120之间的间隙、将基座组件140移动到适当位置的主要手段。基座组件140还可包括微调致动器162,所述微调致动器可对基座组件140进行微调,以便在基座组件140与气体分配组件120之间形成预定间隙170。
在一些实施例中,间隙170的距离在约0.1mm至约5.0mm的范围内,或在约0.1mm至约3.0mm的范围内,或在约0.1mm至约2.0mm的范围内,或在约0.2mm至约1.8mm的范围,或在约0.3mm至约1.7mm的范围内,或在约0.4mm至约1.6mm的范围内,或在约0.5mm至约1.5mm的范围内,或在约0.6mm至约1.4mm的范围内,或在约0.7mm至约1.3mm的范围内,或在约0.8mm至约1.2mm的范围内,或在约0.9mm至约1.1mm的范围内,或约1mm。
附图所示处理腔室100是其中基座组件140可保持多个基板60的转盘型腔室。如图2所示,气体分配组件120可以包括多个单独注入器单元122,当晶片在注入器单元122的下方移动时,每个注入器单元能够将膜沉积在晶片上。两个饼形注入器单元122被示出为大致上定位在基座组件140的相对侧和其上方。此数量的注入器单元122仅仅出于例示目的示出。应当理解,可以包括更多或更少的注入器单元122。在一些实施例中,存在足够数量饼形注入器单元122以形成符合基座组件140的形状的形状。在一些实施例中,可独立地移动、去除和/或替换单独饼形注入器单元122中的每者,而不影响任何其他注入器单元122。例如,可升高一个节段以允许机器人进出基座组件140与气体分配组件120之间的区域,以便装载/卸载基板60。
具有多个气体注入器的处理腔室可以用于同时处理多个晶片,使得晶片经历相同工艺流程。例如,如图3所示,处理腔室100具有四个气体注入器组件和四个基板60。在处理开始时,基板60可定位在注入器组件30之间。将基座组件140旋转17 45°将导致在气体分配组件120之间的每个基板60移动到用于膜沉积的气体分配组件120,如在气体分配组件120下方的虚线圆圈所示。额外的45°旋转将会移动基板60远离注入器组件30。基板60和气体分配组件120的数量可为相同或不同的。在一些实施例中,所处理的晶片的数量与气体分配组件的数量相同。在一个或多个实施例中,所处理的晶片的数量是气体分配组件数量的分数或整数倍数。例如,如果存在四个气体分配组件,那么存在所处理的4x个晶片,其中x是大于或等于1的整数值。在一个示例性实施例中,气体分配组件120包括由气帘分开的八个处理区域,并且基座组件140可以保持六个晶片。
图3所示处理腔室100仅是一种代表性的可能配置,并且不应视为限制本公开的范围。在此,处理腔室100包括多个气体分配组件120。在所示实施例中,存在围绕处理腔室100均匀地间隔的四个气体分配组件(也称注入器组件30)。所示处理腔室100是八边形的;然而,本领域的技术人员将会理解,这是一种可能形状,并且不应视为限制本公开的范围。所示气体分配组件120是梯形的,但是也可为单个圆形部件或由多个饼形节段组成,如图2所示那样。
图3所示实施例包括负载锁定腔室180或如缓冲站的辅助腔室。这个腔室180被连接到处理腔室100一侧,以便允许例如基板(也称基板60)从腔室100装载/卸载。晶片机器人可以定位在腔室180中以将基板移动到基座上。
转盘(例如,基座组件140)的旋转可以是连续的或间歇(间断)的。在连续处理中,晶片一直旋转,使得它们依次被暴露给每一个注入器。在间断处理中,晶片可移动到注入器区域并且停止,并且接着会移动到注入器之间的区域84并且停止。例如,转盘可旋转以使得晶片从注入器间区域移动过注入器(或停止于与注入器相邻的位置),并且移动到下一注入器间区域上,在这个区域中,转盘可以再次暂停。暂停在注入器之间可以为每一次层沉积之间的附加处理步骤(例如,暴露给等离子体)提供时间。
图4示出气体分配组件220的扇区或部分,该扇区或部分可称为注入器单元122。注入器单元122可单独使用或结合其他注入器单元使用。例如,如图5所示,图4的注入器单元122中的四个被组合以形成单个气体分配组件220。(为了清楚起见,并未示出分开四个注入器单元的线。)虽然除了净化气体端口155和真空端口145之外,图4的注入器单元122具有第一反应气体端口125和第二气体端口135两者,但是注入器单元122并不需要所有这些部件。
参考图4和图5两者,根据一个或多个实施例的气体分配组件220可以包括多个扇区(或注入器单元122),其中每个扇区是相同或不同的。气体分配组件220定位在处理腔室内,并且在气体分配组件220的前表面121中包括多个细长气体端口125、135、145。多个细长气体端口125、135、145、155从与气体分配组件220的内周边缘123相邻的区域向与气体分配组件220的外周边缘124相邻的区域延伸。所示多个气体端口包括第一反应气体端口125、第二气体端口135、环绕第一反应气体端口和第二反应气体端口中的每者的真空端口145,以及净化气体端口155。
然而,参考图4或图5所示实施例,当说明端口从至少大致内周区域延伸到至少大致外周区域时,端口可能不仅仅是从内部区域向外部区域径向延伸。当真空端口145包围反应气体端口125和反应气体端口135时,端口可以切向延伸。在图4和图5所示实施例中,楔形反应气体端口125、135的所有边缘被真空端口145包围,包括与内周区域和外周区域相邻的边缘。
参考图4,当基板沿着路径127移动时,基板表面的每个部分被暴露给各种反应气体。为了遵循路径127,基板将暴露给或“看到”清洁气体端口155、真空端口145、第一反应气体端口125、真空端口145、净化气体端口155、真空端口145、第二气体端口135和真空端口145。因此,在图4所示路径127的末端,基板已暴露给第一反应气体125和第二反应气体135以形成层。所示注入器单元122形成四分之一圆圈,但是可以是更大或更小的。图5所示气体分配组件220可以被认为是串联连接的图4的注入器单元122中的四个的组合。
图4的注入器单元122示出将反应气体分开的气帘150。术语“气帘”用于描述将反应气体分开以免混合的气流或真空的任何组合。图4所示气帘150包括在第一反应气体端口125附近的真空端口145的部分、在中间的净化气体端口155和在第二气体端口135附近的真空端口145的部分。气流和真空的这种组合用于防止第一反应气体和第二反应气体的气相反应或将该气相反应最小化。
参考图5,来自气体分配组件220的气流和真空的组合形成对多个处理区域250的分隔。处理区域粗略限定在单独气体端口125、135的周围,具有在250之间的气帘150。图5所示实施例形成八个单独处理区域250,在它们之间具有八个单独气帘150。处理腔室可以具有至少两个处理区域。在一些实施例中,存在至少三个、四个、五个、六个、七个、八个、九个、10个、11个或12个处理区域。
在处理过程中,基板可在任何给定时间暴露给多于一个处理区域250。然而,暴露于不同处理区域的部分将会具有将两者分开的气帘。例如,如果基板前缘进入包括第二气体端口135的处理区域,基板中间部分将在气帘150下方,并且基板后缘将在包括第一反应气体端口125的处理区域中。
工厂接口280,可例如为负载锁定腔室,示出为被连接到处理腔室100。基板60示出为被叠加在气体分配组件220上方以提供参考系。基板60可以通常放置在基座组件上以保持于气体分配板120的前表面121附近。基板60经由工厂接口280装载到处理腔室100中,到基板支撑件或基座组件上(参见图3)。基板60可以被示出为定位在处理区域内,因为基板位于与第一反应气体端口125相邻并且在两个气帘150a、150b之间的位置。沿着路径127旋转基板60将使基板绕着处理腔室100逆时针地移动。因此,基板60将暴露于第一处理区域250a至第八处理区域250h,包括在它们之间的所有处理区域。
本公开的实施例涉及包括具有多个处理区域250a—250h的处理腔室100的处理方法,其中每个处理区域由气帘150与相邻区域分开。例如,处理腔室在图5中示出。处理腔室内的气帘和处理区域的数量可以是任何合适数量,这取决于气流布置。图5所示实施例具有八个气帘150和八个处理区域250a—250h。
多个基板60定位在基板支撑件上,例如图1和图2所示基座组件140。多个基板60围绕处理区域旋转进行处理。一般来说,在整个处理(包括反应气体未流入腔室中时的时段)中,气帘150都是接合的(气体流动和形成真空)。
晶片温度映射可以基于每周期的生长推断。当前成批处理腔室凹坑由于在中心处的较深谷部而在中心3"直径处具有较薄SiN/SAC膜。在12mm宽的外径密封带处的完全晶片接触表明在晶片外径处具有较厚的膜。这变换为较冷晶片中心和较厚晶片边缘。在以不同程序定位的升降杆密封带处已观察到类似现象。热学建模已经从热导率变化表现出类似趋势。谷部深度和该晶片的完全接触区域可以用作突节以缓解或增强针对不同应用的温度轮廓,如电介质、金属或结构晶片。在结构晶片上,较厚的膜可出现在装载区域附近。本公开的一些实施例通过在观察到热点的策略地点处使用如铝或石英的热导率较低的材料来有利地缓解温度不均匀性。
一些实施例通过提供具有内径和外径完全接触的轮廓凹坑设计来有利地提供减小的厚度变化。在一些实施例中,凹坑设计有利地是在凹坑外径上的深槽。一个或多个实施例有利地提供了氧化铝环,以便降低晶片边缘温度。
一个或多个实施例有利地提供了在SiC-石墨基板顶部上提供薄饼形蒙皮,以便保持平坦和平行,从而实现性能和寿命。在一些实施例中,混合基座提供可容易替换的60°饼体,以便实现快速且廉价的回收。在一些实施例中,饼体由包括铝、氮化铝(AlN)、碳化硅(SiC)和可用于对来自原位等离子体的NF3、氯和氧(O2)/臭氧(O3)攻击有惰性的材料的材料制成。一些实施例提供可用于饼体以增加对腐蚀性化学品耐性的二次涂层(HPM、Durablock、Duracoat、氧化钇、AsMy等等)。在一个或多个实施例中,采用多种基材(例如,纯石墨、SiC涂覆的石墨、不锈钢、铝)。在一些实施例中,基部可由不锈钢/铝/石墨栓接/焊接框架制成。在一些实施例中,饼形蒙皮由平坦SiC-石墨制成以实现更快速的清洁周期。在一些实施例中,饼形蒙皮可以利用卡紧能力和净化能力将真空或其他惰性气体输送到晶片凹坑。在一个或多个实施例中,晶片热均匀性和膜厚度均匀性随着可放置在凹坑之间的区域上的饼形石英蒙皮而提高。在一些实施例中,氧化铝或石英圈环可以放置在凹坑内,以便提高热均匀性和膜厚度均匀性。
图6示出根据本公开的一个或多个实施例的基座组件140的实施例。所示基座组件140被配置为在处理过程中承载六个晶片。基座组件140可结合有晶片卡紧能力和/或接地能力,以便进行等离子体工艺。
已观察到整体的铝基座在400℃(这是材料基部的退火温度)时下垂。铝基座基部可以支撑在中心处,而在外径处无支撑。因热不均匀性构成的应力、基座本身重量和/或旋转可以导致随着时间推移发生下垂。因此,一些实施例结合有石墨基底以最小化或消除下垂并最小化基座上的应力。
图6所示基座组件140实施例包括基座基部310、可任选的凹坑盖件330、饼形蒙皮350和饼形锚件370。基座基部310可由任何合适材料制成,包括但不限于石墨。基座基部310的厚度可以在约10mm至约50mm的范围内,或在约20mm至约40mm的范围内。在一些实施例中,基座基部310为约30mm厚。基座基部310的厚度被测量为底表面312和顶表面314之间的距离。
饼形蒙皮350可以覆盖基座基部310的一部分,使得可布置多个饼形蒙皮350来覆盖基座基部310。在所示实施例中,布置有六个饼形蒙皮350以形成覆盖基座基部310的圆形部件。饼形蒙皮350的角度可根据例如用于覆盖基部的蒙皮的数量而变化。例如,图6中的每个饼形蒙皮350具有约60°的角度。在一些实施例中,存在在约2至约24个的范围内的饼形蒙皮350,或在约3至约12个的范围内的饼形蒙皮,或在约4至约8个的范围内饼形蒙皮。在一些实施例中,存在3、4或6个饼形蒙皮350。
饼形蒙皮可由任何合适材料制成。合适材料可以是耐腐蚀的,包括但不限于铝、氮化铝、氧化铝、氮化物或氧化物涂覆的材料。
从底表面352到顶表面354测量的蒙皮350的厚度通常相对于基部310的厚度较小。在一些实施例中,饼形蒙皮350在约2mm至约12mm厚的范围内,或在约3mm至约10mm厚的范围内。在一些实施例中,饼形蒙皮350为约6mm厚。在一个或多个实施例中,饼形蒙皮350具有大于约3mm、4mm、5mm、6mm、7mm或8mm的厚度。基座组件140的厚度可被测量为基座基部310和饼形蒙皮350的组合厚度。一些实施例的基座组件的厚度在约20mm至约60mm的范围内,或在约25mm至约50mm的范围内,或在约30mm至约40mm的范围内,或在约33mm至约37mm的范围内。
饼形蒙皮350可以包括大小适于在处理期间支撑晶片的凹坑360。一些实施例的凹坑360具有在约2mm至约12mm的范围内、或在约3mm至约11mm的范围内、或在4mm至约10mm的范围内或在约6mm至约8mm的范围内的深度。在一些实施例中,凹坑360为约8mm深。
饼形蒙皮350可通过摩擦或一些合适机械连接而保持在适当位置。在一些实施例中,如图6和图7所示,饼形蒙皮350使用具有饼形锚销372的饼形锚件370而保持在适当位置。锚销372可以是饼形锚件370中的与蒙皮350中的饼形凹部356协作地相互作用的突起。图7所示饼形锚件370具有六个锚销372,以便同时保持六个蒙皮350。虽然所示实施例在锚件上具有突起并且在蒙皮中具有凹部,但是本领域的技术人员将会理解,这些仅是示例性的,并且不应被认为是限制本公开的范围。在一些实施例中,锚件有与蒙皮上(例如,在蒙皮底部上)的突起协作地相互作用的凹部。每个饼形蒙皮350的突起的数量可以变化。
在图6所示实施例中,仅有一个从饼形锚件370延伸的突起。在一些实施例中,存在多于一个从饼形锚件370延伸的突起。在一个或多个实施例中,存在从饼形锚件延伸的至少一个突起和在基部310的外周边缘附近从基部310延伸的至少一个突起,使得每个饼形蒙皮350被在内周边缘附近的至少一个突起和在外周边缘附近的至少一个突起保持于适当位置。图9示出在基部310的外周边缘315附近的对准销317。
饼形锚件370的形状可以变化。在图6和图7所示实施例中,饼形锚件370是圆形的,并且具有与蒙皮350的内周边缘351的形状协作地相互作用或匹配的形状。图9所示实施例具有六边形的饼形锚件370,并且蒙皮350具有平坦的内周边缘351。
图8示出具有多个饼形蒙皮350的基座组件140的实施例,该多个饼形蒙皮350具有带有凸缘353的圆角内周边缘351。夹板378可连接到基座主体310以通过按压在蒙皮350的凸缘353上来将饼形蒙皮350夹紧于适当位置。夹板378可螺接到销(例如,不锈钢销或套筒),该销延伸进基座主体310中。
在使用中,处理腔室中的温度将会导致蒙皮350和凹坑盖件330的膨胀。图10示出在基座基部310的凹部中具有凹坑盖件330的基座基部310的局部图。凹坑盖件330具有与升降杆312相邻定位的三个狭槽332,以便允许升降杆312穿过狭槽332。狭槽在膨胀方向上是细长的,使得在凹坑盖件330的加热和膨胀时,狭槽332不会妨碍升降杆312的移动。
如果存在凹坑,那么凹坑盖件330可以用于填充基部310中的凹坑。例如,现有基座组件可以具有被形成在基部中的多个凹坑,并且凹坑盖件330可以提供平坦表面用于支撑饼形蒙皮350。
在一些实施例中,如图11所示,基座组件140包括三个饼形蒙皮350。饼形蒙皮350中的每者具有约120°的角度。图11中的较少数量饼形蒙皮可有用于通过比图9的接缝数量更少的接缝来将向基部310的渗透的气体最小化。每个饼形蒙皮350可与在饼形蒙皮350的外周边缘或内周边缘附近的至少两个对准销317相互作用。
图12示出结合到定位在基部310中的径向狭槽392内的陶瓷套筒391中的升降杆312。所示套管391具有带有臂部393的T形主体,臂部可以被夹在基部310和饼形蒙皮350之间。
在一些实施例中,如图13所示,基座组件140在止挡表面中没有凹坑。图13示出在基座组件顶部的单个部件;然而,本领域的技术人员将会理解,顶部可由如本文描述的多个蒙皮350制成。仅为了易于说明和描述,示出单个部件基座,并且不应将其作为限制本公开的范围。
图13所示凹坑中的每者可以具有不同特性。例如,凹坑P1、P2和P3具有5.5mm外径凸缘396、平坦内部397、以及中心卡盘398。凹坑P4和P5具有12.5mm外径凸缘396、平坦内部397、以及10mm偏移卡盘398。凹坑P6具有12.5mm外径凸缘396、平坦内部397、以及25mm偏移卡盘398。卡盘398形成与真空源的流体连接,并且可选择地形成与净化气体源的流体连接。真空源可以用于卡紧晶片,使得在处理期间几乎没有或没有移动。可任选的净化气体源可以用于背面净化或通过施加背面压力来释放所卡紧的晶片。
图14示出具有带有圈环399的凸缘396的基座凹部。圈环399可由任何合适材料制成,包括但不限于氧化铝、石英、石墨、碳化硅和SiC-石墨。使用边缘圈环399可允许通过使用不同材料、厚度、接触面积、粗糙度等等来调谐边缘圈环的厚度和/或性质。边缘圈环399可以提供可容易替换或维护的部件。
图15示出另一实施例,其中基座基部310具有多个岛部410,该多个岛部将用于在处理期间支撑晶片。岛部可以有任何合适高度。在一些实施例中,岛部410具有在约2mm至约5mm的范围内或约3mm的高度。
多个蒙皮420被定位在岛部410之间并且包围岛部。蒙皮420具有内周边缘422和外周边缘424以及厚度。岛部420中的至少一个切口425大小适于包围岛部410。每个蒙皮具有在约2mm至约10mm的范围内的厚度。在一些实施例中,蒙皮420的厚度为约3mm。在一个或多个实施例中,蒙皮420具有与岛部410的高度基本上相同的厚度。在一些实施例中,蒙皮420的厚度大于岛部410的高度,使得当蒙皮420被定位成包围岛部410时形成凹部。在一些实施例中,蒙皮420的厚度比岛部410的高度大与正被处理的晶片的厚度基本上相同的量。
图17示出将岛部410与蒙皮420和圈环399组合的基座组件140的另一实施例。在一些实施例中,基座基部310是石墨,权环399是石英或氧化铝,并且蒙皮420是石英。
本发明的发明人已经发现,研究转盘基座上的晶片的热图或膜厚度图可以给出能补偿热均匀性或膜厚度均匀性的清晰热学特征(热或冷)区域。当前凹坑500设计(POR)(如图18A和18B所示)具有外周边缘510,外周边缘具有三个区520、530、540。图18B示出具有2密耳-3密耳-4密耳(中心)-3密耳-2密耳谷部的三个谷部高度的凹部的局部剖面,凹部被填充有数百个小2.5mm直径台面550。可以看到大于1℃的大的热学波动(在间隔15mm的台面的同心圆晶之间)以及>1℃晶片边缘温度升高。在径向上,从凹坑外径到凹坑中心存在约15.5℃的总下降值。这个热降造成若干倍的膜厚度降。
图19A所示凹坑500的实施例具有反向谷部来提高膜的均匀性和适形性。当前凹坑具有跨直径的2密耳-3密耳-4密耳(中心)-3密耳-2密耳,其中台面顶部与外径密封凸缘为相同平面。反向谷部具有4密耳-3密耳-2密耳(中心)-3密耳-4密耳或3密耳-3密耳-2密耳(中心)-3密耳-3密耳。谷部深度每增加1密耳,晶片上的温度就提高约1℃。图19B示出作为晶片在凹坑中的位置的函数的膜厚度的曲线图,其中在凹坑中心处为零。可以看出,反向谷部的膜厚度比POR凹坑更均匀。
在另一实施例中,薄(2-3mm厚)陶瓷(氧化铝、石英)L形(剖面)环形插件围绕凹坑外径放置在凹坑中的严格地加工的圆形通道中。由于氧化铝或石英的较低的热导率,晶片热均匀性在氧化铝的情况下从15.5℃下降到9.1℃,并且在石英圈环的情况下从15.5℃下降到到4.4℃。一些实施例并不包括陶瓷插件,但是具有流过该圆形通道的惰性气体。因为氮气具有低热导率,所以提高了均匀性。然而,可管理气流以防止捕获工艺气体和发生寄生CVD反应。
图20所示实施例包括轮廓凹坑500设计,其中通过挖掘宽度和长度足以均衡晶片上的温度的6密耳深沟槽560来缓解晶片边缘上的热点/弧(通常在4点钟和8点钟位置处)。由于沟槽560,热导的碳化硅与晶片没有直接接触,并且因此温度下降。所示实施例具有将两个沟槽560区域平分的平坦节段562。
另一实施例(如图21所示)具有一个平坦凹坑500设计。热学研究表明,与晶片的更大接触面积实现以更快均衡时间达稳定状态并且实现更佳通过时间。提供了完全接触的平坦凹坑设计没有任何台面。凹坑500包括1毫米宽×6密耳深的几个交叉凹槽570,正好足够来将晶片向下真空卡紧。在一些实施例中,交叉凹槽具有在约0.5mm至约2mm的范围内的宽度和在约2密耳至约10密耳的范围内的深度。已观察到,未观察到热学波动。
另一实施例(如图22所示)具有略微更宽的凹坑直径以缓解晶片边缘碎屑问题并且降低在晶片周边周围的晶片边缘温度。在所示实施例中,凹坑顶部具有比凹坑底部大约1mm的直径,从而导致约15°的角度θ。在一些实施例中,由凹坑顶部和凹坑底部的直径差值形成的角度在约5°至约30°的范围内,或在约10°至约20°的范围内。
图23A和图23B示出在更高基座旋转速度下具有改进的卡紧力的凹坑500的另一实施例。更多真空凹槽可形成为具有蜂窝凹坑设计,具有约10mm的致密地填充的大的台面。台面可以具有在约5mm至约15mm的范围内的直径。根据热学研究,已经发现,与晶片的更大接触面积实现以更快均衡时间达稳定状态,从而实现更佳通过时间。在台面之间的谷部深度可保持为约1密耳、2密耳、3密耳或4密耳。在不受任何操作理论的束缚的情况下,认为,蜂窝设计在x-y方向上具有与圆形凹坑凸缘结合的致密地填充的台面,由于可加工性问题,可能存在最小尺寸保持为>2mm(>1.5mm、>2.5mm或>3mm)的一些局部台面。另外,在凹坑内部凸缘与最近台面之间保持2mm(1mm至3mm)宽间距。这个设计产生<0.2℃的非常低的热学波动和0.4℃的边缘温度升高。图23B示出作为距凹坑中心的位置的函数的温度的图。
根据一个或多个实施例,基板在层形成之前和/或之后经受处理。这种处理可以在相同腔室中或在一个或多个单独处理腔室中执行。在一些实施例中,基板从第一腔室移动到单独第二腔室,以便进行进一步的处理。基板可以从第一腔室直接移动到单独处理腔室,或者基板可以从第一腔室移动到一个或多个传送腔室,并随后移动到单独处理腔室。因此,处理装置可以包括与传送站相连通的多个腔室。这种装置可被称为“组合工具(clustertool)”或“组合系统(clustered system)”等等。
一般来说,组合工具是包括多个腔室的模块化的系统,这些腔室执行各种功能,包括基板定中心和取向、退火、退火、沉积和/或蚀刻。根据一个或多个实施例,一种组合工具包括至少一个第一腔室以及中心传送腔室。中心传送腔室可以容纳可使基板在各个处理腔室和负载锁定腔室之间穿梭的机器人。传送腔室通常保持处于真空条件,并且提供用于使基板在各个腔室间穿梭和/或移至位于组合工具前端处的负载锁定腔室的中间平台。可适用于本公开的两个所熟知的组合工具是两者均由加利福尼亚圣克拉拉市应用材料公司(Applied Materials,Inc.,of Santa Clara,Calif.)提供。然而,腔室准确的布置和组合可以出于执行如本文所述的工艺的特定步骤的目的做出更改。其他可用处理腔室包括但不限于圆形层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预先清洁、化学清洁、如RTP的热处理、等离子体氮化、退火、取向、羟化和其他基板处理。通过在组合工具上的腔室中实行工艺,可避免基板表面在沉积后续的膜前被大气杂质所污染,而不氧化。
根据一个或多个实施例,基板持续处于真空或“负载锁定”条件,并且当从一个腔室移动到下一腔室时不暴露于环境空气。传送腔室因此在真空下,并且在真空压力下会被“抽气”。惰性气体可存在于处理腔室或传送腔室中。在一些实施例中,惰性气体用作净化气体以将一些或全部的反应剂去除。根据一个或多个实施例,净化气体在沉积腔室出口处注入以防止反应剂从沉积腔室移动到传送腔室和/或附加处理腔室。因此,惰性气流在腔室出口处形成气帘。
基板可以在单个基板沉积腔室中进行处理,在单个基板沉积腔室中,单个基板在另一基板进行处理前装载、处理和卸载。基板也可以类似于传送机系统的连续方式进行处理,其中多个基板被单独装载到腔室的第一部分中,移动通过腔室,并且从腔室的第二部分卸载。腔室和相关联的传送机系统的形状可以形成笔直路径或弯曲路径。另外,处理腔室可为转盘,其中多个基板围绕中心轴线移动并且在整个转盘路径中暴露于沉积、蚀刻、退火、清洁等等工艺下。
在处理过程中,基板可加热或冷却。这样的加热或冷却可以通过任何合适手段完成,包括但不限于改变基板支撑件的温度以及使加热或冷却的气体向基板表面流动。在一些实施例中,基板支撑件包括加热器/冷却器,加热器/冷却器可控制来以传导的方式改变基板温度。在一个或多个实施例中,所采用的气体(反应气体或惰性气体)被加热或冷却,以使基板温度局部改变。在一些实施例中,加热器/冷却器定位在腔室内、邻近基板表面,以便以对流的方式改变基板温度。
基板还可以是在处理过程中静止或旋转的。旋转基板可连续地或以分立步骤旋转。例如,基板可以在整个工艺中旋转,或者基板可以在暴露于不同的反应或净化气体之间小程度地旋转。在处理过程中旋转基板(连续或逐步地)可有助于通过最小化例如气流几何形状中的局部波动的效应,而来产生更均匀的沉积或蚀刻。
在原子层沉积腔室中,基板在空间上或在时间上分开的工艺中可暴露于第一前体和第二前体。时间ALD是其中第一前体流入腔室以与表面反应的传统工艺。第一前体在流动第二前体前从腔室中净化。在空间ALD中,第一前体和第二前体同时流到腔室,但是在空间上分开,使得在流之间存在防止前体混合的区域。在空间ALD中,基板相对于气体分配板而移动,反之亦然。
在实施例中,在方法的部分的一个或多个发生在一个腔室中时,工艺可以是空间ALD工艺。虽然上述化学物质中的一种或多种可能无法相容(即,在基板表面上之外造成反应和/或沉积在腔室上),空间分开确保试剂不暴露于气相中的每者。例如,时间ALD涉及净化沉积腔室。然而,在实践中,有时无法在流入额外试剂前将多余试剂从腔室净化出去。因此,腔室中的任何残留试剂都可会发生反应。通过空间分离,无需净化多余试剂,并且限制交叉污染。此外,可使用大量时间来净化腔室,并且因此可通过消除净化步骤来增加产量。
在整个本说明书中提到“一个实施例”、“某些实施例”、“一个或多个实施例”或“实施例”表示结合实施例而描述的特定特征、结构、材料或特性包括在本公开的至少一个实施例中。因此,在整个本说明书中的各种地方处出现短语诸如“在一个或多个实施例中”、“在某些实施例中”、“在一个实施例中”或“在实施例中”不一定指本公开的相同实施例。此外,特定特征、结构、材料或特性可以任何合适方式结合在一个或多个实施例中。
虽然在本文中,本公开已参考特定实施例进行描述,但是应当理解,这些实施例仅仅例示本公开的原理和应用。本领域的技术人员将会清楚,在不背离本公开的精神和范围的情况下,可对本公开的方法和装置做出各种的修改和变化。因此,预期的是,本公开包括了在所附权利要求和它们的等效物的范围内的修改和变化。

Claims (20)

1.一种基座组件,所述基座组件包括:
基座基部;
多个饼形蒙皮,在所述基座基部上;以及
饼形锚件,在所述基座基部的中心,所述饼形锚件被配置为与所述饼形蒙皮协作地相互作用以将所述饼形蒙皮保持于适当位置。
2.如权利要求1所述的基座组件,其特征在于,所述基座基部包括多个凹部。
3.如权利要求2所述的基座组件,其还包括在所述基座基部的所述凹部内的多个凹坑盖件。
4.如权利要求3所述的基座组件,其特征在于,所述凹坑盖件具有与所述凹部的深度基本上相同的厚度。
5.如权利要求1所述的基座组件,其特征在于,所述饼形锚件包括至少一个突起,并且所述饼形蒙皮具有至少一个凹部,所述至少一个凹部与所述饼形蒙皮的内周边缘相邻并且大小适于与所述饼形锚件上的所述至少一个突起协作地相互作用。
6.如权利要求5所述的基座组件,其特征在于,所述基座基部包括与外周边缘相邻的至少一个突起,并且所述饼形蒙皮具有至少一个凹部,所述至少一个凹部与所述饼形蒙皮的外周边缘相邻并且大小适于与所述基座基部上的所述至少一个突起协作地相互作用。
7.如权利要求1所述的基座组件,其特征在于,所述饼形蒙皮包括与内周边缘相邻的突起和与外周边缘相邻的突起。
8.如权利要求7所述的基座组件,其特征在于,所述基座基部具有与外周边缘相邻的凹部,并且所述锚件包括被定位为而且大小适于与所述饼形蒙皮上的所述突起协作地相互作用的凹部。
9.如权利要求1所述的基座组件,其还包括夹板,所述夹板位于所述锚件和所述蒙皮的内周边缘上方。
10.如权利要求3所述的基座组件,其特征在于,所述凹坑盖件包括多个细长孔洞,以允许升降杆从中穿过。
11.如权利要求10所述的基座组件,其特征在于,所述细长孔洞在沿所述凹坑盖件的扩展轴线的方向上伸长。
12.如权利要求1所述的基座组件,其特征在于,所述饼形蒙皮还包括凹部。
13.如权利要求12所述的基座组件,其特征在于,所述凹部具有与将定位在所述凹部内的基板基本上相同的深度。
14.如权利要求12所述的基座组件,其特征在于,所述凹部还包括在所述凹部的外周边缘处的凸缘。
15.如权利要求14所述的基座组件,其还包括定位在所述凹部的所述凸缘中的圈环。
16.如权利要求1所述的基座组件,其特征在于,所述基座基部由包含石墨的材料制成。
17.如权利要求16所述的基座组件,其特征在于,所述基座基部具有范围为约20mm至约40mm的厚度。
18.如权利要求1所述的基座组件,其特征在于,所述饼形蒙皮由包含陶瓷的材料制成。
19.一种基座组件,所述基座组件包括:
基座基部;
多个岛部,延伸到所述基座基部的上方,所述岛部大小适于在处理期间支撑基板;以及
多个蒙皮,被定位为环绕所述多个岛部,所述多个蒙皮中的每者均由陶瓷材料制成。
20.一种基座组件,所述基座组件包括:
基座基部,包括多个凹部,在所述凹部内具有凹坑盖件,所述凹坑盖件具有与所述凹部的所述深度基本上相同的厚度;
多个饼形蒙皮,在所述基座基部上,所述饼形蒙皮中的每者具有与所述饼形蒙皮的内周边缘相邻的至少一个凹部或突起;
饼形锚件,在所述基座基部的中心,所述饼形锚件被配置为与所述饼形蒙皮协作地相互作用以将所述饼形蒙皮保持于适当位置,所述饼形锚件包括至少一个突起或至少一个凹部,所述至少一个突起大小适于与所述饼形蒙皮上的所述至少一个凹部协作地相互作用,所述至少一个凹部大小适于与所述饼形蒙皮上的所述至少一个突起协作地相互作用;以及
夹板,位于所述锚件和所述蒙皮的内周边缘上方。
CN201710423175.7A 2016-06-07 2017-06-07 用于晶片均匀性的轮廓凹坑和混合基座 Active CN107481966B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662347062P 2016-06-07 2016-06-07
US62/347,062 2016-06-07

Publications (2)

Publication Number Publication Date
CN107481966A true CN107481966A (zh) 2017-12-15
CN107481966B CN107481966B (zh) 2023-05-16

Family

ID=60483489

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710423175.7A Active CN107481966B (zh) 2016-06-07 2017-06-07 用于晶片均匀性的轮廓凹坑和混合基座

Country Status (4)

Country Link
US (3) US10685864B2 (zh)
JP (1) JP6976725B2 (zh)
KR (1) KR102411077B1 (zh)
CN (1) CN107481966B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016115614A1 (de) * 2016-08-23 2018-03-01 Aixtron Se Suszeptor für einen CVD-Reaktor
US10655224B2 (en) * 2016-12-20 2020-05-19 Lam Research Corporation Conical wafer centering and holding device for semiconductor processing
JP7144219B2 (ja) * 2018-03-22 2022-09-29 芝浦メカトロニクス株式会社 真空処理装置及びトレイ
DE102018114208A1 (de) * 2018-06-14 2019-12-19 Aixtron Se Abdeckplatte zur Abdeckung der zur Prozesskammer weisenden Seite eines Suszeptors einer Vorrichtung zum Abscheiden von SiC-Schichten
CN111385917B (zh) * 2018-12-29 2022-07-15 中微半导体设备(上海)股份有限公司 一种用于组装esc的多平面多路可调节温度的加热器
DE102019105913A1 (de) * 2019-03-08 2020-09-10 Aixtron Se Suszeptoranordnung eines CVD-Reaktors
KR20210101983A (ko) * 2020-02-11 2021-08-19 삼성전자주식회사 웨이퍼 검사 장치 및 이를 이용한 반도체 소자 제조 방법
US11826873B2 (en) 2020-08-24 2023-11-28 Applied Materials, Inc. Apparatus and methods for susceptor deposition material removal
US11581213B2 (en) * 2020-09-23 2023-02-14 Applied Materials, Inc. Susceptor wafer chucks for bowed wafers
TWI772005B (zh) * 2021-04-28 2022-07-21 錼創顯示科技股份有限公司 半導體晶圓承載結構及有機金屬化學氣相沉積裝置
US20240186121A1 (en) * 2022-12-06 2024-06-06 Applied Materials, Inc. Thermal choke plate
CN117253835B (zh) * 2023-11-20 2024-02-20 北京锐洁机器人科技有限公司 一种装载晶圆的石墨圆盘定位机构

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09158334A (ja) * 1995-12-08 1997-06-17 Yutaka Kogyo Kk アンカー及びアンカーの取付方法及び壁面の外皮取付方法
JP2003142412A (ja) * 2001-10-31 2003-05-16 Applied Materials Inc ウェハ支持具及びこれを用いた半導体製造装置
JP2004124617A (ja) * 2002-10-07 2004-04-22 Oriental Construction Co Ltd 既設支承装置の支承交換工法および支承装置
JP2005030792A (ja) * 2003-07-08 2005-02-03 Okazaki Mfg Co Ltd 測温用ウエハー
KR20120011232A (ko) * 2010-07-28 2012-02-07 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
JP2015046536A (ja) * 2013-08-29 2015-03-12 株式会社ブリヂストン サセプタ
KR20150120400A (ko) * 2013-02-20 2015-10-27 어플라이드 머티어리얼스, 인코포레이티드 캐러셀 원자 층 증착을 위한 장치 및 방법들
CN105051866A (zh) * 2013-03-15 2015-11-11 应用材料公司 用于旋转压板式ald腔室的等离子体源

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6318618A (ja) * 1986-07-11 1988-01-26 Toshiba Ceramics Co Ltd サセプタ−用カバ−
JPH0834187B2 (ja) * 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2006173560A (ja) * 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
US7462246B2 (en) * 2005-04-15 2008-12-09 Memc Electronic Materials, Inc. Modified susceptor for barrel reactor
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP2008159097A (ja) * 2006-12-20 2008-07-10 Hitachi Ltd 基板ホルダ及び基板のエッチング方法及び磁気記録媒体の製造方法
WO2011044412A1 (en) * 2009-10-09 2011-04-14 Cree, Inc. Multi-rotation epitaxial growth apparatus and reactors incorporating same
JP2011146504A (ja) 2010-01-14 2011-07-28 Sumco Corp 気相成長装置用サセプタ及び気相成長装置
US8562746B2 (en) * 2010-12-15 2013-10-22 Veeco Instruments Inc. Sectional wafer carrier
KR101685150B1 (ko) * 2011-01-14 2016-12-09 주식회사 원익아이피에스 박막 증착 장치 및 이를 포함한 기판 처리 시스템
US20120234229A1 (en) * 2011-03-16 2012-09-20 Applied Materials, Inc. Substrate support assembly for thin film deposition systems
JP5064595B1 (ja) * 2011-10-26 2012-10-31 シャープ株式会社 気相成長装置
WO2015030167A1 (ja) * 2013-08-29 2015-03-05 株式会社ブリヂストン サセプタ
TWI650832B (zh) * 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09158334A (ja) * 1995-12-08 1997-06-17 Yutaka Kogyo Kk アンカー及びアンカーの取付方法及び壁面の外皮取付方法
JP2003142412A (ja) * 2001-10-31 2003-05-16 Applied Materials Inc ウェハ支持具及びこれを用いた半導体製造装置
JP2004124617A (ja) * 2002-10-07 2004-04-22 Oriental Construction Co Ltd 既設支承装置の支承交換工法および支承装置
JP2005030792A (ja) * 2003-07-08 2005-02-03 Okazaki Mfg Co Ltd 測温用ウエハー
KR20120011232A (ko) * 2010-07-28 2012-02-07 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
CN103026465A (zh) * 2010-07-28 2013-04-03 国际电气高丽株式会社 基板衬托器及具有其的沉积装置
US20130118407A1 (en) * 2010-07-28 2013-05-16 Kookje Electric Korea Co., Ltd. Substrate susceptor and deposition apparatus having same
KR20150120400A (ko) * 2013-02-20 2015-10-27 어플라이드 머티어리얼스, 인코포레이티드 캐러셀 원자 층 증착을 위한 장치 및 방법들
US20150376786A1 (en) * 2013-02-20 2015-12-31 Joseph Yudovsky Apparatus And Methods For Carousel Atomic Layer Deposition
JP2016510945A (ja) * 2013-02-20 2016-04-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カルーセル原子層堆積のための装置および方法
CN105051866A (zh) * 2013-03-15 2015-11-11 应用材料公司 用于旋转压板式ald腔室的等离子体源
JP2015046536A (ja) * 2013-08-29 2015-03-12 株式会社ブリヂストン サセプタ

Also Published As

Publication number Publication date
US10685864B2 (en) 2020-06-16
US20170352575A1 (en) 2017-12-07
US20200312702A1 (en) 2020-10-01
KR20170138359A (ko) 2017-12-15
US20230116396A1 (en) 2023-04-13
US11810810B2 (en) 2023-11-07
JP6976725B2 (ja) 2021-12-08
CN107481966B (zh) 2023-05-16
US11557501B2 (en) 2023-01-17
JP2018022880A (ja) 2018-02-08
KR102411077B1 (ko) 2022-06-17

Similar Documents

Publication Publication Date Title
CN107481966A (zh) 用于晶片均匀性的轮廓凹坑和混合基座
TWI718226B (zh) 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統
KR102417931B1 (ko) 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US9922819B2 (en) Wafer rotation in a semiconductor chamber
KR102557061B1 (ko) 다중-구역 반응기, 반응기를 포함하는 시스템, 및 이를 이용하는 방법
US10600624B2 (en) System and method for substrate processing chambers
US10959294B2 (en) High temperature heater for processing chamber
TWI741093B (zh) 時間性原子層沉積處理腔室
WO2017074700A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
TW202132616A (zh) 用於原子層沉積前驅物運送的噴淋頭
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
US20170053781A1 (en) Multi-Station Chamber Having Symmetric Grounding Plate
KR20180010331A (ko) 배치 프로세싱을 위한 인젝터 및 사용 방법들
US20220199378A1 (en) Electrostatic chuck with spatially tunable rf coupling to a wafer
CN115668436A (zh) 远程等离子体清洁(rpc)定向流设备
KR101364196B1 (ko) 배치식 원자층 증착장치 및 이를 포함하는 클러스터형 원자층 증착장치
TW202303801A (zh) 用於批次處理腔室的具有淨化間隙控制和溫度均勻性的加熱器組件
WO2019152514A1 (en) Gas injector insert segment for spatial ald

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant