CN107275281A - 自对准接触方案、半导体结构及其形成方法 - Google Patents

自对准接触方案、半导体结构及其形成方法 Download PDF

Info

Publication number
CN107275281A
CN107275281A CN201710202525.7A CN201710202525A CN107275281A CN 107275281 A CN107275281 A CN 107275281A CN 201710202525 A CN201710202525 A CN 201710202525A CN 107275281 A CN107275281 A CN 107275281A
Authority
CN
China
Prior art keywords
hard mask
layer
mask layer
grid
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710202525.7A
Other languages
English (en)
Other versions
CN107275281B (zh
Inventor
何彩蓉
许光源
郑培仁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107275281A publication Critical patent/CN107275281A/zh
Application granted granted Critical
Publication of CN107275281B publication Critical patent/CN107275281B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

实施例是一种方法,包括:在衬底上方形成第一栅极,第一栅极具有位于相对侧壁上的第一栅极间隔件;在第一栅极上方形成第一硬掩模层;在第一硬掩模层上方形成第二硬掩模层,第二硬掩模层具有与第一硬掩模层不同的材料组分;邻近并且在第一栅极上方形成第一介电层;蚀刻穿过第一介电层的第一开口以暴露衬底的一部分,第二硬掩模层的至少一部分暴露在第一开口中;利用导电材料填充第一开口;以及去除第二硬掩模层并且去除导电材料和第一介电层的位于第一硬掩模层上面的部分以在剩余的第一介电层中形成第一导电接触件。本发明还提供了自对准接触方案、半导体结构及其形成方法。

Description

自对准接触方案、半导体结构及其形成方法
技术领域
本发明的实施例一般地涉及半导体技术领域,更具体地,涉及半导体结构及其形成方法。
背景技术
半导体器件用于各种电子应用,例如,诸如个人计算机、手机、数码相机和其他电子设备。通常通过以下步骤来制造半导体器件:在半导体衬底上方相继沉积绝缘或介电层、导电层和半导体材料层;以及使用光刻来图案化该多个材料层,以在其上形成电路组件和元件。
半导体工业通过不断减小最小部件尺寸持续地改进各个电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,从而允许更多的组件集成至给定的区域中。
特别地,随着设计缩小,如果导电部件未对准,则连接至上面和下面的层的导电部件可能短路。通常,当穿过层的蚀刻工艺未对准使得导电部件暴露下面的层上的邻近的导电部件的一部分时会出现这种情况。
发明内容
根据本发明的一方面,提供了一种形成半导体器件的方法,包括:在衬底上方形成第一栅极,所述第一栅极具有位于所述第一栅极的相对侧壁上的第一栅极间隔件;在所述第一栅极上方形成第一硬掩模层;在所述第一硬掩模层上方形成第二硬掩模层,所述第二硬掩模层具有与所述第一硬掩模层不同的材料组分;邻近所述第一栅极并且在所述第一栅极上方形成第一介电层;蚀刻穿过所述第一介电层的第一开口以暴露所述衬底的一部分,所述第二硬掩模层的至少一部分暴露在所述第一开口中;利用导电材料填充所述第一开口;以及去除所述第二硬掩模层并且去除所述导电材料和所述第一介电层的位于所述第一硬掩模层上面的部分,以在剩余的第一介电层中形成第一导电接触件。
根据本发明的另一方面,提供了一种形成半导体器件的方法,包括:在衬底上方形成第一金属栅极和第二金属栅极,所述第一金属栅极和所述第二金属栅极的每一个都具有位于相应的金属栅极的相对侧壁上的栅极间隔件;在所述衬底上方并且邻近所述第一金属栅极和所述第二金属栅极形成第一介电层;使所述第一金属栅极和所述第二金属栅极凹进以具有位于所述第一介电层的顶面之下的顶面;在所述第一金属栅极和所述第二金属栅极的凹进的顶面上形成第一硬掩模层;使所述第一硬掩模层凹进以具有位于所述第一介电层的顶面之下的顶面;在所述第一硬掩模层的凹进的顶面上形成第二硬掩模层,所述第二硬掩模层具有与所述第一硬掩模层不同的材料组分;以及平坦化所述第二硬掩模层以具有与所述第一介电层的顶面共面的顶面。
根据本发明的又一方面,提供了一种半导体结构,包括:第一栅极堆叠件,位于衬底上,所述第一栅极堆叠件包括第一高k栅极介电层和第一金属栅电极;第一硬掩模层,位于所述第一栅极堆叠件上;第一组栅极间隔件,位于所述第一栅极堆叠件和所述第一硬掩模层的相对侧壁上;第一蚀刻停止层,位于所述第一组栅极间隔件的侧壁上;第一层间电介质,围绕所述第一蚀刻停止层和所述第一栅极堆叠件,所述第一层间电介质接触所述第一蚀刻停止层的至少一部分;第一导电接触件,延伸穿过所述第一层间电介质以接触所述衬底的顶面,所述第一导电接触件的侧壁接触所述第一蚀刻停止层的侧壁;第二蚀刻停止层,位于所述第一蚀刻停止层、所述第一组栅极间隔件、所述第一硬掩模层和所述第一层间电介质的顶面上方并且接触所述第一蚀刻停止层、所述第一组栅极间隔件、所述第一硬掩模层和所述第一层间电介质的顶面;第二层间电介质,位于所述第二蚀刻停止层上方;以及第二导电接触件,延伸穿过所述第二层间电介质和所述第二蚀刻停止层以接触所述第一导电接触件。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最好地理解本发明的各个实施例。应该注意,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1至图16示出了根据一些实施例的在半制造导体器件过程中的中间阶段的截面图。
具体实施方式
以下公开内容提供了多种不同实施例或实例,以实现本发明的不同特征。以下将描述组件和布置的具体实例以简化本发明。当然,这些仅是实例并且不意欲限制本发明。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件形成为直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作过程中的不同方位。装置可以以其他方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
实施例将关于具体的环境进行描述,即,两层之间的自对准方案。然而,其他实施例也可以应用于对准三层或更多层。在一些实施例中,自对准方案使用位于下层的导电部件上方的多个掩模层以在接触开口蚀刻工艺期间保护导电部件避免被无意的暴露。在一些实施例中,多掩模层中的至少一个为金属氮化物或金属氧化物掩模层,并且在接触开口蚀刻工艺期间提供足够的保护和蚀刻选择性。
在使用后栅极工艺形成的鳍式场效应晶体管(FET)的背景下讨论了本文中讨论的一些实施例。在其他实施例中,可以使用先栅极工艺。同样,一些实施例预期在诸如平面FET的平面器件、诸如FinFET的鳍式器件中使用的各个方面。
参考图1,图1示出了衬底20、伪栅极堆叠件28A和28B以及源极/漏极区域30。衬底20可以是掺杂的(例如,掺杂有p型或n型掺杂剂)或未掺杂的半导体衬底,诸如块状半导体、绝缘体上半导体(SOI)衬底等。衬底20可以是晶圆,诸如硅晶圆。通常,SOI衬底包括形成在绝缘体层上的半导体材料的层。例如,绝缘体层可为埋氧(BOX)层、氧化硅层等。在通常为硅或玻璃衬底的衬底上提供绝缘层。还可以使用诸如多层或梯度衬底的其他衬底。在一些实施例中,衬底20的半导体材料可包括硅;锗;包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP的合金半导体;或它们的组合。
可以在衬底20中形成适当的阱。例如,可以在衬底20的第一区域中形成P阱,并且可以在衬底20的第二区域中形成N阱。
可以使用光刻胶或其他掩模(未示出)实现用于不同阱的不同注入步骤。例如,形成并且图案化光刻胶以暴露衬底20的要被注入的区域。可通过使用旋涂技术形成光刻胶并且可使用可接受的光刻技术图案化该光刻胶。一旦图案化光刻胶,就可以在暴露区域中执行n型杂质和/或p型杂质注入,并且光刻胶可以用作掩模以基本上防止杂质注入到被掩蔽的区域中。n型杂质可以是注入第一区域磷、砷等,其浓度等于或小于1018cm-3(诸如在大约1017cm-3至大约1018cm-3的范围内)。p型杂质可以是注入第一区域的硼、BF2等,其浓度等于或小于1018cm-3(诸如在大约1017cm-3至大约1018cm-3的范围内)。在注入后,例如通过可接受的灰化工艺将光刻胶去除。
在阱的注入之后,可以实施退火以激活注入的p型和n型杂质。在一些实施例中,虽然衬底20可以包括在生长期间被原位掺杂的外延生长的区域(这可避免注入),但是可同时使用原位掺杂和注入掺杂。
衬底20可以包括有源和无源器件(未在图1中示出)。作为本领域的普通技术人员将会意识到,可以使用各种器件(诸如晶体管、电容器、电阻器、它们的组合等)来满足半导体器件的结构和功能要求。可以使用任何合适的方法来形成器件。在图中仅示出衬底20的一部分,因为这足以完全描述示出的实施例。
衬底20也可以包括金属化层(未示出)。金属化层可以形成在有源和无源器件上方并且设计为连接各个器件以形成功能电路。金属化层可以由介电材料(例如,低k介电材料)和导电材料(例如,铜)的交替层形成,并且可以通过任何合适的工艺(诸如沉积、镶嵌、双镶嵌等)形成该金属化层。
在一些实施例中,衬底20可以包括在隔离区域上面并且从相邻的隔离区域之间突出的一个或多个鳍。例如,图1的截面图可以沿着鳍的纵轴。可以在各个不同的工艺中形成这些一个或多个鳍。在一个实例中,可以通过以下步骤形成鳍:在衬底中蚀刻沟槽以形成半导体带;可以利用介电层来填充沟槽;以及可以使介电层凹进使得半导体带从介电层突出以形成鳍。在另一实例中,可在衬底的顶面上方形成介电层;可穿过介电层蚀刻沟槽;可在沟槽中外延生长同质外延结构;并且可使介电层凹进使得同质外延结构从介电层突出以形成鳍。在又一实例中,异质外延结构可用于鳍。例如,可使半导体带凹进,并且可在它们的适当位置外延生长不同于半导体带的材料。在又一实例中,可在衬底的顶面上方形成介电层;可穿过介电层蚀刻沟槽;可使用不同于衬底的材料在沟槽中外延生长异质外延结构;并且可使介电层凹进使得异质外延结构从介电层突出以形成鳍。在其中外延生长同质外延结构或异质外延结构的一些实施例中,可在生长期间对生长的材料进行原位掺杂,这可避免之前和之后的注入,但是可同时使用原位掺杂和注入掺杂。此外,在NMOS区域中外延生长与PMOS区域中的材料不同的材料可能是有利的。在各个实施例中,鳍可包括硅锗(SixGe1-x,其中x可为约0至100)、碳化硅、纯锗或基本上纯的锗、III-V族化合物半导体、II-VI族化合物半导体等。例如,形成III-V族化合物半导体的可使用的材料包括但不限于InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP等。
栅极堆叠件28(包括28A和28B)形成在衬底20上方。栅极堆叠件28可以包括伪栅极介电层22、硬掩模(未示出)、以及伪栅电极24。可以通过热氧化、化学汽相沉积(CVD)、溅射或者本领域已知的和用于形成栅极电介质的其他方法来形成伪栅极电介质(未示出)。在一些实施例中,伪栅极介电层包括具有高介电常数(k值)(例如,大于3.9)的介电材料。伪栅极介电材料包括氮化硅、氮氧化物、金属氧化物(诸如HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx等)、或其组合以及多层。
伪栅电极层(未示出)形成在伪栅极介电层上方。栅电极层可以包括导电材料,并且可以从包括多晶硅(polysilicon)、多晶硅锗(poly-SiGe)、金属氮化物、金属硅化物、金属氧化物和金属的组中选择该导电材料。在一个实例中,非晶硅被沉积并再结晶以创建多晶硅。可以通过物理汽相沉积(PVD)、CVD、溅射沉积或本领域中已知的和已经使用的用于沉积导电材料的其他的技术来沉积伪栅电极层。在沉积之后,伪栅电极层的顶面通常具有非平坦顶面,并且例如,可以在伪栅电极层的图案化或栅极蚀刻之前,通过化学机械抛光(CMP)工艺进行平坦化。此时,可以向伪栅电极层中引入或者不引入离子。例如,可以通过离子注入技术引入离子。
硬掩模层(未示出)形成在伪栅电极层上方。硬掩模层可以由SiN、SiON、SiO2等或它们的组合制成。然后,图案化硬掩模层。可以通过在硬掩模层上方沉积诸如光刻胶的掩模材料(未示出)来完成硬掩模层的图案化。然后,图案化掩模材料并且根据图案来蚀刻硬掩模层以形成硬掩模。可以图案化伪栅电极层和伪栅极介电层以分别形成伪栅电极24和伪栅极电介质22。可以通过使用硬掩模作为图案并且蚀刻伪栅电极层和伪栅极介电层来完成栅极图案化工艺以形成栅极堆叠件28。
在形成栅极堆叠件28之后,可以在衬底20中形成源极/漏极区域30。可以通过执行注入工艺来注入适当的掺杂剂,以补偿衬底20中的掺杂剂,从而掺杂源极/漏极区域30。在另一实施例中,可以通过在衬底20中形成凹槽(未示出)并且在凹槽中外延生长材料来形成源极/漏极区域30。可以通过以上所讨论的注入方法或通过随着材料生长进行原位掺杂来掺杂源极/漏极区域30。在该实施例中,外延的源极/漏极区域30可包括诸如适用于n型FET和/或p型FET的任何可接受的材料。例如,在n型配置中,如果衬底20为硅,则外延的源极/漏极区30可包括硅、SiC、SiCP、SiP等。例如,在n型配置中,如果衬底20为硅,则外延源极/漏极区30可包括SiGe、SiGeB、Ge、GeSn等。外延的源极/漏极区域30可具有提升到衬底20的顶面之上的表面并且可具有刻面(facets)。
在实施例中,栅极堆叠件28和源极/漏极区域30可以形成晶体管,诸如金属氧化物半导体FET(MOSFET)。在这些实施例中,MOSFET可以配置为PMOS或NMOS配置。在PMOS配置中,衬底20掺杂有n型掺杂剂并且源极/漏极区域30掺杂有p型掺杂剂。在NMOS配置中,衬底掺杂有p型掺杂剂并且源极/漏极区域30掺杂有n型掺杂剂。
栅极间隔件26形成在栅极堆叠件28的相对侧部上。可以通过在先前形成的栅极堆叠件28上毯式沉积间隔件层(未示出)来形成栅极间隔件26。在实施例中,栅极间隔件26包括间隔件衬里(未示出)。间隔件衬里可以由SiN、SiC、SiGe、氮氧化物、氧化物等或它们的组合制成。间隔件层可以包括SiN、氮氧化物、SiC、SiON、氧化物、它们的组合等,并且可以通过用于形成这种层的方法(诸如CVD、等离子体增强的CVD(PECVD)、低压CVD(LPCVD)、原子层沉积(ALD)、溅射等或它们的组合)来形成该间隔件层。然后,例如,通过各向异性蚀刻来图案化栅极间隔件26,以从诸如栅极堆叠件28的顶面和衬底20的顶面的水平表面去除间隔件层。
在另一实施例中,源极/漏极区域30可以包括轻掺杂区域(有时称为LDD区域)和重掺杂区域。在该实施例中,在形成栅极间隔件26之前,使用栅极堆叠件28作为掩模利用注入工艺轻掺杂源极/漏极区域30。在形成栅极间隔件26之后,然后,使用栅极堆叠件28和栅极间隔件26作为掩模利用注入工艺重掺杂源极/漏极区域30。这形成了轻掺杂区域和重掺杂区域。轻掺杂区域主要位于栅极间隔件26下方,而重掺杂区域沿着衬底20位于栅极间隔件外部。
虽然以上描述了栅极28的形成,但是结构28不限于栅极。在一些实施例中,结构28为通过随后形成的导电部件与其他导电部件对准并且耦合的导线28。
如图1所示,栅极堆叠件28B的宽度大于伪栅极堆叠件28A的宽度。另外,伪栅堆叠件28B和最近的伪栅堆叠件28A之间的间距大于伪栅堆叠件28A之间的间距。这些不同类型的栅极堆叠件28的位置用于说明所讨论的实施例的各种配置,并且各个栅极堆叠件28的位置不限于这些实际位置。
图2示出了衬底20、栅极堆叠件28、侧壁间隔件26和源极/漏极区域30上方的蚀刻停止层32的形成。蚀刻停止层32可以共形地沉积在衬底20上的组件上方。在一些实施例中,蚀刻停止层32可以是氮化硅、碳化硅、氧化硅、低k电介质(诸如掺杂碳的氧化物)、极低k电介质(诸如多孔碳掺杂的二氧化硅)等或它们的组合,并且可以使用CVD、PVD、ALD、旋涂电介质工艺等或它们的组合来形成蚀刻停止层32。
在图3中,层间电介质(ILD)34沉积在图2中示出的结构上方。在实施例中,ILD 34为通过可流动CVD形成的可流动膜。在一些实施例中,ILD 34由诸如氧化硅的氧化物、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、掺硼的磷硅酸盐玻璃(BPSG)、未掺杂的硅酸盐玻璃(USG)、诸如掺碳氧化物的低k电介质、诸如多孔碳掺杂的二氧化硅的极低k电介质、诸如聚酰亚胺的聚合物等、或它们的组合形成。低k介电材料可以具有低于3.9的k值。可以通过诸如CVD、ALD、旋涂电介质(SOD)工艺等或它们的组合的任何合适的方法来形成ILD 34。
还在图3中,可以执行诸如CMP工艺的平坦化工艺以使ILD 34的顶面34S与伪栅电极24的顶面24S和蚀刻停止层32的顶面32S齐平。CMP工艺还可以去除伪栅电极24上的硬掩模(如果存在)。因此,通过ILD 34暴露伪栅电极24的顶面24S。
在图4中,在蚀刻步骤中去除伪栅电极24和直接位于伪栅电极24下方的伪栅极电介质22,从而形成凹槽36。在其中形成MOSFET的实施例中,每一个凹槽36都暴露相应的FET的沟道区域。每一个沟道区域都设置在相邻的一对源极/漏极区30之间。在去除期间,当蚀刻伪栅电极24时,伪栅极电介质22可以用作蚀刻停止层。然后,在去除伪栅电极24之后,可以去除伪栅极电介质22。通过衬底20的暴露的表面20S和栅极间隔件26的暴露的内表面26S来限定凹槽36。
在图5中,形成栅极介电层38和栅电极40以用于替换栅极。在凹槽36中共形沉积栅极介电层38,诸如在衬底的顶面上和在栅极间隔件26的侧壁上,以及在ILD 34的顶面上。根据一些实施例,栅极介电层38包括氧化硅、氮化硅或它们的多层。在其他实施例中,栅极介电层38包括高-k介电材料,并且在这些实施例中,栅极介电层38可具有大于约7.0的k值,并且可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金属氧化物或硅酸盐及其组合。栅极介电层38的形成方法可以包括分子束沉积(MBD)、ALD、PECVD等。
接下来,栅电极40分别沉积在栅极介电层38上方并且填充凹槽36的剩余部分。栅电极40可以由含金属的材料制成,诸如TiN、TaN、TaC、Co、Ru、Al、它们的组合或它们的多层。在栅电极40的填充之后,可以实施诸如CMP工艺的平坦化工艺以去除栅极介电层38和栅电极40的材料的过量部分,其中该过量部分位于ILD 34的顶面上方。产生的栅电极40和栅极介电层38的材料的剩余部分因此形成替换栅极42(包括替换栅极42A和42B)。
在具有位于衬底20上的NMOS和PMOS器件这两者的互补MOS(CMOS)实施例中,在PMOS和NMOS区域中的栅极介电层38的形成可以同时发生,使得PMOS和NMOS区域两者中的栅极介电层38由相同的材料制成,并且PMOS和NMOS区域两者中的栅电极40的形成可以同时发生,使得PMOS和NMOS区域两者中的栅电极40由相同的材料制成。然而,在其他实施例中,可通过不同的工艺形成NMOS区域和PMOS区域中的栅极介电层38,使得NMOS区域和PMOS区域中的栅极介电层38可由不同的材料制成,并且可通过不同的工艺形成NMOS和PMOS区域中的栅电极40,使得NMOS区域和PMOS区域中的栅电极40可由不同材料制成。当使用不同工艺时,各种掩蔽步骤可用于掩蔽和暴露适当区域。
在图6中,在蚀刻步骤中使栅电极40和栅极电介质38凹进,从而形成凹槽44。凹槽44允许随后形成的硬掩模形成在凹槽44内以保护替换栅极42。分别通过栅极间隔件26的暴露的内表面26S、栅电极40和栅极电介质38的凹进的顶面40S和38S来限定凹槽44。
此外,凹槽44的底面可以具有如图所示的平坦的表面、凸形表面、凹形表面(诸如凹陷的)或它们的组合。可通过适当的蚀刻将凹槽44的底面形成为平面、凸面和/或凹面。可使用可接受的蚀刻工艺(诸如对栅电极和栅极电介质38的材料进行的选择性的蚀刻工艺)使栅电极40和栅极电介质38凹进。
在图7中,第一硬掩模层46形成在ILD 34上方以及栅电极40和栅极电介质38上方的凹槽44内。第一硬掩模层46可以由SiN、SiON、SiO2等或它们的组合制成。可以通过CVD、PVD、ALD、旋涂电介质工艺等或它们的组合形成第一硬掩模层46。由于在更小的技术节点(诸如10nm或以下的节点)下的凹槽的高宽比,所以凹槽44内的第一硬掩模层46的形成可以使得缝隙(seam)和/或空隙48形成在第一硬掩模层46内。这些缝隙和/或空隙48可以是第一硬掩模层46内的薄弱点,从而在随后的蚀刻工艺期间使得栅电极40和/或栅极电介质38无意地暴露。
图8示出了使第一硬掩模层46凹进以形成凹槽50。在一些实施例中,使第一硬掩模层46、蚀刻停止层32、以及栅极间隔件26凹进,从而分别使得第一硬掩模层46的顶面46S、蚀刻停止层32的顶面32S以及栅极间隔件26的顶面26S位于ILD 34的顶面34S下面。在一些实施例中,第一硬掩模层46的凹进完全地去除了第一硬掩模层46中的缝隙和/或空隙48,并且在其他的实施例中,在凹进工艺之后保留缝隙和/或空隙48的至少一部分。
此外,凹槽50的底面可以具有平坦的表面(如图所示)、凸表面、凹表面(诸如凹陷的)或它们的组合。可通过适当的蚀刻将凹槽50的底面形成为平面、凸面和/或凹面。可以使用诸如对于第一硬掩模层46、蚀刻停止层32和栅极间隔件26的材料具有选择性的工艺的可接受的蚀刻工艺来使第一硬掩模层46凹进。例如,蚀刻工艺可以包括来自使用等离子体的蚀刻剂气体的反应物的形成。在一些实施例中,等离子体可以为远程等离子体。蚀刻剂气体可以包括碳氟化合物化学制剂,诸如C4F6/CF4/C5F和NF3/O2/N2/Ar/H3/H2等或它们的组合。在一些实施例中,可以在大约100sccm至大约1000sccm的总气体流量下将蚀刻剂气体供应至蚀刻室。在一些实施例中,在蚀刻工艺期间,蚀刻室的压力为大约10mtorr至大约50mtorr。在一些实施例中,蚀刻剂气体可以包括介于大约10%至大约90%之间的氢气。在一些实施例中,蚀刻剂气体可以包括介于大约20%至大约80%之间的惰性气体。
在图9中,第二硬掩模层52形成在第一硬掩模层46、栅极间隔件26、蚀刻停止层32和ILD 34上方并且形成在凹槽50内。第二硬掩模层52在随后的自对准接触蚀刻(见图12)期间对于第一硬掩模层46、栅极间隔件26和蚀刻停止层32提供保护,以确保自对准接触件不会使栅电极40之一与对应的源极/漏极区域30短路。第二硬掩模层52可以由金属、金属氧化物、金属氮化物、纯硅等或它们的组合制成。金属氧化物和金属氮化物的一些实例为TiO、HfO、AlO、ZrO、ZrN等或它们的组合。第二硬掩模层52的材料组分很重要,这是因为其确保高膜密度和非挥发的蚀刻副产物,诸如例如金属氟化物蚀刻副产物。此外,因为随后将去除第二硬掩模层52(见图15),所以可用于第二硬掩模层52中的材料比可用于第一硬掩模层46中的材料大,并且因此这些材料将不会影响随后的工艺。可以通过CVD、PVD、ALD、旋涂电介质工艺等或它们的组合形成第二硬掩模层52。
在图10中,可以执行诸如CMP工艺的平坦化工艺以使ILD 34的顶面34S与第二硬掩模层52的顶面52S齐平。因此,暴露ILD 34的顶面34S。
在图11中,ILD 54沉积在图10中示出的结构上方。在实施例中,ILD 54为通过可流动CVD形成的可流动膜。在一些实施例中,ILD 54由诸如氧化硅的氧化物、PSG、BSG、BPSG、USG、诸如掺碳氧化物的低k电介质、诸如多孔碳掺杂的二氧化硅的极低k电介质、诸如聚酰亚胺的聚合物等、或它们的组合形成。低k介电材料可以具有低于3.9的k值。可以通过诸如CVD、ALD、SOD工艺等或它们的组合的任何合适的方法来沉积ILD 54。在一些实施例中,通过CMP工艺或蚀刻工艺来平坦化ILD 54以形成基本平坦的顶面。
还在图11中,硬掩模层56形成在ILD 54上方并且被图案化。硬掩模层可以由SiN、SiON、SiO2等或它们的组合制成。可以通过CVD、PVD、ALD、SOD工艺等或它们的组合形成硬掩模层56。然后,图案化硬掩模层56。可以通过在硬掩模层56上方沉积诸如光刻胶的掩模材料(未示出)来完成硬掩模层56的图案化。然后,图案化掩模材料并且根据图案来蚀刻硬掩模层56以形成图案化的硬掩模层56。
图12示出了使用图案化的硬掩模层56作为掩模形成穿过ILD 54并且穿过ILD 34的开口58A和58B的以暴露衬底20的一部分。在示出的实施例中,开口58A和58B暴露源极/漏极区域30的部分表面30S,并且在其中不存在源极/漏极区域30的其他的实施例中,例如,开口58A和58B可以暴露诸如衬底20中的金属部件的其他部件。虽然开口58A的部分延伸至栅极堆叠件42A的顶面上方,但是第二硬掩模层52和蚀刻停止层32将介于邻近的成对的栅极堆叠件42A之间的开口58A与衬底20自对准。在示出的实施例中,由于栅极堆叠件42B和最近的栅极堆叠件42A之间的间距比栅极堆叠件42A之间的间距大,所以开口58B未自对准,并且自对准的开口没有必要用于该更大的间距。通过使用可接受的蚀刻技术来形成开口58A和58B。在实施例中,通过各向异性干蚀刻工艺形成开口58A和58B。例如,蚀刻工艺可以包括使用选择性蚀刻ILD 54和34而未蚀刻第二硬掩模层52的反应气体的干蚀刻工艺。例如,蚀刻工艺可以包括来自使用等离子体的蚀刻剂气体的反应物的形成。在一些实施例中,等离子体可以为远程等离子体。蚀刻剂气体可以包括碳氟化合物化学制剂,诸如C4F6/CF4/C5F和NF3/O2/N2/Ar/H3/H2等或它们的组合。在一些实施例中,可以在大约100sccm至大约1000sccm的总气体流量下将蚀刻剂气体供应至蚀刻室。在一些实施例中,在蚀刻工艺期间,蚀刻室的压力为大约10mtorr至大约50mtorr。第二硬掩模层52用作蚀刻停止层并且即使在出现图案化未对准误差时也有利地防止对下面的部件(如,栅极间隔件26、第一硬掩模层46和栅极堆叠件42)的损害。不利地,不存在第二硬掩模层52,蚀刻工艺会损害栅极间隔件26、第一硬掩模层46和栅极堆叠件42。在一些实施例中,用于自对准的开口58A的蚀刻工艺可以去除第二硬掩模层52的一些上部部分,但是未完全蚀刻穿过第二硬掩模层52,使得在蚀刻工艺期间保护第一硬掩模层46、栅极间隔件26和被覆盖的蚀刻停止层32的部分。
在图13中,还图案化硬掩模层56,并且使用图案化的硬掩模层56作为掩模来形成穿过ILD 54、栅极堆叠件42B上方的第二硬掩模层52、以及栅极堆叠件42B上方的第一硬掩模层46的开口58C,以暴露栅极堆叠件42B的栅电极40的表面40S的一部分。可以通过在硬掩模层56上方沉积诸如光刻胶的掩模材料(未示出)来完成硬掩模层56的图案化。然后,图案化掩模材料并且根据图案来蚀刻硬掩模层56以形成图案化的硬掩模层56。在开口58C的形成期间,掩模材料可以保留在开口58A和58B上方以保护开口58A和58B内的结构。在示出的实施例中,开口58C未自对准。可以通过使用可接受的蚀刻技术来形成开口58C。在实施例中,通过各向异性干蚀刻工艺形成开口58C。
图14示出了开口58A、58B和58C中的导电层60的形成。开口58A中的导电层60接触衬底20的暴露的表面并且沿着蚀刻停止层32、ILD 34和54的暴露的表面以及第二硬掩模层的顶面。开口58B中的导电层60接触衬底20的暴露的表面并且沿着蚀刻停止层32、ILD 34和54的暴露的表面。在示出的实施例中,开口58A和58B中的导电层接触源极/漏极区域30的暴露的表面,并且在其中不存在源极/漏极区域30的其他实施例中,例如,开口58A和58B中的导电层60接触诸如衬底20中的金属部件的其他部件。开口58C中的导电层60接触栅极堆叠件42B的栅电极40的暴露的表面并且沿着第一和第二硬掩模层46和52以及ILD 54的暴露的表面。
在一些实施例中,导电层60包括阻挡层(未示出)。阻挡层有助于阻挡随后形成的导电层60扩散进诸如ILD 34和54的邻近的介电材料中。阻挡层可以由钛、氮化钛、钽、氮化钽、锰、氧化锰、钴、氧化钴、氮化钴、镍、氧化镍、氮化镍、碳化硅、掺杂氧的碳化硅、掺杂氮的碳化硅、氮化硅、氧化铝、氮化铝、氮氧化铝、诸如聚酰亚胺、聚苯并恶唑(PBO)等的聚合物或它们的组合制成。可以通过CVD、PVD、PECVD、ALD、SOD等或它们的组合形成阻挡层。在一些实施例中,省略阻挡层。
导电层60可以由钨、铜、铝等或它们的组合制成。可以通过诸如电化学镀、PVD、CVD等或它们的组合的沉积工艺形成导电层60。在一些实施例中,导电层60形成在诸如AlCu的含铜晶种层上。
在一些实施例中,形成导电层60以具有位于ILD 54的顶面上方的多余的材料。在这些实施例中,通过诸如CMP工艺的研磨工艺来平坦化导电层60以分别在开口58A、58B和58C中形成导电部件60A、60B和60C。在一些实施例中,在平坦化工艺之后,导电部件60A、60B和60C的顶面与ILD 54的顶面齐平。
图15示出了ILD 54、第二硬掩模层52和部分ILD 34以及位于第一硬掩模层46的顶面之上的平面处的导电部件60A、60B和60C的去除。可以通过一个或多个蚀刻工艺和/或诸如CMP工艺的研磨工艺来执行该去除。在去除工艺之后,导电部件60A现在为两个分离的导电部件60A1和60A2,并且导电部件60C现在嵌入栅极堆叠件42B上方的第一硬掩模层46中。另外,在去除工艺之后,导电部件60A1、60A2、60B和60C的顶面与ILD 34和第一硬掩模层46的顶面齐平。
图16示出了图15的结构上方的蚀刻停止层62的形成。蚀刻停止层62形成在ILD34、蚀刻停止层32、第一硬掩模层46和栅极间隔件26上方。蚀刻停止层62可以共形沉积在这些组件上方。在一些实施例中,蚀刻停止层62可以是氮化硅、碳化硅、氧化硅、低k电介质(诸如掺杂碳的氧化物)、极低k电介质(诸如多孔碳掺杂的二氧化硅)等或它们的组合,并且可以使用CVD、PVD、ALD、旋涂电介质工艺等或它们的组合来形成。
还在图16中,ILD 64沉积在蚀刻停止层62上方。在实施例中,ILD 64为通过可流动CVD形成的可流动膜。在一些实施例中,ILD 64由诸如氧化硅的氧化物、PSG、BSG、BPSG、USG、诸如掺碳的氧化物的低k电介质、诸如多孔碳掺杂的二氧化硅的极低k电介质、诸如聚酰亚胺的聚合物等、或它们的组合形成。低k介电材料可以具有低于3.9的k值。可以通过诸如CVD、ALD、SOD工艺等或它们的组合的任何合适的方法来沉积ILD 64。
还在图16中,接触件66A1、66A2、66B和66C形成为穿过ILD 64和蚀刻停止层62以电接触并且物理接触相应的接触件60A1、60A2、60B和60C。通过使用可接受的蚀刻技术来形成用于接触件66的开口。在实施例中,通过各向异性干蚀刻工艺形成开口。这些开口填充有导电层66。在一些实施例中,导电层66包括阻挡层(未示出)。阻挡层有助于阻挡随后形成的导电层66扩散进诸如ILD 64和蚀刻停止层62的邻近的介电材料中。阻挡层可以由以下材料制成:钛、氮化钛、钽、氮化钽、锰、氧化锰、钴、氧化钴、氮化钴、镍、氧化镍、氮化镍、碳化硅、掺氧的碳化硅、掺氮的碳化硅、氮化硅、氧化铝、氮化铝、氮氧化铝、诸如聚酰亚胺、PBO等的聚合物或它们的组合。可以通过CVD、PVD、PECVD、ALD、SOD等或它们的组合形成阻挡层。在一些实施例中,省略阻挡层。
导电层66可以由钨、铜、铝等或它们的组合制成。可以通过诸如电化学镀、PVD、CVD等或它们的组合的沉积工艺形成导电层66。在一些实施例中,导电层66形成在诸如AlCu的含铜晶种层上。
在一些实施例中,形成导电层66以具有位于ILD 64的顶面上方的多余的材料。在这些实施例中,通过诸如CMP工艺的研磨工艺来平坦化导电层66以形成导电部件66A1、66A2、66B和66C。在一些实施例中,在平坦化工艺之后,导电部件66A1、66A2、66B和66C的顶面与ILD 64的顶面齐平。
本发明的实施例可以实现以下优势,即,两层之间的自对准方法以允许保护下面的部件。在一些实施例中,自对准方案使用位于下层的导电部件上方的多掩模层来保护导电部件以避免在接触开口蚀刻工艺期间被无意的暴露。在一些实施例中,多掩模层中的至少一个为金属氮化物或金属氧化物掩模层并且在自对准接触开口蚀刻工艺期间提供足够的保护和蚀刻选择性。在具有两个硬掩模层的FET实施例中,由金属氮化物或金属氧化物制成的上部硬掩模层确保自对准接触件不会将栅电极中的一个短接至对应的源极/漏极区域。另外,在一些实施例中,在应用上部硬掩模层之前,使下部硬掩模层凹进,并且下部硬掩模层的这种凹进可以基本(如果未完全去除)去除下部硬掩模层中的任何缝隙和/或空隙。此外,上部硬掩模层的材料组分非常重要,这是因为它确保高膜密度和非挥发的蚀刻副产物,诸如金属氟化物蚀刻副产物。此外,因为随后将去除上部硬掩模层,所以可用于上部硬掩模层中的材料的范围比可用于下部硬掩模层中的材料的范围大,并且因此这些材料将不会影响随后的工艺。
实施例是一种方法,包括:在衬底上方形成第一栅极,第一栅极具有位于第一栅极的相对侧壁上的第一栅极间隔件;在第一栅极上方形成第一硬掩模层;在第一硬掩模层上方形成第二硬掩模层,第二硬掩模层具有与第一硬掩模层不同的材料组分;邻近第一栅极并且在第一栅极上方形成第一介电层;蚀刻穿过第一介电层的第一开口以暴露衬底的一部分,第二硬掩模层的至少一部分暴露在第一开口中;利用导电材料填充第一开口;以及去除第二硬掩模层并且去除导电材料和第一介电层的位于第一硬掩模层上面的部分以在剩余的第一介电层中形成第一导电接触件。
在实施例中,所述第二硬掩模层包括金属氮化物或金属氧化物。
在实施例中,所述第二硬掩模层包括TiO、HfO、AlO、ZrO、ZrN或它们的组合。
在实施例中,所述第一栅极间隔件沿着所述第一硬掩模层的相对侧壁延伸。
在实施例中,所述第二硬掩模层位于所述第一栅极间隔件的顶面上。
在实施例中,所述第一栅极包括位于所述衬底上并且沿着所述第一栅极间隔件的内部侧壁的高k栅极介电层以及位于所述高k栅极介电层上的金属栅电极。
在实施例中,在所述衬底上方形成所述第一栅极包括:在所述衬底上方形成第一伪栅极,所述第一伪栅极包括位于所述衬底上的第一伪栅极电介质和位于所述第一伪栅极电介质上的第一伪栅电极;在所述第一伪栅极的相对侧壁上形成所述第一栅极间隔件;使用所述第一伪栅极和所述第一栅极间隔件作为掩模在所述衬底中形成源极/漏极区域;在所述衬底、所述第一伪栅极和所述第一栅极间隔件上方形成第一蚀刻停止层;在所述第一蚀刻停止层上方形成所述第一介电层的第一部分;平坦化所述第一介电层的第一部分以暴露所述第一伪栅极的一部分;以及利用所述第一栅极替换所述第一伪栅极。
在实施例中,形成半导体器件的方法还包括:使所述第一栅极凹进以具有位于所述第一介电层的第一部分的顶面之下的顶面,所述第一硬掩模层形成在所述第一栅极的凹进的顶面上;使所述第一硬掩模层凹进以具有位于所述第一介电层的第一部分的顶面之下的顶面,所述第二硬掩模层形成在所述第一硬掩模层的凹进的顶面上;以及平坦化所述第二硬掩模层以具有与所述第一介电层的第一部分的顶面共面的顶面。
在实施例中,形成半导体器件的方法还包括:在平坦化的第二硬掩模层上方以及所述第一介电层的第一部分上方形成第一介电层的第二部分,所述第一开口延伸穿过所述第一介电层的第二部分和第一部分;在去除所述第二硬掩模层之后,在所述第一介电层的第一部分和所述第一硬掩模层上方形成第二蚀刻停止层;在所述第二蚀刻停止层上方形成第二介电层;以及形成第二导电接触件,所述第二导电接触件穿过所述第二介电层和所述第二蚀刻停止层到达所述第一导电接触件。
在实施例中,所述第二导电接触件的底面接触所述第一硬掩模层的顶面和所述第一导电接触件的顶面。
另一实施例是一种方法,包括:在衬底上方形成第一金属栅极和第二金属栅极,第一金属栅极和第二金属栅极的每一个都具有位于相应的金属栅极的相对侧壁上的栅极间隔件;在衬底上方并且邻近第一和第二金属栅极形成第一介电层;使第一金属栅极和第二金属栅极凹进以具有位于第一介电层的顶面之下的顶面;在第一金属栅极和第二金属栅极的凹进的顶面上形成第一硬掩模层;使第一硬掩模层凹进以具有位于第一介电层的顶面之下的顶面;在第一硬掩模层的凹进的顶面上形成第二硬掩模层,第二硬掩模层具有与第一硬掩模层不同的材料组分;以及平坦化第二硬掩模层以具有与第一介电层的顶面共面的顶面。
在实施例中,形成半导体器件的方法还包括:在平坦化的第二硬掩模层和第一硬掩模层上方形成第二介电层;蚀刻穿过所述第二介电层和所述第一介电层的第一开口以暴露所述衬底的一部分,位于所述第一金属栅极上方的第二硬掩模层的至少一部分暴露在所述第一开口中;利用导电材料填充所述第一开口;以及去除所述第二硬掩模层并且去除所述导电材料、所述第二介电层、所述第一介电层的位于所述第一硬掩模层上面的部分,以在所述第一介电层中形成第一导电接触件。
在实施例中,位于所述第一金属栅极上方的第二硬掩模层的整个顶面暴露在所述第一开口中。
在实施例中,形成半导体器件的方法还包括:蚀刻穿过所述第二介电层、所述第二硬掩模层、以及所述第一硬掩模层的第二开口,以暴露所述第二金属栅极的一部分;以及利用所述导电材料填充所述第二开口,去除所述第二硬掩模层并且去除所述导电材料、所述第二介电层、所述第一介电层的位于所述第一硬掩模层上面的一部分以在所述第一硬掩模层中形成第二导电接触件。
在实施例中,所述第二硬掩模层包括金属氮化物或金属氧化物。
在实施例中,所述第一金属栅极包括位于所述衬底上并且沿着所述栅极间隔件的内部侧壁的高k栅极介电层和位于所述高k栅极介电层上的金属栅电极。
在实施例中,在所述衬底上方形成所述第一金属栅极和所述第二金属栅极包括:在所述衬底上方形成第一伪栅极和第二伪栅极;在所述第一伪栅极和所述第二伪栅极的相对侧壁上形成栅极间隔件;在所述衬底、所述第一伪栅极、所述第二伪栅极、以及所述栅极间隔件上方形成第一蚀刻停止层;在所述第一蚀刻停止层上方形成所述第一介电层;平坦化所述第一介电层以暴露所述第一伪栅极和所述第二伪栅极的一部分;以及利用所述第一金属栅极替换所述第一伪栅极,并且利用所述第二金属栅极替换所述第二伪栅极。
又一实施例是一种结构,包括:第一栅极堆叠件,位于衬底上,第一栅极堆叠件包括第一高k栅极介电层和第一金属栅电极;第一硬掩模层,位于第一栅极堆叠件上;第一组栅极间隔件,位于第一栅极堆叠件的相对侧壁上;第一蚀刻停止层,位于第一组栅极间隔件的侧壁上;第一层间电介质,围绕第一蚀刻停止层和第一栅极堆叠件,第一层间电介质接触第一蚀刻停止层的至少一部分;第一导电接触件,延伸穿过第一层间电介质以接触衬底的顶面,第一导电接触件的侧壁接触第一蚀刻停止层的侧壁;第二蚀刻停止层,位于第一蚀刻停止层、第一组栅极间隔件、第一硬掩模层和第一层间电介质的顶面上方并且接触第一蚀刻停止层、第一组栅极间隔件、第一硬掩模层和第一层间电介质的顶面;第二层间电介质,位于第二蚀刻停止层上方;以及第二导电接触件,延伸穿过第二层间电介质和第二蚀刻停止层以接触第一导电接触件。
在实施例中,所述第二导电接触件的底面接触所述第一硬掩模层、所述第一组栅极间隔件、所述第一蚀刻停止层、以及所述第一导电接触件的顶面。
在实施例中,半导体结构还包括:第一源极/漏极区域,位于所述衬底中,所述第一导电接触件接触所述第一源极/漏极区域。
以上论述了若干实施例的部件,使得本领域的技术人员可以更好地理解本发明的各个实施例。本领域技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他的处理和结构以用于达到与本发明所介绍实施例相同的目的和/或实现相同优点。本领域技术人员也应该意识到,这些等效结构并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以进行多种变化、替换以及改变。

Claims (10)

1.一种形成半导体器件的方法,包括:
在衬底上方形成第一栅极,所述第一栅极具有位于所述第一栅极的相对侧壁上的第一栅极间隔件;
在所述第一栅极上方形成第一硬掩模层;
在所述第一硬掩模层上方形成第二硬掩模层,所述第二硬掩模层具有与所述第一硬掩模层不同的材料组分;
邻近所述第一栅极并且在所述第一栅极上方形成第一介电层;
蚀刻穿过所述第一介电层的第一开口以暴露所述衬底的一部分,所述第二硬掩模层的至少一部分暴露在所述第一开口中;
利用导电材料填充所述第一开口;以及
去除所述第二硬掩模层并且去除所述导电材料和所述第一介电层的位于所述第一硬掩模层上面的部分,以在剩余的第一介电层中形成第一导电接触件。
2.根据权利要求1所述的形成半导体器件的方法,其中,所述第二硬掩模层包括金属氮化物或金属氧化物。
3.根据权利要求2所述的形成半导体器件的方法,其中,所述第二硬掩模层包括TiO、HfO、AlO、ZrO、ZrN或它们的组合。
4.根据权利要求1所述的形成半导体器件的方法,其中,所述第一栅极间隔件沿着所述第一硬掩模层的相对侧壁延伸。
5.根据权利要求4所述的形成半导体器件的方法,其中,所述第二硬掩模层位于所述第一栅极间隔件的顶面上。
6.根据权利要求1所述的形成半导体器件的方法,其中,所述第一栅极包括位于所述衬底上并且沿着所述第一栅极间隔件的内部侧壁的高k栅极介电层以及位于所述高k栅极介电层上的金属栅电极。
7.根据权利要求1所述的形成半导体器件的方法,其中,在所述衬底上方形成所述第一栅极包括:
在所述衬底上方形成第一伪栅极,所述第一伪栅极包括位于所述衬底上的第一伪栅极电介质和位于所述第一伪栅极电介质上的第一伪栅电极;
在所述第一伪栅极的相对侧壁上形成所述第一栅极间隔件;
使用所述第一伪栅极和所述第一栅极间隔件作为掩模在所述衬底中形成源极/漏极区域;
在所述衬底、所述第一伪栅极和所述第一栅极间隔件上方形成第一蚀刻停止层;
在所述第一蚀刻停止层上方形成所述第一介电层的第一部分;
平坦化所述第一介电层的第一部分以暴露所述第一伪栅极的一部分;以及
利用所述第一栅极替换所述第一伪栅极。
8.根据权利要求1所述的形成半导体器件的方法,还包括:
使所述第一栅极凹进以具有位于所述第一介电层的第一部分的顶面之下的顶面,所述第一硬掩模层形成在所述第一栅极的凹进的顶面上;
使所述第一硬掩模层凹进以具有位于所述第一介电层的第一部分的顶面之下的顶面,所述第二硬掩模层形成在所述第一硬掩模层的凹进的顶面上;以及
平坦化所述第二硬掩模层以具有与所述第一介电层的第一部分的顶面共面的顶面。
9.一种形成半导体器件的方法,包括:
在衬底上方形成第一金属栅极和第二金属栅极,所述第一金属栅极和所述第二金属栅极的每一个都具有位于相应的金属栅极的相对侧壁上的栅极间隔件;
在所述衬底上方并且邻近所述第一金属栅极和所述第二金属栅极形成第一介电层;
使所述第一金属栅极和所述第二金属栅极凹进以具有位于所述第一介电层的顶面之下的顶面;
在所述第一金属栅极和所述第二金属栅极的凹进的顶面上形成第一硬掩模层;
使所述第一硬掩模层凹进以具有位于所述第一介电层的顶面之下的顶面;
在所述第一硬掩模层的凹进的顶面上形成第二硬掩模层,所述第二硬掩模层具有与所述第一硬掩模层不同的材料组分;以及
平坦化所述第二硬掩模层以具有与所述第一介电层的顶面共面的顶面。
10.一种半导体结构,包括:
第一栅极堆叠件,位于衬底上,所述第一栅极堆叠件包括第一高k栅极介电层和第一金属栅电极;
第一硬掩模层,位于所述第一栅极堆叠件上;
第一组栅极间隔件,位于所述第一栅极堆叠件和所述第一硬掩模层的相对侧壁上;
第一蚀刻停止层,位于所述第一组栅极间隔件的侧壁上;
第一层间电介质,围绕所述第一蚀刻停止层和所述第一栅极堆叠件,所述第一层间电介质接触所述第一蚀刻停止层的至少一部分;
第一导电接触件,延伸穿过所述第一层间电介质以接触所述衬底的顶面,所述第一导电接触件的侧壁接触所述第一蚀刻停止层的侧壁;
第二蚀刻停止层,位于所述第一蚀刻停止层、所述第一组栅极间隔件、所述第一硬掩模层和所述第一层间电介质的顶面上方并且接触所述第一蚀刻停止层、所述第一组栅极间隔件、所述第一硬掩模层和所述第一层间电介质的顶面;
第二层间电介质,位于所述第二蚀刻停止层上方;以及
第二导电接触件,延伸穿过所述第二层间电介质和所述第二蚀刻停止层以接触所述第一导电接触件。
CN201710202525.7A 2016-04-04 2017-03-30 自对准接触方案、半导体结构及其形成方法 Active CN107275281B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/090,341 2016-04-04
US15/090,341 US9548366B1 (en) 2016-04-04 2016-04-04 Self aligned contact scheme

Publications (2)

Publication Number Publication Date
CN107275281A true CN107275281A (zh) 2017-10-20
CN107275281B CN107275281B (zh) 2019-12-20

Family

ID=57749375

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710202525.7A Active CN107275281B (zh) 2016-04-04 2017-03-30 自对准接触方案、半导体结构及其形成方法

Country Status (3)

Country Link
US (2) US9548366B1 (zh)
CN (1) CN107275281B (zh)
TW (1) TWI596705B (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108511337A (zh) * 2017-02-24 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
CN109841512A (zh) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN109860293A (zh) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN110416304A (zh) * 2018-04-26 2019-11-05 三星电子株式会社 半导体装置
CN110581101A (zh) * 2018-06-07 2019-12-17 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110767654A (zh) * 2018-07-26 2020-02-07 三星电子株式会社 集成电路装置
CN110783269A (zh) * 2018-07-31 2020-02-11 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN110875279A (zh) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN111128741A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN111696916A (zh) * 2019-03-12 2020-09-22 美光科技公司 形成导电通孔的方法和形成存储器阵列的方法
CN112018035A (zh) * 2019-05-31 2020-12-01 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN112885775A (zh) * 2019-11-29 2021-06-01 广东汉岂工业技术研发有限公司 一种半导体结构及其制程方法
CN113380704A (zh) * 2020-02-25 2021-09-10 台湾积体电路制造股份有限公司 形成半导体器件的方法
CN113484948A (zh) * 2020-06-19 2021-10-08 台湾积体电路制造股份有限公司 半导体结构及其制造方法

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10431582B2 (en) 2016-05-31 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. High speed semiconductor device
US10460995B2 (en) 2016-11-29 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a FinFET device
US10020198B1 (en) * 2016-12-15 2018-07-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having low-k spacer and method of manufacturing the same
US10079290B2 (en) * 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
JP6787798B2 (ja) * 2017-01-19 2020-11-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US10304945B2 (en) * 2017-03-24 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. High-speed semiconductor device and method for forming the same
US10475692B2 (en) * 2017-04-07 2019-11-12 Globalfoundries Inc. Self aligned buried power rail
US10056473B1 (en) * 2017-04-07 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10600687B2 (en) * 2017-04-19 2020-03-24 Tokyo Electron Limited Process integration techniques using a carbon layer to form self-aligned structures
US10170318B2 (en) 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10319832B2 (en) 2017-04-28 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US9905473B1 (en) * 2017-05-18 2018-02-27 Globalfoundries Inc. Self-aligned contact etch for fabricating a FinFET
US10522392B2 (en) * 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10361133B2 (en) 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same
US10396155B2 (en) 2017-09-20 2019-08-27 Globalfoundries Inc. Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region
US10170377B1 (en) * 2017-09-20 2019-01-01 Globalfoundries Inc. Memory cell with recessed source/drain contacts to reduce capacitance
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
DE102018101511B4 (de) * 2017-09-28 2021-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Halbleiterverarbeitung zum Bilden einer differenziellen Ätzstoppschicht
US10157790B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10504782B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field-Effect Transistor device and method of forming the same
US10509334B2 (en) 2017-09-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and apparatus for removing contamination from lithographic tool
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US10504990B2 (en) 2017-11-21 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation features and methods of fabricating the same
US11037924B2 (en) * 2017-11-21 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming source/drain contacts
US10332789B2 (en) 2017-11-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with TiN adhesion layer for forming a contact plug
US10658362B2 (en) 2017-11-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US10714334B2 (en) 2017-11-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
KR102392845B1 (ko) * 2017-11-28 2022-04-29 삼성전자주식회사 반도체 장치
US10777466B2 (en) 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10312089B1 (en) 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
DE102018128925B4 (de) 2017-11-30 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
US10361120B2 (en) * 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
DE102018102448B4 (de) 2017-11-30 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung und Struktur leitfähiger Merkmale
US10804180B2 (en) 2017-11-30 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10867833B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal for FinFET device and method
US10957589B2 (en) * 2017-11-30 2021-03-23 Mediatek Inc. Self-aligned contact and method for forming the same
US10515849B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device, interconnection structure and method for forming the same
US10395991B2 (en) * 2017-12-04 2019-08-27 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10243053B1 (en) 2018-01-22 2019-03-26 Globalfoundries Inc. Gate contact structure positioned above an active region of a transistor device
US10651292B2 (en) 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US11031286B2 (en) 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10535748B2 (en) 2018-03-01 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact with a silicide region
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10439046B1 (en) * 2018-03-15 2019-10-08 International Business Machines Corporation Structure and method for improving access resistance in U-channel ETSOI
US10943822B2 (en) * 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US10804140B2 (en) 2018-03-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect formation and structure
US11139385B2 (en) * 2018-05-17 2021-10-05 International Business Machines Corporation Interface-less contacts to source/drain regions and gate electrode over active portion of device
US10685872B2 (en) * 2018-05-30 2020-06-16 International Business Machines Corporation Electrically isolated contacts in an active region of a semiconductor device
US11004729B2 (en) * 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
US10446451B1 (en) 2018-07-05 2019-10-15 Globalfoundries Inc. Method for forming replacement gate structures for vertical transistors
CN110718454A (zh) * 2018-07-13 2020-01-21 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US10672870B2 (en) 2018-07-16 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10949597B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Through-silicon vias in integrated circuit packaging
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US10693004B2 (en) * 2018-08-14 2020-06-23 Taiwan Semiconductor Manufactruing Co., Ltd. Via structure with low resistivity and method for forming the same
US11031383B2 (en) 2018-08-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11024721B2 (en) 2018-09-20 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10950732B2 (en) * 2018-09-21 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10636702B2 (en) 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits
US10937691B2 (en) 2018-09-27 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming an abrasive slurry and methods for chemical-mechanical polishing
US11121025B2 (en) 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US10854506B2 (en) 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102018131694A1 (de) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
US11024549B2 (en) 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US10840251B2 (en) 2018-10-25 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10957604B2 (en) 2018-10-31 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11217486B2 (en) 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11107690B2 (en) 2018-11-30 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10879400B2 (en) * 2018-12-24 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor and method of manufacturing the same
US11302570B2 (en) * 2019-01-25 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method for forming the same
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US10535524B1 (en) 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
US10892164B2 (en) 2019-04-16 2021-01-12 International Business Machines Corporation Dual hard mask replacement gate
US11101353B2 (en) * 2019-04-17 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10833160B1 (en) * 2019-04-17 2020-11-10 Globalfoundries Inc. Field-effect transistors with self-aligned and non-self-aligned contact openings
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11264284B2 (en) 2019-06-20 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11348839B2 (en) 2019-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices with multiple silicide regions
US11335817B2 (en) 2019-08-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Composite etch stop layers for sensor devices
US11094788B2 (en) 2019-08-21 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11069811B2 (en) * 2019-08-22 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11189727B2 (en) * 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contacts and method forming same
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
DE102020114875B4 (de) 2019-08-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtung und verfahren
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer
US11362212B2 (en) 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11469139B2 (en) 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
US11335774B2 (en) 2019-10-18 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for semiconductor device and method
US11251284B2 (en) 2019-10-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate cutting process and resulting gate structures
US11264270B2 (en) 2019-10-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Air-replaced spacer for self-aligned contact scheme
US11145728B2 (en) 2019-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US11488857B2 (en) 2019-10-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11380781B2 (en) 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
US11227794B2 (en) 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11296187B2 (en) 2019-12-20 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11502166B2 (en) 2019-12-20 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11728223B2 (en) 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11276571B2 (en) 2019-12-26 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of breaking through etch stop layer
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11302577B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US11393910B2 (en) 2020-01-21 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and formation method thereof
DE102020119831A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Überzugfreie leitfähige strukturen mit ankerpunkten
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
US11264273B2 (en) 2020-01-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Electron migration control in interconnect structures
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11437287B2 (en) 2020-01-31 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and methods of forming thereof
US11217586B2 (en) 2020-01-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dummy fin physically separating the first and second gate stacks
US20210249307A1 (en) * 2020-02-06 2021-08-12 Globalfoundries U.S. Inc. Transistors with asymmetrically-positioned source/drain regions
US11094702B1 (en) 2020-02-10 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programmable memory device including anti-fuse element and manufacturing method thereof
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11302802B2 (en) 2020-02-19 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Parasitic capacitance reduction
US11664279B2 (en) 2020-02-19 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple threshold voltage implementation through lanthanum incorporation
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
US11508572B2 (en) 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11489053B2 (en) 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11335638B2 (en) 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11164789B1 (en) 2020-04-17 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device that includes covering metal gate with multilayer dielectric
US11521929B2 (en) 2020-04-28 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for liner-free conductive structures
US11398385B2 (en) 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11349005B2 (en) 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US11450572B2 (en) 2020-05-22 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11374089B2 (en) 2020-05-22 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation (STI) contact structures and methods of forming same
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
US11289383B2 (en) 2020-05-27 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11502199B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11799002B2 (en) 2020-05-29 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11195752B1 (en) 2020-05-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11888064B2 (en) 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11515165B2 (en) 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11316033B2 (en) 2020-06-12 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11257755B2 (en) 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
US11600728B2 (en) 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer
US11430700B2 (en) 2020-06-26 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Trench isolation with conductive structures
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11545546B2 (en) 2020-06-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11848239B2 (en) 2020-07-10 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning method and structures resulting therefrom
US11728244B2 (en) 2020-07-17 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US11728413B2 (en) 2020-07-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate capping structures in semiconductor devices
US11810960B2 (en) 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11437240B2 (en) 2020-08-05 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structure and method of forming
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11923436B2 (en) 2020-08-07 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11563083B2 (en) 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11430790B2 (en) 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11646377B2 (en) 2020-08-21 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11810857B2 (en) 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
US11616143B2 (en) 2020-08-27 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11862694B2 (en) 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11430701B2 (en) 2020-09-25 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate oxide structures in semiconductor devices
US11348929B2 (en) 2020-09-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11749732B2 (en) 2020-09-29 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch profile control of via opening
US11581218B2 (en) 2020-09-29 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11664272B2 (en) 2020-09-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11728212B2 (en) * 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11967526B2 (en) 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11705491B2 (en) 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11942371B2 (en) 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
US11404548B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device
US11894435B2 (en) 2020-10-15 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug structure of semiconductor device and method of forming same
US11637018B2 (en) 2020-10-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for contact structures of semiconductor devices
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11374093B2 (en) 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11784218B2 (en) * 2021-01-08 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate air spacer protection during source/drain via hole etching
US11557511B2 (en) 2021-01-12 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11923357B2 (en) 2021-01-18 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US20220246473A1 (en) * 2021-02-04 2022-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid film scheme for self-aligned contact
US11581411B2 (en) 2021-02-09 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11984356B2 (en) 2021-02-11 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US12002885B2 (en) 2021-02-11 2024-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Gate contact and via structures in semiconductor devices
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US11652171B2 (en) 2021-02-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact for semiconductor device and method of forming thereof
US11670595B2 (en) 2021-02-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11676862B2 (en) 2021-02-26 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11854963B2 (en) 2021-03-03 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnection structure and methods of forming the same
US11626482B2 (en) 2021-03-04 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation with a spin-on dielectric material
US11996324B2 (en) 2021-03-05 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature of a semiconductor device and method of forming same
US11855153B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11670499B2 (en) 2021-03-18 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming conductive feature including cleaning step
US11942479B2 (en) 2021-03-26 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US20220319990A1 (en) * 2021-03-30 2022-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnection structure and methods of forming the same
US11605591B2 (en) 2021-03-30 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11695042B2 (en) 2021-04-08 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor contacts and methods of forming the same
US11749677B2 (en) * 2021-04-14 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and methods of forming the same
US11728218B2 (en) 2021-04-16 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11996327B2 (en) 2021-04-22 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US11482595B1 (en) 2021-04-23 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11652152B2 (en) 2021-04-23 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US11855186B2 (en) 2021-04-28 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11996363B2 (en) 2021-04-28 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure including a heat dissipation layer and methods of forming the same
US11600699B2 (en) 2021-05-05 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure integrating air gaps and methods of forming the same
US11705371B2 (en) 2021-05-05 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having merged source/drain features and methods of fabrication thereof
US11967622B2 (en) 2021-05-05 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Inter block for recessed contacts and methods forming same
US11776895B2 (en) 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11756884B2 (en) 2021-05-06 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same
US11640940B2 (en) 2021-05-07 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnection structure including conductive graphene layers
US20230008496A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US20230025645A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Fin Field-Effect Transistor Device and Method
US11908702B2 (en) * 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11581416B1 (en) 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11990524B2 (en) 2021-08-30 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US20230062825A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US12009259B2 (en) 2021-08-30 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including low-k metal gate isolation and methods of fabrication thereof
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
US11973124B2 (en) 2021-11-04 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20230155004A1 (en) * 2021-11-12 2023-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5378654A (en) * 1994-05-24 1995-01-03 United Microelectronics Corporation Self-aligned contact process
CN101079393A (zh) * 2006-05-24 2007-11-28 海力士半导体有限公司 使用改进自动校准接触工艺在半导体中形成电接触的方法
US20120122286A1 (en) * 2010-11-11 2012-05-17 Samsung Electronics Co., Ltd Methods of manufacturing semiconductor device
CN103299428A (zh) * 2011-01-10 2013-09-11 国际商业机器公司 用于高k/金属栅工艺流程的自对准接触
CN104576370A (zh) * 2013-10-18 2015-04-29 国际商业机器公司 形成晶体管的方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69222390T2 (de) * 1991-10-31 1998-03-19 Sgs Thomson Microelectronics Herstellungsverfahren eines selbstjustierenden Kontakts
US5436188A (en) * 1994-04-26 1995-07-25 Industrial Technology Research Institute Dram cell process having elk horn shaped capacitor
US6362094B1 (en) * 2000-08-16 2002-03-26 Agere Systems Guardian Corp. Hydrogenated silicon carbide as a liner for self-aligning contact vias
US6271087B1 (en) * 2000-10-10 2001-08-07 Advanced Micro Devices, Inc. Method for forming self-aligned contacts and local interconnects using self-aligned local interconnects
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
JP2010205908A (ja) * 2009-03-03 2010-09-16 Toshiba Corp 半導体装置およびその製造方法
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8637941B2 (en) * 2010-11-11 2014-01-28 International Business Machines Corporation Self-aligned contact employing a dielectric metal oxide spacer
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US20150228776A1 (en) * 2014-02-07 2015-08-13 Globalfoundries Inc. Methods of forming contacts to semiconductor devices using a bottom etch stop layer and the resulting devices
US9379058B2 (en) * 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design
US9466491B2 (en) * 2014-05-02 2016-10-11 Globalfoundries Inc. Methods of forming a semiconductor device with a spacer etch block cap and the resulting device
US9634115B2 (en) * 2014-06-11 2017-04-25 Globalfoundries Inc. Methods of forming a protection layer on a semiconductor device and the resulting device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5378654A (en) * 1994-05-24 1995-01-03 United Microelectronics Corporation Self-aligned contact process
CN101079393A (zh) * 2006-05-24 2007-11-28 海力士半导体有限公司 使用改进自动校准接触工艺在半导体中形成电接触的方法
US20120122286A1 (en) * 2010-11-11 2012-05-17 Samsung Electronics Co., Ltd Methods of manufacturing semiconductor device
CN103299428A (zh) * 2011-01-10 2013-09-11 国际商业机器公司 用于高k/金属栅工艺流程的自对准接触
CN104576370A (zh) * 2013-10-18 2015-04-29 国际商业机器公司 形成晶体管的方法

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108511337A (zh) * 2017-02-24 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件的制备方法
US10847633B2 (en) 2017-11-28 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device
CN109841512A (zh) * 2017-11-28 2019-06-04 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN109841512B (zh) * 2017-11-28 2021-01-08 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN109860293A (zh) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN109860293B (zh) * 2017-11-30 2022-01-28 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN110416304A (zh) * 2018-04-26 2019-11-05 三星电子株式会社 半导体装置
US11127638B2 (en) 2018-06-07 2021-09-21 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method including air gap spacers
US11742245B2 (en) 2018-06-07 2023-08-29 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor fabrication method and structure using multiple sacrificial layers to form sidewall spacers
CN110581101A (zh) * 2018-06-07 2019-12-17 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110581101B (zh) * 2018-06-07 2022-01-11 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN110767654B (zh) * 2018-07-26 2023-10-13 三星电子株式会社 集成电路装置
US12015063B2 (en) 2018-07-26 2024-06-18 Samsung Electronics Co., Ltd. Method of manufacturing an integrated circuit device including a fin-type active region
CN110767654A (zh) * 2018-07-26 2020-02-07 三星电子株式会社 集成电路装置
CN110783269A (zh) * 2018-07-31 2020-02-11 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US11978672B2 (en) 2018-07-31 2024-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with elongated pattern
CN110783269B (zh) * 2018-07-31 2022-03-01 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US11469143B2 (en) 2018-07-31 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with elongated pattern
US11929283B2 (en) 2018-08-31 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor device
CN110875279A (zh) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 半导体装置及其制造方法
US11996326B2 (en) 2018-08-31 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor device
US11631746B2 (en) 2018-10-31 2023-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN111128741B (zh) * 2018-10-31 2023-09-19 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN111128741A (zh) * 2018-10-31 2020-05-08 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN111696916A (zh) * 2019-03-12 2020-09-22 美光科技公司 形成导电通孔的方法和形成存储器阵列的方法
CN112018035B (zh) * 2019-05-31 2023-09-12 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN112018035A (zh) * 2019-05-31 2020-12-01 台湾积体电路制造股份有限公司 半导体器件及其形成方法
CN112885775A (zh) * 2019-11-29 2021-06-01 广东汉岂工业技术研发有限公司 一种半导体结构及其制程方法
CN113380704A (zh) * 2020-02-25 2021-09-10 台湾积体电路制造股份有限公司 形成半导体器件的方法
CN113484948A (zh) * 2020-06-19 2021-10-08 台湾积体电路制造股份有限公司 半导体结构及其制造方法

Also Published As

Publication number Publication date
CN107275281B (zh) 2019-12-20
US20170288031A1 (en) 2017-10-05
TW201810532A (zh) 2018-03-16
TWI596705B (zh) 2017-08-21
US9859386B2 (en) 2018-01-02
US9548366B1 (en) 2017-01-17

Similar Documents

Publication Publication Date Title
CN107275281B (zh) 自对准接触方案、半导体结构及其形成方法
KR102018431B1 (ko) 핀펫 디바이스 및 형성 방법
US10629443B2 (en) Bottom source/drain silicidation for vertical field-effect transistor (FET)
US11908750B2 (en) Semiconductor device and method
US12015070B2 (en) Gate structure and method of forming the same
US11411113B2 (en) FinFETs and methods of forming FinFETs
US11594618B2 (en) FinFET devices and methods of forming
US10515858B1 (en) Semiconductor device and method
US20190305125A1 (en) Fin Field-Effect Transistor Device and Method
US11532519B2 (en) Semiconductor device and method
US11217679B2 (en) Semiconductor device and method
US11594410B2 (en) Treatment for adhesion improvement
US10943818B2 (en) Semiconductor device and method
US20240153828A1 (en) Semiconductor Device and Method
US11056573B2 (en) Implantation and annealing for semiconductor device
CN111129144B (zh) 栅极间隔件结构及其形成方法
US12020991B2 (en) High-k gate dielectric and method forming same
US20220359756A1 (en) FinFETs and Methods of Forming FinFETs

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant