CN107109136A - 用于化学机械平面化组合物的复合磨料颗粒及其使用方法 - Google Patents

用于化学机械平面化组合物的复合磨料颗粒及其使用方法 Download PDF

Info

Publication number
CN107109136A
CN107109136A CN201680005509.2A CN201680005509A CN107109136A CN 107109136 A CN107109136 A CN 107109136A CN 201680005509 A CN201680005509 A CN 201680005509A CN 107109136 A CN107109136 A CN 107109136A
Authority
CN
China
Prior art keywords
salt
composite particles
polishing composition
combinations
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201680005509.2A
Other languages
English (en)
Inventor
周鸿君
J-A·T·施瓦茨
M·格瑞夫
史晓波
K·P·穆瑞拉
S·C·文彻斯特
J·E·Q·哈格赫斯
M·L·奥奈尔
A·J·多德
D·C·塔姆波利
R·M·马查多
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202210363963.2A priority Critical patent/CN114621686A/zh
Publication of CN107109136A publication Critical patent/CN107109136A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • C09K3/1445Composite particles, e.g. coated particles the coating consisting exclusively of metals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials

Abstract

包含复合颗粒(例如二氧化铈涂布的二氧化硅颗粒)的化学机械平面化(CMP)抛光组合物为抛光氧化物膜提供了低凹陷、低缺陷和高去除速率。使用软抛光垫,化学机械平面化(CMP)抛光组合物已经显示出优异的性能。

Description

用于化学机械平面化组合物的复合磨料颗粒及其使用方法
相关申请的交叉引用
本申请要求2015年1月12日提交的美国临时申请62/102,319和2015年9月21日提交的美国临时申请62/221,379的优先权,出于所有允许的目的,所述临时申请的全部内容通过引用并入本文。
背景技术
本发明涉及用于制造半导体器件的化学机械平面化(“CMP”)抛光组合物(CMP浆料、CMP组合物或CMP制剂可互换使用)以及用于进行化学机械平面化的抛光方法。特别地,本发明涉及包含适用于抛光由氧化物材料组成的图案化半导体晶片的复合磨料颗粒的抛光组合物。
氧化硅被广泛用作半导体工业中的介电材料。集成电路(IC)制造工艺中存在若干个CMP步骤,例如浅沟槽隔离(STI)、层间介电(ILD)CMP和门极多CMP等。典型的氧化物CMP浆料包含磨料,且具有或不具有其它化学品。其他化学品可以是改善浆料稳定性的分散剂、提高去除速率的促进剂,或者降低去除速率并停止在另一个膜(例如用于STI应用的SiN)上的抑制剂。
在CMP浆料中使用的常用磨料如二氧化硅、氧化铝、氧化锆、二氧化钛等等中,二氧化铈因其对于二氧化硅的高反应性而为人所熟知,并且因为二氧化铈对于二氧化硅的高反应性所带来的最高的氧化物去除速率(RR)而广泛用于STI CMP浆料。
Cook等(Lee M.Cook,Journal of Non-Crystalline Solids 120(1990)152-171)提出了一种“化学牙齿”机制以解释二氧化铈的这种非凡性质。根据该机制,当将二氧化铈颗粒压到氧化硅膜上时,二氧化铈断开二氧化硅键,形成Ce-O-Si结构,因而从表面分裂二氧化硅。
CMP工业中使用的大多数二氧化铈是通过煅烧-湿法研磨工艺制造的。所得二氧化铈具有锋利的边缘和非常宽的粒度分布。它也具有非常大的“大颗粒计数”(LPC)。据信所有这些都是缺陷和低产率、特别是晶片抛光之后的刮擦的原因。这从受损于基于二氧化铈的浆料造成的缺陷的IC工厂得到确认。
除了煅烧二氧化铈之外,一些颗粒公司具有包含胶体二氧化铈的商业产品。胶体二氧化铈由水性体系中的二氧化铈前体制成。与煅烧二氧化铈(自上而下的工艺)相比,胶体二氧化铈是自下而上的工艺。胶体二氧化铈具有窄得多的粒度分布和更好的受控形状。然而,由于水性体系中的晶体生长习性,胶体二氧化铈仍具有锋利的边缘。胶体二氧化铈的LPC与煅烧二氧化铈具有可比性。
随着半导体技术发展到更小的特征尺寸,抛光后对于缺陷的可允许尺寸和数量的规格也变得更具挑战性。缺陷通常包括刮痕、浆料残留物和残留的膜残留物。抛光垫的性质关键性地影响集成电路衬底的化学机械抛光(CMP)过程中的抛光结果。限定性能的CMP垫的关键参数之一是垫硬度或弹性。已知较软的垫在表面上造成减少的刮擦(例如,Hsein等,Microelectronic Engineering,第92卷,2012,第19-23页)。因此,使用较软的垫以减少关键CMP工艺(如浅沟槽隔离)中的刮擦缺陷将是非常有益的。然而,已知较软的垫导致较低的去除速率(例如,Castillo-Mejia等,Journal of Electrochemical Society,第150卷(2),2003,第G76-G82页)。还已知较软的垫对图案化晶片的抛光后形貌具有不期望的影响(例如,L.Wu,Journal of Electrochemical Society,第153卷(7),2006,第G669-G676页)。由于软垫的这些限制,STI CMP工艺在较硬的CMP垫如IC1000或IC1010上进行。通过增加磨料颗粒负载而补偿软垫上的较低去除速率将导致高缺陷。因此,对于关键应用如STI,在软垫上实现高去除速率、低缺陷度和低形貌的组合是非常有挑战性的。
因此,对于可以提供更高去除速率(特别是在软抛光垫上)、低凹陷和低缺陷的CMP组合物、方法和系统有着显著的需要。
发明内容
本文描述的是满足该需要的氧化物材料CMP抛光组合物、方法和系统。
在一个实施方式中,本文描述的是一种抛光组合物,其包含:
复合颗粒,所述复合颗粒包含具有被纳米颗粒覆盖的表面的核颗粒;
添加剂,所述添加剂选自具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇及其组合;
pH调节剂,所述pH调节剂选自氢氧化钠、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵(例如四甲基氢氧化铵)及其组合;
其余是水;
其中
复合颗粒在崩解力下的粒度分布的变化小于10%;
所述核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆、聚合物颗粒及其组合;并且所述纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、锶的化合物的纳米颗粒及其组合;
所述抛光组合物的pH为约2至约12,优选约4至约10,更优选约4.5至约7.5。
在另一个实施方式中,本文描述的是一种用于对包括具有至少一个氧化物层的至少一个表面的半导体衬底进行化学机械平面化的抛光方法,所述方法包括以下步骤:
a)使所述至少一个氧化物层与抛光垫接触;
b)将抛光组合物递送至所述表面,所述抛光组合物包含:
复合颗粒,所述复合颗粒包含具有被纳米颗粒覆盖的表面的核颗粒;
添加剂,所述添加剂选自具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇及其组合;
pH调节剂,所述pH调节剂选自氢氧化钠、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵(例如四甲基氢氧化铵)及其组合;
其余是水;
其中
复合颗粒在崩解力下的粒度分布的变化小于10%;
所述核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆、聚合物颗粒及其组合;并且所述纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、锶的化合物的纳米颗粒及其组合;和
所述抛光组合物的pH为约2至约12,优选约4至约10,更优选约4.5至约7.5;
c)用所述抛光组合物抛光所述至少一个氧化物层。
在又一个实施方式中,本文描述的是一种用于化学机械平面化的系统,其包括:
包括具有至少一个氧化物层的至少一个表面的半导体衬底;
抛光垫;和
抛光组合物,其包含:
复合颗粒,所述复合颗粒包含具有被纳米颗粒覆盖的表面的核颗粒;
添加剂,所述添加剂选自具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇及其组合;
pH调节剂,所述pH调节剂选自氢氧化钠、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵(例如四甲基氢氧化铵)及其组合;
其余是水;
其中
复合颗粒在崩解力下的粒度分布的变化小于10%;
所述核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆、聚合物颗粒及其组合;并且所述纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、锶的化合物的纳米颗粒及其组合;和
所述抛光组合物的pH为约2至约12,优选约4至约10,更优选约4.5至约7.5;
其中至少一个氧化物层与所述抛光垫和所述抛光组合物接触。
在又一个实施方式中,本文描述的是一种用于化学机械平面化的系统,其包括:
包括具有至少一个氧化物层的至少一个表面的半导体衬底;
软抛光垫;和
抛光组合物,其包含:
复合颗粒,所述复合颗粒包含具有被纳米颗粒覆盖的表面的核颗粒;
添加剂,所述添加剂选自具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇及其组合;
pH调节剂,所述pH调节剂选自氢氧化钠、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵(例如四甲基氢氧化铵)及其组合;
其余是水;
其中
所述核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆、聚合物颗粒及其组合;并且所述纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、锶的化合物的纳米颗粒及其组合;和
所述抛光组合物的pH为约2至约12,优选约4至约10,更优选约4.5至约7.5;
其中至少一个氧化物层与所述抛光垫和所述抛光组合物接触。
所述抛光组合物可以还包含表面活性剂和/或生物生长抑制剂。
所述表面活性剂可以选自a)非离子表面润湿剂;b)阴离子表面润湿剂;c)阳离子表面润湿剂;d)两性表面润湿剂;及其混合物。
所述生物生长抑制剂包括但不限于四甲基氯化铵、四乙基氯化铵、四丙基氯化铵;烷基苄基二甲基氯化铵和烷基苄基二甲基氢氧化铵,其中烷基链范围为1至约20个碳原子;亚氯酸钠、次氯酸钠及其组合。
附图说明
图1显示具有不同颗粒的浆料的抛光结果。
图2显示相对于(二氧化铈涂布的二氧化硅)固体%,在去除速率下的抛光结果。
图3显示聚丙烯酸(盐)对抛光结果(使用IC1010垫的去除速率(RR))的影响。
图4显示pH对抛光结果的影响(使用IC1010垫的RR)。
图5显示在软垫(Fujibo垫)上的抛光性能比较。
图6显示在硬垫和软垫两者上具有不同磨料颗粒的浆料的TEOS去除速率。
图7显示使用含有二氧化铈涂布的二氧化硅复合颗粒的浆料在不同垫上的TEOS去除速率。
图8显示在硬垫和软垫两者上用具有不同磨料颗粒的浆料抛光之后TEOS晶片上的缺陷数量。
图9A和9B显示在IC1010垫上用具有不同磨料颗粒的浆料(分别为制剂A和C)抛光之后高纵横比工艺(HARP)膜上的缺陷数量。
图10显示在硬垫和软垫两者上使用含有二氧化铈涂布的二氧化硅复合颗粒的浆料的图案化晶片的性能。
具体实施方式
本发明公开的CMP组合物(或CMP浆料或CMP制剂)、方法和系统可以提供更高去除速率(特别是在软抛光垫上)、低凹陷和低缺陷。
复合磨料颗粒中的每一个具有核颗粒和覆盖核颗粒表面的许多纳米颗粒。核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆和聚合物颗粒。纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧和锶的氧化物的纳米颗粒。
覆盖核颗粒表面的纳米颗粒的量优选在固体重量比方面落入以下范围内。纳米颗粒的固体重量(b)相对于核颗粒的固体重量(a)为(b)/(a)=0.01至1.5,优选为0.01至1.2。
复合颗粒的实例之一是具有作为核颗粒的二氧化硅和具有作为纳米颗粒的二氧化铈;并且每个二氧化硅核颗粒具有覆盖其壳的二氧化铈纳米颗粒。每个二氧化硅颗粒的表面被二氧化铈纳米颗粒覆盖。二氧化硅基础颗粒是无定形的;并且二氧化铈纳米颗粒是单晶。
覆盖核颗粒的二氧化铈纳米颗粒的直径优选大于10nm,优选大于13nm。具有更大的二氧化铈颗粒直径将允许更高的去除速率成为可能。
核粒度可以为10nm至500nm,优选20nm至200nm,最优选50nm至150nm。
本发明的另一方面涉及使用在抛光力下不崩解的二氧化铈涂布的二氧化硅颗粒。据猜测如果颗粒在抛光力(即崩解力)的作用下不破裂,且保持原始颗粒尺寸的特征,则去除速率将保持高。另一方面,如果颗粒在抛光力下崩解,则由于实际上更小的磨料颗粒尺寸,去除速率将降低。颗粒的破裂也可以产生形状不规则的颗粒,这可以对刮擦缺陷具有不期望的影响。崩解力下的颗粒稳定性也可以通过使制剂经受半小时超声处理并测量粒度分布的变化而测定。超声波处理的优选条件是在100W输出下在42KHZ频率的浴中沉浸1/2小时。粒度分布可以通过使用任何适合的技术测量,例如盘式离心机(DC)法或动态光散射(DLS)。粒度分布的变化可以根据平均粒度或D50(50%颗粒低于该尺寸)或D99(99%颗粒低于该尺寸)或任何类似参数的变化表征。优选地,通过使用例如DC和平均粒度、D50、D75和/或D99,超声处理后二氧化铈涂布的二氧化硅颗粒的粒度分布的变化小于10%,更优选小于5%,或最优选小于2%。在CMP浆料制剂中使用这样的稳定颗粒将允许更有效地利用抛光力去除膜材料,并且还将阻止产生有助于刮擦缺陷的任何不规则形状。
在本发明的另一方面,基于二氧化硅的复合颗粒在无定形二氧化硅颗粒A的表面上具有无定形氧化物层以及其上的晶体氧化物层B,所述无定形氧化物层包含铝、锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、硅和锶中的至少一种类型的元素,所述晶体氧化物层B包含选自选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧和锶的至少一种类型的元素。
由于高级CMP应用需要抛光后介电表面上极低水平的金属(例如钠),因此期望在浆料制剂中具有非常低的痕量金属,特别是钠。在某些优选实施方案中,制剂包含二氧化铈涂布的二氧化硅颗粒,其按重量计对于制剂中的每个百分比的颗粒,具有少于5ppm,更优选少于1ppm,最优选少于0.5ppm的钠杂质水平。
复合颗粒在CMP组合物、制剂或浆料(“CMP组合物”、“CMP制剂”或“CMP浆料”中可互换使用)中用作磨料。一个实例是STI(浅沟槽隔离)CMP制剂,以抛光氧化物膜,例如各种金属氧化物膜;和各种氮化物膜。在STI制剂中,包含二氧化硅涂布的二氧化铈复合颗粒的制剂可以提供非常高的氧化硅膜的去除速率和非常低的氮化硅抛光停止膜的去除速率。这些浆料制剂可用于抛光各种膜和材料,包括但不限于热氧化物、四乙基正硅酸盐(TEOS)、高密度等离子体(HDP)氧化物、高纵横比工艺(HARP)膜、氟化氧化物膜、掺杂氧化物膜、有机硅酸盐玻璃(OSG)低K介电膜、旋涂玻璃(SOG)、聚合物膜、可流动化学气相沉积(CVD)膜、光学玻璃、显示器玻璃。这些制剂可以用于膜中停止(stop-in-film)应用,其中一旦去除形貌并且获得平坦表面,则停止抛光。或者,这些制剂可用于涉及抛光本体膜并在停止层处停止的应用中。这些制剂可用于各种应用,包括浅沟槽隔离(STI)、层间介电(ILD)抛光、金属间介电(IMD)抛光、通过硅通孔(TSV)抛光。这些制剂也可用于任何其它应用,例如玻璃抛光或太阳能晶片加工或晶片研磨,其中期望高去除速率。
CMP组合物包含复合颗粒、用于将CMP组合物的pH调节至优化的pH条件的pH调节剂、增强/抑制抛光停止层/膜的去除速率的适合的化学添加剂,且其余是水。
磨料的存在量为0.01重量%至20重量%,优选0.05重量%至5重量%,更优选约0.1重量%至约1重量%。
化学添加剂包括但不限于具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇及其组合。
化学添加剂的量的范围相对于屏障CMP组合物的总重量为约0.1ppm至0.5重量%。优选的范围为约200ppm至0.3%,更优选的范围为约500ppm至0.15重量%。
pH调节剂包括但不限于氢氧化钠、氢氧化铯、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵(例如四甲基氢氧化铵)及其混合物。pH调节剂的量的范围相对于CMP组合物的总重量为约0.0001重量%至约5重量%。优选的范围为约0.0005重量%至约1重量%,更优选的范围为约0.0005重量%至约0.5重量%。CMP组合物的pH的范围为约2至约12。优选的范围为约4至约10。最优选的范围为约4.5至7.5。
CMP组合物可以包含表面活性剂。表面活性剂包括但不限于a)非离子表面润湿剂;b)阴离子表面润湿剂;c)阳离子表面润湿剂;d)两性表面润湿剂;及其混合物。
非离子表面润湿剂包括但不限于在同一分子中具有各种疏水和亲水部分的含氧或含氮化合物,其分子量范围为数百至超过一百万。这些材料的粘度也具有非常广泛的分布。
阴离子表面润湿剂是在分子框架的主要部分上具有负的净电荷的化合物。这些化合物包括但不限于具有适合的疏水尾部的盐,例如烷基羧酸盐,烷基聚丙烯酸盐,烷基硫酸盐,烷基磷酸盐,烷基二羧酸盐,烷基硫酸氢盐,烷基磷酸氢盐,例如烷氧基羧酸盐,烷氧基硫酸盐,烷氧基磷酸盐,烷氧基二羧酸盐,烷氧基硫酸氢盐,烷氧基磷酸氢盐,例如取代的芳基羧酸盐,取代的芳基硫酸盐,取代的芳基磷酸盐,取代的芳基二羧酸盐,取代的芳基硫酸氢盐,取代的芳基二磷酸盐等。这种类型的表面润湿剂的抗衡离子包括但不限于不限于钾、铵和其他阳离子。这些阴离子表面润湿剂的分子量范围为数百到数十万。
阳离子表面润湿剂在分子框架的主要部分上具有正的净电荷。这些化合物包括但不限于具有适合的疏水尾部的盐,例如羧酸盐,硫酸盐,磷酸盐,二羧酸盐,硫酸氢盐,磷酸氢盐等。这种类型的表面润湿剂的抗衡离子包括但不限于钾、铵和其他阳离子。这些阴离子表面润湿剂的分子量范围为数百到数十万。
两性表面润湿剂在主分子链上具有正电荷和负电荷两者,且带有其相关抗衡离子。这样的双极性表面润湿剂的实例包括但不限于氨基羧酸、氨基磷酸、氨基磺酸的盐及其混合物。
表面活性剂的实例还包括但不限于十二烷基硫酸钠盐、月桂基硫酸钠、十二烷基硫酸铵盐、仲烷烃磺酸盐、醇乙氧基化物、炔属表面活性剂及其任何组合。适合的市售表面活性剂的实例包括Dow Chemicals制造的TRITONTM,TergitolTM,DOWFAXTM家族的表面活性剂,和Air Products and Chemicals制造的SUIRFYNOLTM,DYNOLTM,ZetasperseTM,NonidetTM和TomadolTM表面活性剂家族中的各种表面活性剂。表面活性剂的适合表面活性剂也可以包括包含环氧乙烷(EO)和环氧丙烷(PO))基团的聚合物。EO-PO聚合物的一个实例是来自BASFChemicals的TetronicTM90R4。
具有分散剂和/或润湿剂功能的其它表面活性剂包括但不限于可具有阴离子或阳离子或非离子或两性离子特征的聚合化合物。实例是含有丙烯酸、马来酸、磺酸、乙烯基酸、环氧乙烷等官能团的聚合物/共聚物
表面活性剂的量相对于CMP组合物的总重量为约0.0001重量%至约10重量%。优选的范围为约0.001重量%至约1重量%,更优选的范围为约0.005重量%至约0.1重量%。
制剂还可以包含可以包含阴离子或阳离子或非离子或组合的基团的水溶性聚合物。
CMP组合物可以包含生物生长抑制剂或防腐剂以防止储存期间的细菌和真菌生长。生物生长抑制剂包括但不限于四甲基氯化铵、四乙基氯化铵、四丙基氯化铵;烷基苄基二甲基氯化铵和烷基苄基二甲基氢氧化铵,其中烷基链范围为1至约20个碳原子;亚氯酸钠和次氯酸钠。一些市售防腐剂包括来自Dow Chemicals的KATHONTM和NEOLENETM产品家族和来自Lanxess的PreventolTM家族。更多在美国专利No.5,230,833(Romberger等)和美国专利申请No.US 20020025762中公开,其内容通过引用并入本文,就像陈述其全文一样。
与具有不同颗粒的制剂相比,本发明的制剂在较软的垫上特别有效,具有更好的去除速率、缺陷和平面性。CMP垫的弹性或硬度可以使用各种技术表征,例如肖氏硬度测试、动力学机械分析、超声表征、组成分析,以测定硬与软聚合物链段的比率等。根据ASTMD2240-1 0ASTM标准中描述的方法测量的肖氏D硬度测试是CMP垫硬度的公知测试方法。虽然文献中没有明确定义区别软垫和硬垫,但通常被认为是硬垫的CMP垫,例如IC1000和IC1010(由Dow Chemicals提供)的肖氏D硬度为57。表征为软的CMP垫如Dow Ikonic2000系列的肖氏D硬度小于45。市售软垫的其他实例包括Dow Chemicals的Politex系列垫,CabotMicroelectronics的EPIC D200系列垫,Fujibo的Fujibo H7000N垫,Nexplanar的Nexplanar 11EG,Dow Chemicals的VP3500垫。当在软垫上抛光时,与包含具有通过盘式离心机技术测量的可比的平均粒度的煅烧二氧化铈颗粒的相似制剂相比,包含二氧化铈涂布的二氧化硅颗粒的本发明的制剂提供至少2倍,更优选超过5倍,最优选超过10倍更高的TEOS膜去除速率。
与具有不同颗粒的制剂相比,本发明的制剂在较软的垫上特别有效,具有更好的去除速率、缺陷和平面性。CMP垫的弹性或硬度可以使用各种技术表征,例如肖氏硬度测试、动力学机械分析、超声表征、组成分析,以测定硬与软聚合物链段的比率等。根据ASTMD2240-1 0ASTM标准中描述的方法测量的肖氏D硬度测试是CMP垫硬度的公知测试方法。虽然文献中没有明确定义区别软垫和硬垫,但通常被认为是硬垫的CMP垫,例如IC1000和IC1010(由Dow Chemicals提供)的肖氏D硬度为57。表征为软的CMP垫如Dow Ikonic2000系列的肖氏D硬度小于45。市售软垫的其他实例包括Dow Chemicals的Politex系列垫,CabotMicroelectronics的EPIC D200系列垫,Fujibo的Fujibo H7000N垫,Nexplanar的Nexplanar 11EG,Dow Chemicals的VP3500垫。当在软垫上抛光时,与包含具有通过盘式离心机技术测量的可比的平均粒度的煅烧二氧化铈颗粒的相似制剂相比,包含二氧化铈涂布的二氧化硅颗粒的本发明的制剂提供至少2倍,更优选超过5倍,最优选超过10倍更高的TEOS膜去除速率。在软垫上在2psi(13.8kPa)下向力下用包含0.5重量%磨料颗粒的浆料制剂抛光时,TEOS膜的去除速率将高于500埃/分钟,更优选大于750埃/分钟或最优选大于1000埃/分钟。
实施例
设备
Dow Corporation供应的抛光垫IC1010垫和Fujibo供应的软Fujibo抛光垫用于CMP工艺。
TEOS氧化物膜,通过使用原硅酸四乙酯作为前体的化学气相沉积(CVD)制备
HDP氧化物膜,通过高密度等离子体(HDP)技术制备
SiN膜—氮化硅膜
参数
埃—长度单位
BP:背压,单位:psi
CMP:化学机械平面化=化学机械抛光
CS:载体速度
DF:下向力:CMP期间施加的压力,单位psi
min:分钟
ml:毫升
mV:毫伏
psi:磅/平方英寸
PS:抛光工具的转盘转速,以rpm(每分钟转数)表示
SF:抛光组合物流速,ml/min
移除速率和选择性
去除速率(RR)=(抛光前的膜厚度-抛光后的膜厚度)/抛光时间。
TEOS RR=在CMP工具的2.0psi(13.8kPa;软垫)和4.7psi(32.4kPa;硬垫)下向压力下测量的TEOS去除速率
HDP RR=在CMP工具的2.0psi(13.8kPa;软垫)和4.7psi(32.4kPa;硬垫)下向压力下测量的HDP去除速率
SiN RR=在CMP工具的2.0psi(13.8kPa;软垫)和4.7psi(32.4kPa;硬垫)下向压力下测量的SiN去除速率
TEOS/SiN的选择性=TEOS RR/SiN RR;HDP/SiN=相同下向力(psi/kPa)下的HDPRR/SiN RR
所有百分比均为重量百分比,除非另有说明。
一般实验程序
在下文呈现的实施例中,使用下文给出的程序和实验条件进行CMP实验。实施例中使用的CMP工具是Applied Materials,3050Boweres Avenue,Santa Clara,California,95054制造的Narubeni America Corporation供应的Fujibo H7000HN垫在台上用于空白晶片抛光研究。通过抛光二十五个虚拟(dummy)氧化物(从TEOS前体(PETEOS)通过等离子体增强CVD沉积)晶片而对垫进行初试(break-in)。为了使工具设置和垫初试合格,用Air Products Chemical Incorporation供应的OX-K胶体二氧化硅在基线条件下抛光两个PETEOS监测物。
氧化物膜厚度规格总结如下:
TEOS:
HDP:
实施例1
二氧化铈涂布的二氧化硅颗粒是具有作为核颗粒的二氧化硅和二氧化硅颗粒表面上的二氧化铈纳米颗粒的复合颗粒。LPC(大颗粒计数)告知浆料中有多少大颗粒。作为广泛接受的概念,刮擦通常由大颗粒引起。通常,具有较高LPC的浆料与具有较低LPC的浆料相比,给出更差的关于缺陷的性能。LPC通常通过光学技术例如光阻法或单颗粒光学筛分(SPOS)测量。
表1使用AccusizerTM780颗粒筛分系统比较了三种不同颗粒溶液的LPC:含有煅烧二氧化铈颗粒(通过盘式离心机测量的平均粒度:97.9nm)的溶液,含有胶体二氧化铈颗粒(从Solvay获得的HC90)的溶液和含有二氧化铈涂布的二氧化硅复合颗粒(来自JGC C&CLtd的CPOP-20)的溶液。CPOP-20颗粒通过JP20131191131,JP2013133255,JP2015-169967和JP2015-183942中描述的方法制造。
表1.不同颗粒溶液的LPC比较
含有二氧化铈涂布的二氧化硅复合颗粒的溶液与其他两者相比具有最低的LPC。这对于CMP应用是高度期望的,特别是对于产率对缺陷高度敏感的高级节点。
实施例2
CMP组合物包含0.5重量%的磨料、0.077重量%的聚丙烯酸铵(分子量16000-18000)、氢氧化铵和水。CMP组合物的pH为5。
所有三种CMP组合物都具有相同的化学组分、pH和磨料重量%。三种浆料的唯一区别是所用磨料类型。三种类型的磨料是常规煅烧二氧化铈和胶体二氧化铈,以及二氧化铈涂布的二氧化硅(复合颗粒)。抛光的氧化物膜是TEOS膜,其指的是使用TEOS(原硅酸四乙酯)作为前体、通过CVD(化学气相沉积)制成的氧化物膜;和HDP(高密度等离子体)膜,其指的是通过HDP技术制成的氧化物膜。
使用CMP组合物和IC1010垫来抛光氧化物膜和SiN膜。
比较不同磨料颗粒的CMP性能(去除速率-RR和缺陷),并在图1中示出。二氧化铈涂布的二氧化硅在TEOS和HDP氧化物膜两者上都具有最高的RR。它还具有最高的氧化物膜相对于SiN的选择性,以及更低的缺陷(阈值在0.13μm)。
实施例3
所有CMP组合物都具有相同的化学组分,但具有不同量(重量%)的二氧化铈涂布的二氧化硅磨料。所有CMP组合物都具有0.077重量%的聚丙烯酸铵(分子量16000-18000)、氢氧化铵。CMP组合物的pH为7。
使用CMP组合物和IC1010垫来抛光氧化物膜。
研究了二氧化铈涂布的二氧化硅磨料重量%对RR的影响,并在图2中示出。当二氧化铈涂布的二氧化硅磨料的量(重量%)增加时,TEOS RR和HDP RR两者都增加,如图3中歪斜的方块所示。作为比较,SiN RR保持平坦。当使用0.5重量%的二氧化铈涂布的二氧化硅磨料时,TEOS RR和HDP RR达到几乎6,000埃/分钟。结果表明,二氧化铈涂布的二氧化硅颗粒对于氧化物膜去除非常有效。
实施例4
所有CMP组合物都具有相同的化学组分,但具有不同量(重量%)的聚丙烯酸铵(分子量16000-18000)。所有CMP组合物都包含:0.25重量%的二氧化铈涂布的二氧化硅作为磨料,氢氧化铵。CMP组合物的pH为5。
使用CMP组合物和IC1010垫来抛光氧化物膜。
聚丙烯酸盐浓度对RR的影响在图3中示出。随着CMP组合物浆料中的聚丙烯酸(盐)浓度从0增加到0.30重量%,TEOS膜的RR和HDP膜的RR从/min显著变化至/分钟。一旦聚丙烯酸(盐)达到0.1重量%,SiN RR在该范围内变化很小,且达到稳定水平。TEOS RR与HDP RR的相对比率也在一定范围内变化。当加入少量(0.13重量%)的聚丙烯酸铵(分子量16000-18000)时,HDP RR高于TEOS RR。在聚丙烯酸盐浓度达到一定水平(如图3,~0.13%)后,TEOS RR变得高于HDP RR。作为比较,用煅烧二氧化铈和胶体二氧化铈类似地测试聚丙烯酸(盐)的重量%相对于RR,HDP RR在聚丙烯酸盐的任何重量%下一直低于TEOSRR。
实施例5
所有CMP组合物都包含:0.25重量%的二氧化铈涂布的二氧化硅作为磨料、0.077重量%的聚丙烯酸铵(分子量16000-18000)、氢氧化铵。CMP组合物的pH为5或7。
使用CMP组合物和IC1010垫来抛光氧化物膜。
不同pH值对RR的影响在图4中示出。随着pH从5增加到7,TEOS RR和HDP RR也增加,而SiN RR降低。因此,将pH变为中性将增加氧化物/SiN选择性。在pH=5时,HDP RR高于TEOSRR。然而,该结果在pH=7时反转,其中TEOS RR高于HDP RR。
实施例6
所有CMP组合物都包含:0.5重量%的二氧化铈涂布的二氧化硅作为磨料、0.077重量%的聚丙烯酸铵(分子量16000-18000)、氢氧化铵。CMP组合物的pH为5。
使用CMP组合物和软垫(如Fujibo垫)抛光氧化物膜。结果在图5中示出。
当用软垫(如Fujibo垫)抛光氧化物膜时,具有胶体二氧化铈和煅烧二氧化铈的CMP组合物具有可忽略的去除速率。作为对比,具有二氧化铈涂布的二氧化硅的CMP组合物提供非常高的去除速率。这是包含二氧化铈涂布的二氧化硅复合颗粒的CMP组合物的独特性能。
实施例7
三种CMP制剂A、B和C用不同磨料材料制备。所有制剂都包含0.5重量%的磨料颗粒、0.077重量%的聚丙烯酸铵(分子量16000-18000),且pH被调节为5至6之间。
制剂A用煅烧二氧化铈颗粒(在实施例1中描述)制备,制剂B用从SolvayChemicals获得的HC-90胶体二氧化铈颗粒制备,制剂C用CPOP-20二氧化铈涂布的二氧化硅颗粒制备。
使用这些浆料的抛光使用不同的CMP垫进行:硬垫#1(Dow Chemicals的IC1000),软垫#1(Fujibo的Fujibo H7000),软垫#2(Dow Chemicals的VP3500),软垫#3(Nexplanar的Nexplanar11EG)。硬垫上的抛光用4.7psi下向力进行。软垫上的抛光用2psi进行。
图6显示,使用二氧化铈涂布的二氧化硅颗粒(制剂C),在硬垫和软垫(#1)两者上都获得非常高的TEOS去除速率。使用煅烧二氧化铈(制剂A)和胶体二氧化铈(制剂B),软垫上的TEOS去除速率极低,而不能有效进行CMP应用。二氧化铈涂布的二氧化硅颗粒在软垫上显示出预料不到的TEOS高速率。
图7中的数据显示使用制剂C在不同垫上的TEOS去除速率。使用所有不同类型的垫都获得高的TEOS去除速率。
使用硬垫#1和软垫#3,在用制剂A和C抛光后,测量TEOS晶片上的缺陷数量。结果在图8中示出。由于非常低厚度的膜被去除,所以未对用制剂A抛光的晶片在软垫3上测量缺陷性。
含有二氧化铈涂布的二氧化硅颗粒的制剂C与含有煅烧二氧化铈的制剂A相比,即使在硬垫上,也在缺陷方面具有显著改善。
与硬垫相比,缺陷也在软垫上低得多。因此,使用二氧化铈涂布的二氧化硅颗粒使得要求在软垫上抛光的CMP应用能够获得高去除速率和低缺陷两者。
使用IC1010垫,在用制剂A和C抛光后,测量高纵横比工艺(HARP)膜上的缺陷数量。结果分别在图9A和9B中示出。与使用含有煅烧二氧化铈颗粒的制剂A的缺陷相比,使用含有二氧化铈涂布的二氧化硅颗粒的制剂C的缺陷导致非常低的缺陷。
还使用硬垫(IC1010)和软垫(#3)二者测量制剂C在图案化晶片上的性能。凹陷的结果在图10中示出。
在各种抛光时间下、在50%图案密度处、在50微米线上测量晶片上的形貌。结果显示使用软垫和硬垫两者在50微米线上非常低的凹陷形貌。已知与硬垫相比,软垫上的凹陷要差得多。使用二氧化铈涂布的二氧化硅颗粒即使在软垫上也允许低凹陷。
实施例8
包含水、0.5%磨料颗粒、0.077%聚丙烯酸铵(分子量16000-18000)、用于将pH调节至5的氢氧化铵的三种浆料制剂(D、E、F)用不同磨料颗粒制备。
制剂D用根据US 2012/0077419中描述的方法制备的二氧化铈涂布的二氧化硅颗粒(称为颗粒CP2)制备用于比较。通过盘式离心机分析测量的平均粒度(MPS)为41nm。制剂E使用实施例1中所述的煅烧二氧化铈颗粒(通过盘式离心机分析测量的平均粒度:97nm)制备。制剂F使用如实施例1所述的CPOP-20二氧化铈涂布的二氧化硅颗粒制备。这些颗粒通过盘式离心机测量的平均粒度(MPS)为97.7nm。
使用这些浆料制剂在Bruker CP4迷你抛光器(Minipolisher)上抛光TEOS晶片。抛光在2psi下向力、230RPM台转速、87RPM头转速、13ml/min浆料流速下用Fujibo H7000CMP垫进行。
表2列出了按照浆料制剂使用的三个晶片中的每一个的去除速率数据(埃/分钟)。
表2
如表2证实的,使用CPOP-20二氧化铈涂布的二氧化硅颗粒的制剂F优于制剂D和E.
结果显示,具有替代颗粒的比较制剂不提供软垫CMP工艺所必需的氧化物膜去除速率。本发明的制剂提供了大大高于比较制剂的去除速率,从而使得能够进行氧化物膜的CMP,特别是在软垫上。
实施例9
测试水中的颗粒分散体在崩解力(即在超声崩解下)的稳定性。
实验在Branson 2510R-MI声波浴中在100瓦输出、42KHz下进行。将如实施例1所述的二氧化铈涂布的二氧化硅CPOP-20颗粒与实施例9中所述的CP2颗粒进行比较。
表3
在CPOP-20和CP2颗粒的超声处理之前和之后,通过盘式离心机法(CPSInstruments的DC24000UHR)测量的粒度分布分别在表3中示出。
结果表明,本发明的制剂中使用的颗粒在粒度分布上没有显示变化,表明核和涂布颗粒之间的强结合。
CP2颗粒的粒度分布的变化大于14%。表3中的数据还显示,粒度分布向较小颗粒移动,表明复合颗粒可能不稳定,例如核与涂布颗粒之间的弱结合。
前述实施例以及实施方式的描述应看作是说明而非限制由权利要求限定的本发明。如将容易理解的,在不背离如权利要求所述的本发明的情况下,可以利用上述特征的众多变化和组合。这样的变化旨在被包括在下列权利要求的范围内。

Claims (21)

1.一种抛光组合物,其包含:
复合颗粒,所述复合颗粒包含具有被纳米颗粒覆盖的表面的核颗粒;
添加剂,所述添加剂选自具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇;及其组合;
pH调节剂,所述pH调节剂选自氢氧化钠、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵及其组合;
其余是水;
其中
所述核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆、聚合物颗粒及其组合;并且所述纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、锶的化合物的纳米颗粒及其组合;
复合颗粒在崩解力下的粒度分布的变化小于10%;
所述抛光组合物的pH为约2至约12。
2.根据权利要求1所述的抛光组合物,其中所述核颗粒是二氧化硅颗粒,所述纳米颗粒是二氧化铈纳米颗粒,并且所述复合颗粒是二氧化铈涂布的二氧化硅复合颗粒。
3.根据权利要求2所述的抛光组合物,其中所述复合颗粒是具有被单晶二氧化铈纳米颗粒覆盖的表面的无定形二氧化硅二氧化铈颗粒。
4.根据权利要求1所述的抛光组合物,其pH为4至10;并且复合颗粒在崩解力下的粒度分布的变化小于5%。
5.根据权利要求1所述的抛光组合物,其包含二氧化铈涂布的二氧化硅复合颗粒,选自聚丙烯酸(PAA)或盐、聚(甲基丙烯酸甲酯)(PMMA)及其组合的添加剂;氢氧化铵;其pH为4.5至7.5;并且复合颗粒在崩解力下的粒度分布的变化小于2%。
6.根据权利要求1所述的抛光组合物,其还包含:
表面活性剂,所述表面活性剂选自a)非离子表面润湿剂;b)阴离子表面润湿剂;c)阳离子表面润湿剂;d)两性表面润湿剂;及其混合物;
生物生长抑制剂,所述生物生长抑制剂选自四甲基氯化铵、四乙基氯化铵、四丙基氯化铵、烷基链范围为1至约20个碳原子的烷基苄基二甲基氯化铵、烷基链范围为1至约20个碳原子的烷基苄基二甲基氢氧化铵、亚氯酸钠、次氯酸钠及其组合。
7.一种用于对包括具有至少一个氧化物层的至少一个表面的半导体衬底进行化学机械平面化的抛光方法,所述方法包括以下步骤:
a)使所述至少一个氧化物层与抛光垫接触;
b)将抛光组合物递送至所述至少一个表面,所述抛光组合物包含:
复合颗粒,所述复合颗粒包含具有被纳米颗粒覆盖的表面的核颗粒;
添加剂,所述添加剂选自具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇;及其组合;
pH调节剂,所述pH调节剂选自氢氧化钠、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵及其组合;
其余是水;
其中
复合颗粒在崩解力下的粒度分布的变化小于10%;
所述核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆、聚合物颗粒及其组合;并且所述纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、锶的化合物的纳米颗粒及其组合;和
所述抛光组合物的pH为约2至约12;
c)用所述抛光组合物抛光所述至少一个氧化物层。
8.根据权利要求7所述的方法,其中所述纳米颗粒是二氧化铈纳米颗粒,所述纳米颗粒是二氧化铈纳米颗粒,并且所述复合颗粒是具有被单晶二氧化铈纳米颗粒覆盖的表面的无定形二氧化硅二氧化铈颗粒。
9.根据权利要求7所述的方法,其中所述抛光组合物的pH为4至10;并且复合颗粒在崩解力下的粒度分布的变化小于5%。
10.根据权利要求7所述的方法,其中所述抛光组合物还包含:
表面活性剂,所述表面活性剂选自a)非离子表面润湿剂;b)阴离子表面润湿剂;c)阳离子表面润湿剂;d)两性表面润湿剂;及其混合物;
生物生长抑制剂,所述生物生长抑制剂选自四甲基氯化铵、四乙基氯化铵、四丙基氯化铵、烷基链范围为1至约20个碳原子的烷基苄基二甲基氯化铵、烷基链范围为1至约20个碳原子的烷基苄基二甲基氢氧化铵、亚氯酸钠、次氯酸钠及其组合。
11.根据权利要求7所述的方法,其中所述抛光组合物包含二氧化铈涂布的二氧化硅复合颗粒,选自聚丙烯酸(PAA)或盐、聚(甲基丙烯酸甲酯)(PMMA)及其组合的添加剂;氢氧化铵;所述抛光组合物的pH为4.5至7.5;并且复合颗粒在崩解力下的粒度分布的变化小于2%。
12.根据权利要求7所述的方法,其中所述至少一个氧化物层是氧化硅层。
13.根据权利要求7所述的方法,其中所述抛光垫是软垫。
14.根据权利要求13所述的方法,其中所述至少一个氧化物层的抛光去除速率等于或大于
15.一种用于化学机械平面化的系统,其包括:
包括具有至少一个氧化物层的至少一个表面的半导体衬底;
抛光垫;和
抛光组合物,其包含:
复合颗粒,所述复合颗粒包含具有被纳米颗粒覆盖的表面的核颗粒;
添加剂,所述添加剂选自具有选自以下官能团的化合物:有机羧酸、氨基酸、酰胺基羧酸、N-酰基氨基酸、及其盐;有机磺酸及其盐;有机膦酸及其盐;聚合羧酸及其盐;聚合磺酸及其盐;聚合膦酸及其盐;芳基胺、氨基醇、脂族胺、杂环胺、异羟肟酸、取代酚、磺酰胺、硫醇、具有羟基的多元醇;及其组合;
pH调节剂,所述pH调节剂选自氢氧化钠、氢氧化钾、氢氧化铯、氢氧化铵、有机氢氧化季铵及其组合;
其余是水;
其中
复合颗粒在崩解力下的粒度分布的变化小于10%;
所述核颗粒选自二氧化硅、氧化铝、二氧化钛、氧化锆、聚合物颗粒及其组合;并且所述纳米颗粒选自锆、钛、铁、锰、锌、铈、钇、钙、镁、氟、镧、锶的化合物的纳米颗粒及其组合;和
所述抛光组合物的pH为约2至约12;
其中至少一个氧化物层与所述抛光垫和所述抛光组合物接触。
16.根据权利要求15所述的系统,其中所述纳米颗粒是二氧化铈纳米颗粒,所述纳米颗粒是二氧化铈纳米颗粒,并且所述复合颗粒是具有被单晶二氧化铈纳米颗粒覆盖的表面的无定形二氧化硅二氧化铈颗粒。
17.根据权利要求15所述的系统,其中所述抛光组合物的pH为4至10;并且复合颗粒在崩解力下的粒度分布的变化小于5%。
18.根据权利要求15所述的系统,其中所述抛光组合物还包含:
表面活性剂,所述表面活性剂选自a)非离子表面润湿剂;b)阴离子表面润湿剂;c)阳离子表面润湿剂;d)两性表面润湿剂;及其混合物;
生物生长抑制剂,所述生物生长抑制剂选自四甲基氯化铵、四乙基氯化铵、四丙基氯化铵、烷基链范围为1至约20个碳原子的烷基苄基二甲基氯化铵、烷基链范围为1至约20个碳原子的烷基苄基二甲基氢氧化铵、亚氯酸钠、次氯酸钠及其组合。
19.根据权利要求15所述的系统,其中所述抛光组合物包含二氧化铈涂布的二氧化硅复合颗粒,选自聚丙烯酸(PAA)或盐、聚(甲基丙烯酸甲酯)(PMMA)及其组合的添加剂;氢氧化铵;所述抛光组合物的pH为4.5至7.5;并且复合颗粒在崩解力下的粒度分布的变化小于2%。
20.根据权利要求15所述的系统,其中所述至少一个氧化物层是氧化硅层。
21.根据权利要求15所述的系统,其中所述抛光垫是软垫。
CN201680005509.2A 2015-01-12 2016-01-12 用于化学机械平面化组合物的复合磨料颗粒及其使用方法 Pending CN107109136A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210363963.2A CN114621686A (zh) 2015-01-12 2016-01-12 用于化学机械平面化组合物的复合磨料颗粒及其使用方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562102319P 2015-01-12 2015-01-12
US62/102,319 2015-01-12
US201562221379P 2015-09-21 2015-09-21
US62/221,379 2015-09-21
PCT/US2016/012993 WO2016115096A1 (en) 2015-01-12 2016-01-12 Composite abrasive particles for chemical mechanical planarization composition and method of use thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210363963.2A Division CN114621686A (zh) 2015-01-12 2016-01-12 用于化学机械平面化组合物的复合磨料颗粒及其使用方法

Publications (1)

Publication Number Publication Date
CN107109136A true CN107109136A (zh) 2017-08-29

Family

ID=56367079

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210363963.2A Pending CN114621686A (zh) 2015-01-12 2016-01-12 用于化学机械平面化组合物的复合磨料颗粒及其使用方法
CN201680005509.2A Pending CN107109136A (zh) 2015-01-12 2016-01-12 用于化学机械平面化组合物的复合磨料颗粒及其使用方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202210363963.2A Pending CN114621686A (zh) 2015-01-12 2016-01-12 用于化学机械平面化组合物的复合磨料颗粒及其使用方法

Country Status (9)

Country Link
US (3) US10109493B2 (zh)
EP (1) EP3245262B1 (zh)
JP (2) JP6581198B2 (zh)
KR (2) KR102240249B1 (zh)
CN (2) CN114621686A (zh)
IL (1) IL253158B (zh)
SG (1) SG11201705419RA (zh)
TW (2) TW201817835A (zh)
WO (1) WO2016115096A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109722172A (zh) * 2017-10-27 2019-05-07 弗萨姆材料美国有限责任公司 复合颗粒、其精制方法及其用途
CN111117492A (zh) * 2018-10-31 2020-05-08 弗萨姆材料美国有限责任公司 对于浅沟槽隔离(STI)工艺抑制SiN去除速率并减少氧化物沟槽凹陷
CN111500197A (zh) * 2019-01-30 2020-08-07 弗萨姆材料美国有限责任公司 具有可调节的氧化硅和氮化硅去除速率的浅沟槽隔离化学机械平面化抛光
CN112004906A (zh) * 2018-04-24 2020-11-27 信越化学工业株式会社 合成石英玻璃基板用的抛光剂及其制备方法、以及合成石英玻璃基板的抛光方法
CN114787304A (zh) * 2019-12-12 2022-07-22 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷的浅沟槽隔离化学机械平面化抛光
CN114929822A (zh) * 2019-12-04 2022-08-19 弗萨姆材料美国有限责任公司 高氧化物膜去除速率浅沟槽隔离(sti)化学机械平面化(cmp)抛光

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016115096A1 (en) * 2015-01-12 2016-07-21 Air Products And Chemicals, Inc. Composite abrasive particles for chemical mechanical planarization composition and method of use thereof
US10570313B2 (en) * 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
JP6560155B2 (ja) * 2016-04-20 2019-08-14 信越化学工業株式会社 合成石英ガラス基板用研磨剤及び合成石英ガラス基板の研磨方法
DE112017006834T5 (de) * 2017-01-16 2019-09-26 Jgc Catalysts And Chemicals Ltd. Polierzusammensetzung
JP6694653B2 (ja) * 2017-04-10 2020-05-20 信越化学工業株式会社 合成石英ガラス基板用研磨剤及びその製造方法並びに合成石英ガラス基板の研磨方法
CN113913156B (zh) * 2017-10-31 2022-06-24 Hoya株式会社 研磨液、玻璃基板的制造方法以及磁盘的制造方法
US11078417B2 (en) 2018-06-29 2021-08-03 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11549034B2 (en) 2018-08-09 2023-01-10 Versum Materials Us, Llc Oxide chemical mechanical planarization (CMP) polishing compositions
EP4048746A4 (en) * 2019-10-24 2023-11-29 Versum Materials US, LLC CHEMICAL MECHANICAL POLISHING COMPOSITIONS FOR SHALLOW TRENCH INSULATION AT HIGH OXIDE REMOVAL RATES
WO2021085585A1 (ja) 2019-11-01 2021-05-06 日本電気株式会社 分析装置、制御方法、及びプログラム
EP4073187A4 (en) * 2019-12-12 2023-12-13 Versum Materials US, LLC PLANARIZATION BY CHEMICAL-MECHANICAL POLISHING OF INSULATION BY SHALLOW TRENCHES WITH LOW OXIDE TRENCH BENDING
CN115197645B (zh) * 2021-04-02 2024-02-20 Sk恩普士有限公司 半导体工艺用抛光组合物以及半导体器件的制造方法
WO2023059999A1 (en) * 2021-10-05 2023-04-13 Versum Materials Us, Llc Chemical mechanical planarization polishing for shallow trench isolation
WO2023240260A1 (en) * 2022-06-10 2023-12-14 Saint-Gobain Ceramics & Plastics, Inc. Chemical mechanical planarization slurry and method of polishing a substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0520109A1 (en) * 1991-05-28 1992-12-30 Rodel, Inc. Low sodium, low metals silica polishing slurries
CN1543492A (zh) * 2001-08-20 2004-11-03 ��ǿ�ʽ 包括二氧化硅涂覆铈土的抛光淤浆
CN1742066A (zh) * 2003-02-03 2006-03-01 卡伯特微电子公司 抛光含硅电介质的方法
US20070169421A1 (en) * 1999-06-18 2007-07-26 Naoyuki Koyama CMP abrasive, method for polishing substrate and method for manufacturing semiconductor device using the same, and additive for CMP abrasive
WO2010139603A1 (en) * 2009-06-05 2010-12-09 Basf Se RASPBERRY-TYPE METAL OXIDE NANOSTRUCTURES COATED WITH CeO2 NANOPARTICLES FOR CHEMICAL MECHANICAL PLANARIZATION (CMP)
CN102666760A (zh) * 2009-11-11 2012-09-12 可乐丽股份有限公司 化学机械抛光用浆料以及使用其的基板的抛光方法
JP2013119131A (ja) * 2011-12-06 2013-06-17 Jgc Catalysts & Chemicals Ltd シリカ系複合粒子およびその製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
JPH03202269A (ja) * 1989-10-12 1991-09-04 Nalco Chem Co 低ナトリウム低金属シリカ研磨スラリー
JP2001007060A (ja) * 1999-06-18 2001-01-12 Hitachi Chem Co Ltd Cmp研磨剤及び基板の研磨方法
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
DE10204471C1 (de) * 2002-02-05 2003-07-03 Degussa Wässerige Dispersion enthaltend mit Ceroxid umhülltes Siliciumdioxidpulver, Verfahren zu deren Herstellung und Verwendung
US6918820B2 (en) 2003-04-11 2005-07-19 Eastman Kodak Company Polishing compositions comprising polymeric cores having inorganic surface particles and method of use
JP4311247B2 (ja) * 2004-03-19 2009-08-12 日立電線株式会社 研磨用砥粒、研磨剤、研磨液の製造方法
KR101894712B1 (ko) 2010-09-08 2018-09-04 바스프 에스이 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법
JP5953762B2 (ja) * 2011-01-25 2016-07-20 日立化成株式会社 Cmp研磨液及びその製造方法、並びに基体の研磨方法
US8828874B2 (en) * 2011-03-28 2014-09-09 Sinmat, Inc. Chemical mechanical polishing of group III-nitride surfaces
JP5787745B2 (ja) 2011-12-26 2015-09-30 日揮触媒化成株式会社 シリカ系複合粒子の製造方法
US9000557B2 (en) * 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
JP2015169967A (ja) 2014-03-04 2015-09-28 株式会社リコー 情報処理システム、情報処理方法およびプログラム
JP6283939B2 (ja) 2014-03-25 2018-02-28 株式会社富士通ゼネラル 天井埋込型空気調和機
JP6352060B2 (ja) * 2014-06-06 2018-07-04 花王株式会社 酸化珪素膜研磨用研磨液組成物
WO2016115096A1 (en) * 2015-01-12 2016-07-21 Air Products And Chemicals, Inc. Composite abrasive particles for chemical mechanical planarization composition and method of use thereof

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0520109A1 (en) * 1991-05-28 1992-12-30 Rodel, Inc. Low sodium, low metals silica polishing slurries
US20070169421A1 (en) * 1999-06-18 2007-07-26 Naoyuki Koyama CMP abrasive, method for polishing substrate and method for manufacturing semiconductor device using the same, and additive for CMP abrasive
CN1543492A (zh) * 2001-08-20 2004-11-03 ��ǿ�ʽ 包括二氧化硅涂覆铈土的抛光淤浆
CN1742066A (zh) * 2003-02-03 2006-03-01 卡伯特微电子公司 抛光含硅电介质的方法
WO2010139603A1 (en) * 2009-06-05 2010-12-09 Basf Se RASPBERRY-TYPE METAL OXIDE NANOSTRUCTURES COATED WITH CeO2 NANOPARTICLES FOR CHEMICAL MECHANICAL PLANARIZATION (CMP)
TW201043686A (en) * 2009-06-05 2010-12-16 Basf Se Raspberry-type metal oxide nanostructures coated with CeO2 nanoparticles for chemical mechanical planarization (CMP)
CN102666760A (zh) * 2009-11-11 2012-09-12 可乐丽股份有限公司 化学机械抛光用浆料以及使用其的基板的抛光方法
JP2013119131A (ja) * 2011-12-06 2013-06-17 Jgc Catalysts & Chemicals Ltd シリカ系複合粒子およびその製造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109722172A (zh) * 2017-10-27 2019-05-07 弗萨姆材料美国有限责任公司 复合颗粒、其精制方法及其用途
TWI731273B (zh) * 2017-10-27 2021-06-21 美商慧盛材料美國責任有限公司 複合粒子、其精製方法及用途
CN112004906A (zh) * 2018-04-24 2020-11-27 信越化学工业株式会社 合成石英玻璃基板用的抛光剂及其制备方法、以及合成石英玻璃基板的抛光方法
CN112004906B (zh) * 2018-04-24 2022-03-11 信越化学工业株式会社 合成石英玻璃基板用的抛光剂及其制备方法、以及合成石英玻璃基板的抛光方法
CN111117492A (zh) * 2018-10-31 2020-05-08 弗萨姆材料美国有限责任公司 对于浅沟槽隔离(STI)工艺抑制SiN去除速率并减少氧化物沟槽凹陷
CN115785823A (zh) * 2018-10-31 2023-03-14 弗萨姆材料美国有限责任公司 对于浅沟槽隔离(STI)工艺抑制SiN去除速率并减少氧化物沟槽凹陷
CN111500197A (zh) * 2019-01-30 2020-08-07 弗萨姆材料美国有限责任公司 具有可调节的氧化硅和氮化硅去除速率的浅沟槽隔离化学机械平面化抛光
CN111500197B (zh) * 2019-01-30 2022-08-26 弗萨姆材料美国有限责任公司 具有可调节的氧化硅和氮化硅去除速率的浅沟槽隔离化学机械平面化抛光
CN114929822A (zh) * 2019-12-04 2022-08-19 弗萨姆材料美国有限责任公司 高氧化物膜去除速率浅沟槽隔离(sti)化学机械平面化(cmp)抛光
CN114787304A (zh) * 2019-12-12 2022-07-22 弗萨姆材料美国有限责任公司 低氧化物沟槽凹陷的浅沟槽隔离化学机械平面化抛光

Also Published As

Publication number Publication date
US20200115590A1 (en) 2020-04-16
KR102240249B1 (ko) 2021-04-13
US10669449B2 (en) 2020-06-02
IL253158B (en) 2021-04-29
KR20170105556A (ko) 2017-09-19
WO2016115096A1 (en) 2016-07-21
JP6581198B2 (ja) 2019-09-25
KR20190091579A (ko) 2019-08-06
JP7130608B2 (ja) 2022-09-05
JP2018506618A (ja) 2018-03-08
US20170133236A1 (en) 2017-05-11
JP2019199613A (ja) 2019-11-21
EP3245262A1 (en) 2017-11-22
US10109493B2 (en) 2018-10-23
TW201817835A (zh) 2018-05-16
CN114621686A (zh) 2022-06-14
EP3245262B1 (en) 2021-07-21
IL253158A0 (en) 2017-08-31
TW201625767A (zh) 2016-07-16
SG11201705419RA (en) 2017-07-28
US20160200944A1 (en) 2016-07-14
EP3245262A4 (en) 2018-07-04
TWI654288B (zh) 2019-03-21
US10418247B2 (en) 2019-09-17

Similar Documents

Publication Publication Date Title
CN107109136A (zh) 用于化学机械平面化组合物的复合磨料颗粒及其使用方法
KR102493753B1 (ko) 복합 입자, 정제 방법 및 이의 용도
CN107267118B (zh) 复合颗粒、其精制方法及用途
CN105814163A (zh) 混合研磨剂型抛光组合物
KR20160013077A (ko) 높은 제거율 및 낮은 결함성으로 산화물 및 질화물에 대해 선택적인 cmp 조성물
WO2019139828A1 (en) Tungsten bulk polishing method with improved topography
JP2005158867A (ja) 化学機械研磨用水系分散体を調製するためのセット
TWI625372B (zh) 低介電基板之研磨方法
JP2017526160A (ja) 高い除去速度と低欠陥性を有する、ポリシリコン及び窒化物を上回り酸化物に対して選択的なcmp組成物
JP7152217B2 (ja) シャロートレンチアイソレーションにおいて使用するための水性シリカスラリー組成物、及びそれらの使用方法
TWI662096B (zh) 具有改善之凹陷及圖案選擇性之對氧化物及氮化物有選擇性之cmp組成物
JP2009302551A (ja) 化学機械研磨用水系分散体を調製するためのセット

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20170829