KR101894712B1 - 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법 - Google Patents

산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법 Download PDF

Info

Publication number
KR101894712B1
KR101894712B1 KR1020137008875A KR20137008875A KR101894712B1 KR 101894712 B1 KR101894712 B1 KR 101894712B1 KR 1020137008875 A KR1020137008875 A KR 1020137008875A KR 20137008875 A KR20137008875 A KR 20137008875A KR 101894712 B1 KR101894712 B1 KR 101894712B1
Authority
KR
South Korea
Prior art keywords
acid
oxide
group
vinylamide
polysilicon
Prior art date
Application number
KR1020137008875A
Other languages
English (en)
Other versions
KR20130139906A (ko
Inventor
유주오 리
시얌 순다르 벤카타라만
하비 웨인 핀더
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20130139906A publication Critical patent/KR20130139906A/ko
Application granted granted Critical
Publication of KR101894712B1 publication Critical patent/KR101894712B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/30Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding plastics
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/12Water-insoluble compounds
    • C11D3/14Fillers; Abrasives ; Abrasive compositions; Suspending or absorbing agents not provided for in one single group of C11D3/12; Specific features concerning abrasives, e.g. granulometry or mixtures
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3723Polyamines or polyalkyleneimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3773(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines in liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3746Macromolecular compounds obtained by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3769(Co)polymerised monomers containing nitrogen, e.g. carbonamides, nitriles or amines
    • C11D3/3776Heterocyclic compounds, e.g. lactam
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/20Water-insoluble oxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • C11D2111/22

Abstract

하기 단계를 포함하는, 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 CMP 방법:
(1) 기판을, 하기를 함유하는 수성 연마 조성물과 접촉시키는 단계; (A) 3 내지 9 의 범위의 pH 를 갖는 수성 매질에서 분산될 때 양전하를 띄는 연마제 입자; (B) 수용성 또는 수분산성 선형 또는 분지형 알킬렌 옥시드 단일중합체 및 공중합체; 및 (C) (c1) 지방족 및 시클로지방족 폴리(N-비닐아미드) 단일중합체 및 공중합체, (c2) 화학식 I 및 II : H2C=C(-R)-C(=O)-N(-R1)(-R2) (I), H2C=C(-R)-C(=O)-R3 (II) [식 중, 상기 변수는 하기 의미를 가짐: R 은 수소 원자, 불소 원자, 염소 원자, 니트릴기, 또는 유기 잔기이고; R1 및 R2 는 수소 원자 또는 유기 잔기이며; R3 은 포화된 N-헤테로시클릭 고리임]의 아크릴아미드 단량체의 단일중합체 및 공중합체; (c3) 양이온성 중합체 응집제; 및 (c4) 이들의 혼합물로부터 선택되는 수용성 또는 수분산성 중합체;
(2) 산화규소 유전체 필름을 제거하고 폴리실리콘 및/또는 질화규소 필름이 노출될 때까지 기판을 연마하는 단계.

Description

산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법 {PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES CONTAINING SILICON OXIDE DIELECTRIC FILMS AND POLYSILICON AND/OR SILICON NITRIDE FILMS}
본 발명은 전기, 기계 및 광학 소자 제조용 기판의 신규 연마 방법으로서, 상기 기판이 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 방법에 관한 것이다.
인용 문헌
본원에 인용된 문헌은 그 전체가 참조로서 포함되어 있다.
화학적 기계적 평탄화 또는 연마 (CMP) 는 집적 회로 (IC) 소자의 지엽적 및 전반적 평탄도를 달성하기 위한 1차적 방법이다. 상기 기술은 전형적으로, 적용되는 하중 하에서 회전 기판 표면과 연마 패드 사이에 활성 화학성분으로서 연마제 및 기타 첨가제를 함유하는 CMP 조성물 또는 슬러리를 적용한다. 따라서, CMP 공정은 산화 또는 킬레이트화와 같은 화학적 공정과 마모와 같은 물리적 공정을 결합한 공정이다. 기판의 제거 또는 연마가 전적으로 물리적 작용으로 또는 전적으로 화학적 작용으로 이루어지기 보다는, 신속한 균일 제거를 달성하기 위해선 둘 모두의 상승적 조합이 바람직할 수 있다.
이러한 방식으로, 원하는 평탄도가 달성되거나 장벽 하위층 또는 중단 층이 노출될 때까지 기판이 제거된다. 결국, 후속적인 사진식각공정, 패턴화, 에칭 및 박막 가공에 의한 적절한 다중층의 IC 소자 제작을 가능하게 하는 평탄한, 결점-없는 표면이 수득된다.
쉘로우 트렌치 분리 (Shallow trench isolation; STI) 는 일반적으로 패턴화된 웨이퍼 기판 상에서 질화규소에 대한 이산화규소의 선택적 제거를 요하는 특이적 CMP 적용이다. 이 경우, 에칭된 트렌치는 유전체 물질, 예를 들어, 이산화규소로 과충전되고, 이는 중단 층으로서 질화규소 장벽 필름을 사용하여 연마된다. CMP 공정은, 노출된 질화규소 및 트렌치 산화규소의 제거를 최소화하면서 장벽 필름으로부터 이산화규소를 제거하는 것으로 끝난다.
이는, 당업계에서 산화물-대-질화물 선택성으로서 지칭되는 비율인, 이산화규소 물질 제거율 MRR 대 질화규소 제거율 MRR 의 높은 상대적 비율을 달성할 수 있는 CMP 슬러리를 요한다.
최근, 폴리실리콘 필름은 또한 장벽 필름 또는 전극 물질로서 사용된다 (참조, 미국 특허 US 6,626,968 B2). 따라서, 산화규소 유전체 및 폴리실리콘 필름을 함유하는 기판의 전반적 평탄화를 허용하는 이용가능한 CMP 슬러리 및 방법이 매우 바람직하게 되어왔다. 이는 높은 산화물-대-폴리실리콘 선택성을 나타내는 CMP 슬러리를 요한다.
질화규소 필름을 추가로 함유하는 기판의 전반적 평탄화를 허용하는 CMP 슬러리 및 방법이 보다 더 바람직하다.
이 경우, 산화규소, 질화규소 및 폴리실리콘 영역을 함유하는 전반적으로 평탄화된, 이질적인, 패턴화 표면에서 디싱, 및 다른 손상 및 결함을 피하기 위해, 산화물-대-질화물 선택성은 매우 높아야만 한다. 그러나, 질화규소-대-폴리실리콘 선택성 또한 높아야만 한다.
산화세륨-기재 CMP 슬러리는, 당업계에서 산화세륨의 화학적 투쓰 작용 (tooth action) 으로서 지칭되는 이산화규소에 대한 산화세륨의 높은 화학적 친화도로 인한 비교적 높은 산화물-대-질화물 선택성을 달성하기 위한 능력 덕분에 STI 적용에서 상당히 주목받고 있다.
그럼에도, 산화세륨-기재 CMP 슬러리의 산화물-대-폴리실리콘 선택성은, 선택성을 "조정" 하는 첨가제에 의해 향상되어야만 한다.
산화세륨-기재 CMP 슬러리의 선택성을 조정하려는 수많은 시도가 있어 왔다.
그러므로, 이재동 외 다수는 문헌 [Journal of the Electrochemical Society, 149 (8), G477-G481, 2002] 에서, CMP 동안 산화물-대-폴리실리콘 선택성에 대해, 상이한 친수성-친유성-밸런스 (HLB) 값을 갖는 비이온성 계면활성제, 예컨대 폴리에틸렌옥시드, 에틸렌옥시드-프로필렌옥시드 공중합체 및 에틸렌옥시드-프로필렌옥시드-에틸렌옥시드 트리블록 공중합체의 효과를 개시하고 있다. 그러나, 발연 실리카가 연마제로서 사용된다.
P. W. Carter 등은 문헌 [Electrochemical and Solid-State Letters, 8 (8) G218-G221 (2005), Interfacial Reactivity between Ceria and Silicon Dioxide and Silicon Nitride Surpaces, Organic Additive Effects] 에서, 산화물-대-질화물 선택성에 대한 글루탐산, 피콜린산, 4-히드록시벤조산, 이미다졸, 아세트산, 포름산, 3-히드록시피콜린산, 안트라닐산, 피롤 카르복실산, 시클로헥산 카르복실산, 피페라진, 피리딘, 2-페닐아세트산, 벤조산, 3-아미노페놀, 숙신산, 베테인, 글리신, 프롤린, 벤젠술폰산, 모폴린, 살리실산, 테레프탈산, 말산, 이소프로판올, 시트르산 및 옥살산의 영향에 대해 개시하고 있다.
Y. N. Prasad 등은, 문헌 [Electrochemical and Solid-State Letter, 9 (12) G337-G339 (2006), Role of Amino-Acid Absorption on Silica and Silicon Nitride Surfaces during STI CMP] 에서, 프롤린 및 아르기닌의 영향을 개시하고 있다.
강현구 등은, 문헌 [Journal of Material Research, volume 22, No. 3, 2007, pages 777 to 787] 에서, 쉘로우 트렌치 단리 화학적 기계적 평탄화에서 SiO2/Si3N4 필름의 제거 선택성에 대한 산화세륨 슬러리 중 폴리(아크릴산)의 분자 중량 및 연마제 입자 크기의 효과를 개시하고 있다.
S. Kim 등은, 문헌 [Journal of Colloid and Interface Science, 319 (2008), pages 48 to 52] 에서, 화학적 기계적 연마 (CMP) 에 대한 음이온성 다가전해질의 흡수 거동을 개시하고 있다.
S. V. Babu 등은, 문헌 [Electrochemical and Solid-State Letter, 7 (12) G327-G330 (2004), Slurry Additive Effects on the Suppression of Silicon Nitride Removal during CMP] 에서, 아르기닌, 라이신, 프롤린, N-메틸글리신, 알라닌, 글리신, 피콜린산, N,N-디메틸글리신, 3-아미노부티르산 및 이소니코틴산의 영향을 연구하였다.
이재동 등은, 문헌 [Journal of the Electrochemical Society, 149 (8) G477-G481, 2002, Effects of Nonionic Surfactants on Oxide-To-Polysilicon Selectivity during Chemical Mechanical Polishing] 에서, 선택성에 대한 계면활성제 예컨대 폴리에틸렌 옥시드 (PEO) 및 에틸렌옥시드-프로필렌옥시드-에틸렌옥시드 삼블록 공중합체의 영향을 개시하고 있다. 그러나, 산화물-대-질화물 선택성에 대해선 제시되어 있지 않다.
미국 특허 US 5,738,800, US 6,042,741, US 6,132,637 및 US 6,218,305 B 는 착화제 예컨대 말산, 타르타르산, 글루콘산, 시트르산, 오르토 디- 및 폴리히드록시벤조산, 프탈산, 피로카테콜, 피로갈롤, 갈산, 타닌산 및 이의 염을 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 게다가, 산화세륨-기재 CMP 슬러리는 음이온성, 양이온성, 양쪽성 또는 비이온성 계면활성제를 함유한다. 산화세륨-기재 CMP 슬러리는 높은 산화물-대-질화물 선택성을 갖는 것으로 청구되어 있다.
미국 특허 US 5,759,917, US 6,689,692 B1 및 US 6,984,588 B2 는 카르복실산 예컨대 아세트산, 아디프산, 부티르산, 카프르산, 카프로산, 카프릴산, 시트르산, 글루타르산, 글리콜산, 포름산, 푸마르산, 락트산, 라우르산, 말산, 말레산, 말론산, 미리스트산, 옥살산, 팔미트산, 프탈산, 프로피온산, 피루브산, 스테아르산, 숙신산, 타르타르산, 발레르산, 2-(2-메톡시에톡시) 아세트산, 2-[2-(2-메톡시에톡시)에톡시] 아세트산, 폴리(에틸렌 글리콜)비스(카르복시메틸)에테르 및 이의 유도체 및 염을 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 또한, 산화세륨-기재 CMP 슬러리는 수용성 유기 및 무기 염 예컨대 니트레이트, 포스페이트 및 술페이트를 함유한다. 산화세륨-기재 CMP 슬러리는 질화규소 층 보다 우선적으로 충전된 산화규소를 연마하기 위한 것으로 청구된다.
미국 특허 US 6,299,659 B1 은 산화물-대-질화물 선택성을 개선하기 위해 실란, 티타네이트, 시르코네이트, 알루미늄 및 포스페이트 커플링제로 연마제 입자가 처리된 산화세륨-기재 CMP 슬러리를 개시하고 있다.
미국 특허 출원 제 US 2002/0034875 A1 호 및 미국 특허 제 US 6,626,968 B2 호는, 계면활성제, pH 조절제, 예컨대 수산화칼륨, 황산, 질산, 염산 또는 인산, 및 친수성 관능성 기 및 소수성 관능성 기를 함유하는 중합체, 예컨대 폴리비닐 메틸 에테르 (PVME), 폴리에틸렌 글리콜 (PEG), 폴리옥시에틸렌 23 라우릴 에테르 (POLE), 폴리프로판산 (PPA), 폴리아크릴산 (PM), 및 폴리에테르 글리콜 비스 에테르 (PEGBE) 를 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 CMP 슬러리는 산화물-대-폴리실리콘 선택성을 증가시킨다.
미국 특허 US 6,436,835 B1 은 카르복실산 또는 카르복실레이트 또는 술폰산 또는 술팜 기 예컨대 폴리아크릴산, 폴리메타크릴산, 나프탈렌 술폰산-포르말린 응축물, 말산, 락트산, 타르타르산, 글루콘산, 시트르산, 숙신산, 아디프산, 푸마르산, 아스파르트산, 글루탐산, 글리신 4-아미노부티르산, 6-아미노헥산산, 12-아미노라우르산, 아르기닌, 글리실글리신, 라우릴벤젠 술폰산 및 이들의 암모늄 염을 갖는 수용성 유기 화합물을 포함하는 쉘로우 트렌치 단리 공정용 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 CMP 슬러리는 높은 산화물-대-질화물 선택성을 갖는 것으로 청구되어 있다.
미국 특허 US 6,491,843 B1, US 6,544,892 B2 및 US 6,627,107 B2 는 산화물-대-질화물 선택성을 향상시키기 위한 알파-아미노산 예컨대 라이신, 알라닌, 및 프롤린을 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다.
미국 특허 제 US 6,616,514 B1 호는, 산화물-대-질화물 선택성을 개선시키기 위한, 수성 매질에 해리될 수 없는 3 개 이상의 히드록실 기를 갖는 유기 폴리올; 또는 만니톨, 소르비톨, 만노오즈, 자일리톨, 소르보오스, 수크로오스 및 덱스트린과 같은 수성 매질에 해리될 수 없는 3 개 이상의 히드록실 기를 갖는 하나 이상의 단량체로부터 형성된 중합체를 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다.
미국 특허 US 7,071,105 B2 및 미국 출원 US 2006/0144824 A1 은, 4 내지 9 의 pKa 를 갖는 관능성 기를 포함하는 연마 첨가제를 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 연마 첨가제는 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 히드록삼산, 아미노카르복실산, 시클릭 모노카르복실산, 불포화 모노카르복실산, 치환된 페놀, 술폰아미드, 티올 및 이의 염, 특히, 클로라이드, 브로마이드, 술페이트, 술포네이트, 트리플루오로메틸 술포네이트, 아세테이트, 트리플루오로아세테이트, 피크레이트, 퍼플루오로부티레이트 및 나트륨, 칼륨 및 암모늄 염으로 이루어진 군으로부터 선택된다.
특별히 언급되는 아릴아민은 아닐린, 4-클로로아닐린, 3-메톡시아닐린, N-메틸아닐린, 4-메톡시아닐린, p-톨루이딘, 안트라닐산, 3-아미노-4-히드록시벤젠술폰산, 아미노벤질알코올, 아미노벤질아민, 1-(-아미노페닐)피롤, 1-(3-아미노페닐)에탄올, 2-아미노페닐 에테르, 2,5-비스-(4-아미노페닐)-1,3,4-옥시다디아졸, 2-(2-아미노페닐)-1H-1,3,4-트리아졸, 2-아미노페닐, 3-아미노페닐, 4-아미노페닐, 디메틸아미노페놀, 2-아미노티올페놀, 3-아미노티올페놀, 4-아미노페닐 메틸 술파이드, 2-아미노벤젠술폰아미드, 오르타닐산, 3-아미노벤젠 보론산, 5-아미노이소프탈산, 술파세타미드, 술파닐산, o- 또는 p-아르사닐산, 및 (3R)-3-(4-트리플루오로메틸페닐아미노) 펜탄산이다.
특별히 언급되는 아미노알코올은 트리에탄올아민, 벤질디에탄올아민, 트리스(히드록실메틸)아미노메탄, 히드록실아민, 및 테트라시클린이다.
명시되어 언급되는 지방족 아민은 메톡시아민, 히드록실아민, N-메틸히드록실아민, N,O-디메틸히드록실아민, 베타-디플루오로에틸아민, 에틸렌디아민, 트리에틸렌디아민, 디에틸((부틸아미노)(2-히드록시페닐)메틸)포스포네이트, 이미노에탄, 이미노부탄, 트리알릴아민, 시아노아민 예컨대 아미노아세토니트릴, 디메틸아미노아세토니트릴, 2-아미노-2-시아노프로판, 이소프로필아미노프로피오니트릴, 디에틸아미노프로피오니트릴, 아미노프로피오니트릴, 디시아노디에틸아민), 히드라진, 메틸히드라진, 테트라메틸히드라진, N,N-디메틸히드라진, 페닐히드라진, N,N-디에틸히드라진, 트리메틸히드라진, 에틸히드라진 및 이의 염이다.
특별히 언급되는 헤테로시클릭 아민은 이미다졸, 1-메틸이미다졸, 2-메틸이미다졸, 2-에틸이미다졸, 2-히드록실메틸이미다졸, 1-메틸-2-히드록실메틸이미다졸, 벤조이미다졸, 퀴놀린, 이소퀴놀린, 히드록시퀴놀린, 멜라민, 피리딘, 바이피리딘, 2-메틸피리딘, 4-메틸피리딘, 2-아미노피리딘, 3-아미노피리딘, 2,3-피리딘디카르복실산, 2,5-피리딘디카르복실산, 2,6-피리딘디카르복실산, 5-부틸-2-피리딘카르복실산, 2-피리딘카르복실산, 3-히드록시-2-피리딘카르복실산, 4-히드록시-2-피리딘카르복실산, 3-벤조일-2-피리딘카르복실산, 6-메틸-2-피리딘카르복실산, 3-메틸-2-피리딘카르복실산, 6-브로모-2-피리딘카르복실산, 6-클로로-2-피리딘카르복실산, 3,6-디클로로-2-피리딘카르복실산, 4-히드라지노-3,5,6-트리클로로-2-피리딘카르복실산, 2-퀴놀린카르복실산, 4-메톡시-2-퀴놀린카르복실산, 8-히드록시-2-퀴놀린카르복실산, 4,8-히드록시-2-퀴놀린카르복실산, 7-클로로-4-히드록시-2-퀴놀린카르복실산, 5,7-디클로로-4-히드록시-2-퀴놀린카르복실산, 5-니트로-2-퀴놀린카르복실산, 1-이소퀴놀린카르복실산, 3-이소퀴놀린카르복실산, 아크리딘, 벤조퀴놀린, 벤즈아크리딘, 클로니딘, 아나바신, 노르니코틴, 트리아졸로피리딘, 피리독신, 세로토닌, 히스타민, 벤조디아제핀, 아지리딘, 모폴린, 1,8-디아자바이시클로(5,4,0)운데센-7 DABCO, 헥사메틸렌테트라민, 피페라진, N-벤조일피페라진, 1-토실피페라진, N-카르복시에틸피페라진, 1,2,3-트리아졸, 1,2,4-트리아졸, 2-아미노티아졸, 피롤, 피롤-2-카르복실산, 3-피롤린-2-카르복실산, 에틸피롤린, 시클로헥실피롤린, 톨릴피롤린, 테트라졸, 5-시클로프로필테트라졸, 5-히드록시테트라졸, 5-페녹시테트라졸, 5-페닐테트라졸, 플루오로우라실, 메틸티오우라실, 5,5-디페닐히단토인, 5,5-디메틸-2,4-옥사졸리딘디온, 프탈이미드, 숙신이미드, 3,3-메틸페닐글루타르이미드, 3,3-디메틸숙신이미드, 이미다졸[2,3-b] 티옥사졸, 히드록시에미다조[2,3-a]이소인돌, 5,5-메틸페닐바르비투르산, 1,5,5-트리메틸바르비투르산, 헥소바르비탈, 5,5-디메틸바르비투르산, 1,5-디메틸-5-페닐바르비투르산 및 이의 염이다.
특별히 언급되는 히드록삼산은 포르모히드록삼산, 아세토히드록삼산, 벤조히드록삼산, 살리실히드록삼산, 2-아미노벤조히드록삼산, 2-클로로벤조히드록삼산, 2-플루오로벤조히드록삼산, 2-니트로벤조히드록삼산, 3-니트로벤조히드록삼산, 4-아미노벤조히드록삼산, 4-클로로벤조히드록삼산, 4-플루오로벤조히드록삼산, 4-니트로벤조히드록삼산 및 이의 염이다.
특별히 언급되는 아미노카르복실산은 글루탐산, 베타-히드록시글루탐산, 아스파르트산, 아스파라긴, 아자세린, 시스테인, 히스티딘, 3-메틸히스티딘, 사이토신, 7-아미노세팔로스포란산 및 카르노신이다.
특별히 언급되는 시클릭 모노카르복실산은 나프탈렌-2-카르복실산, 시클로헥산 카르복실산, 시클로헥실 아세트산, 2-페닐락트산, 4-히드록시벤조산, 3-히드록시벤조산, 2-피리딘카르복실산, 시스- 및 트랜스-, 시클로헥산 카르복실산, 벤조산 아이즈 및 이의 염이다.
특별히 언급되는 불포화 모노카르복실산은 신남산, 아크릴산, 3-클로로프로프-2-엔카르복실산, 크로톤산, 4-부트-2-엔카르복실산, 시스- 또는 트랜스-2-펜탄산, 2-메틸-2-펜탄산, 2-헥센산 및 3-에틸-2-헥센산 및 이의 염이다.
특별히 언급되는 페놀은 니트로페놀, 2,6-디할로-4-니트로페놀, 2,6-디-C1 -12-알킬-4-니트로페놀, 2,4-디니트로페놀, 3,4-디니트로페놀, 2-C1 -12-알킬-4,6-디니트로페놀, 2-할로-4,6-디니트로페놀, 디니트로-o-크레졸, 피크르산 및 이의 염이다.
특별히 언급되는 술폰아미드는 N-클로로톨릴술폰아미드, 디클로로페나미드 마페니드, 니메술리드, 술파메티졸, 술파페린, 술파세타미드, 술파디아진, 술파디메톡신, 술파메타진, 술파피리딘, 술파퀴녹살린 및 이의 염이다.
특별히 언급되는 티올은 수소 디술파이드, 시스테아민, 시스테이닐시스테인, 메틸 시스테인, 티오페놀, p-클로로 티오페놀, o-아미노티올페놀, o-메르캅토페닐 아세트산 p-니트로벤젠티올, 2-메르캅토에탄술포네이트, N-디메틸시스테아민, 디프로필시스테아민, 디에틸시스테아민, 메르캅토에틸모폴린, 메틸티오글리콜레이트, 메르캅토에틸아민, N-트리메틸시스테인, 글루타티온, 메르캅토에틸피페리딘, 디에틸아미노프로판티올 및 이의 염이다.
연마 첨가제는 산화물-대-질화물 선택성을 증가시키는 것으로 여겨진다.
미국 특허 출원 제 US 2006/0124594 A1 호는, 폴리에틸렌 글리콜 (PEG) 과 같은 비이온성 중합체를 포함하는 점도 증강제를 포함하고 1.5 cP 이상의 점도를 갖는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 CMP 슬러리는 높은 산화물-대-질화물 선택성 및 낮은 웨이퍼-내 비-균일도 WIWNU 를 갖는 것으로 여겨진다.
미국 특허 출원 제 US 2006/0207188 A1 호는, 폴리아크릴산 또는 폴리(알킬 메타크릴레이트) 와 같은 중합체 및 아크릴아미드, 메타크릴아미드, 에틸-메타크릴아미드, 비닐피리딘, 또는 비닐피롤리돈과 같은 단량체의 반응 생성물을 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 반응 생성물은 또한 산화물-대-질화물 선택성을 증가시키는 것으로 여겨진다.
미국 특허 출원 제 US 2006/0216935 A1 호는, 단백질, 라이신 및/또는 아르기닌 및 피롤리돈 화합물, 예컨대 폴리비닐피롤리돈 (PVP), N-옥틸-2-피롤리돈, N-에틸-2-피롤리돈, N-히드록시에틸-2-피롤리돈, N-시클로헥실-2-피롤리돈, N-부틸-2-피롤리돈, N-헥실-2-피롤리돈, N-데실-2-피롤리돈, N-옥타데실-2-피롤리돈, 및 N-헥사데실-2-피롤리돈을 포함하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 CMP 슬러리는 폴리아크릴산, 글리콜 및 폴리글리콜과 같은 분산제를 추가로 함유할 수 있다. 특정 예는 프롤린, 폴리비닐피롤리돈 또는 N-옥틸-2-피롤리돈, PPO/PEO 블록공중합체, 및 글루타르알데히드를 사용한다. 산화세륨-기재 CMP 슬러리는 트렌치 이산화규소를 공격적으로 제거하지 않으며, 이로써 최소 단차를 실질적으로 증가시키지 않으면서 종점을 넘어선 확장된 연마를 가능하게 하는 것으로 여겨진다.
미국 특허 출원 제 US 2007/0077865 A1 호는, 바람직하게는 Pluronic™ 패밀리 (BASF 사제) 로부터의 폴리에틸렌옥시드/폴리프로필렌옥시드 공중합체를 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 CMP 슬러리는, 아미노 알코올 예컨대 2-디메틸아미노-2-메틸-1-프로판올 (DMAMP), 2-아미노-2-에틸-1-프로판올 (AMP), 2-(2-아미노에틸아미노)에탄올, 2-(이소프로필아미노)에탄올, 2-(메틸아미노)에탄올, 2-(디에틸아미노)에탄올, 2-(2-디메틸아미노)에톡시)에탄올, 1,1'-[[3-(디메틸아미노)프로필]이미노]-비스-2-프로판올, 2-(2-부틸아미노)에탄올, 2-(tert-부틸아미노)에탄올, 2-(디이소프로필아미노)에탄올, 및 N-(3-아미노프로필)모폴린을 추가로 함유할 수 있다. 산화세륨-기재 CMP 슬러리는, 4가 암모늄 화합물, 예컨대 테트라메틸암모늄 히드록시드, 필름 형성제 예컨대 알킬 아민, 알칸올아민, 히드록실 아민, 포스페이트 에스테르, 나트륨 라우릴 술페이트, 지방산, 폴리아크릴레이트, 폴리메타크릴레이트, 폴리비닐포스포네이트, 폴리말레이트, 폴리스티렌 술포네이트, 폴리비닐 술페이트, 벤조트리아졸, 트리아졸, 및 벤조이미다졸, 및 착화제 예컨대 아세틸아세톤, 아세테이트, 글리콜레이트, 락테이트, 글루코네이트, 갈산, 옥살레이트, 프탈레이트, 시트레이트, 숙시네이트, 타르테이트, 말레이트, 에틸렌디아민테트라아세트산, 에틸렌 글리콜, 피로카테콜, 피로갈롤, 타닌산, 포스포늄 염 및 포스폰산을 추가로 함유할 수 있다. 산화세륨-기재 CMP 슬러리는 폴리실리콘에 대한 산화규소 및/또는 질화규소의 양호한 선택성을 제공하는 것으로 여겨진다.
미국 특허 출원 제 US 2007/0175104 A1 호는, 아크릴아미드, 메타크릴아미드 및 이의 알파-치환된 유도체; 폴리에틸렌 글리콜; 폴리비닐피롤리돈; 아세틸렌-기재 디올의 알킬옥실화 선형 지방족 알코올 및 에틸렌옥시드 부가물로 이루어진 군으로부터 선택되는 임의의 구성원에 의해 치환된 N-일치환된 또는 N,N-이치환된 골격을 갖는 수용성 중합체로부터 선택되는 폴리실리콘 연마 저해제를 포함하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 CMP 슬러리는 추가의 수용성 중합체, 예컨대 폴리사카라이드, 예를 들어 알긴산, 펙틴산, 카르복시메틸셀룰로오스, 아가, 커들란, 및 풀루란; 폴리카르복실산 예컨대 폴리아스파르트산, 폴리글루탐산, 폴리라이신, 폴리말산, 폴리메타크릴산, 폴리이미드산, 폴리말레산, 폴리이타콘산, 폴리푸마르산, 폴리(p-스티렌 카르복실산), 폴리아크릴산, 폴리아크릴아미드, 아미노 폴리아크릴아미드, 폴리글리옥살산 및 이의 염; 및 비닐 중합체 예컨대 폴리비닐 알코올, 및 폴리아크롤레인을 함유할 수 있다. 산화세륨-기재 CMP 슬러리는 폴리실리콘에 대해 높은 산화규소 선택성을 갖는 것으로 여겨진다.
미국 특허 출원 제 US 2007/0191244 A1 호는, 히드록실 기 및 카르복실 기 또는 둘 모두를 함유하는 30 내지 500 의 중량-평균 분자 중량을 갖는 화합물, 예컨대 시트레이트, 말레이트, 글루코네이트, 타르트레이트, 2-히드록시이소부티레이트, 아디페이트, 옥타노에이트, 숙시네이트, EDTA-함유 화합물, 글루타레이트, 메틸렌숙시네이트, 만노오즈, 글리세로-갈락토-헵토오스, 에리트로-만노-옥토오즈, 아라비노-갈락토-노노오즈, 및 글루타민을 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 CMP 슬러리는 알콕시폴리알킬렌 글리콜 측쇄를 갖는 그래프트 유형 중합체 산 또는 선형 중합체 산을 추가로 함유할 수 있다. 산화세륨-기재 CMP 슬러리는 연마된 웨이퍼의 전반적인 평탄도 향상을 달성하는 것으로 여겨진다.
미국 특허 출원 US 2007/0218811 A1 은 4 내지 7.5 의 pH 를 갖고 분산제, 폴리카르복실산, 및 100 내지 1000 ppm 의 강산 (3.2 이하에서 이의 첫번째 해리가능한 산성 기의 pKa 를 가짐) 을 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 예로서, 아크릴산 및 메타크릴산의 중합체가 음이온성 분산제로서 언급되고, 폴리옥시에틸렌 유도체가 비이온성 분산제로서 언급되고, 폴리비닐피롤리돈이 양이온성 분산제로서 언급된다. 특히 언급되는 강산은 황산, HCl, 질산, 인산, 옥살산, 말레산, 피크르산, 아황산, 티오아황산, 아미도황산, 염소산, 과염소산, 아염소산, 히드로요오드산, 과요오드산, 요오드산, 히드로브롬산, 과브롬산, 크롬산, 아질산, 디포스폰산, 트리폴리인산, 포스핀산, 피콜린산, 포스폰산, 이소니코틴산, 니코틴산, 트리클로로아세트산, 디클로로아세트산, 클로로아세트산, 시아노아세트산, 옥살로아세트산, 니트로아세트산, 브로모아세트산, 플루오로아세트산, 페녹시아세트산, o-브로모벤조산, o-니트로벤조산, o-클로로벤조산, p-아미노벤조산, 안트라닐산, 프탈산, 푸마르산, 말론산, 타르타르산, 시트르산, o-클로로아닐린, 2,2'-바이피리딘, 4,4'-바이피리딘, 2,6-피리딘디카르복실산, 피루브산, 폴리스티렌 술폰산, 폴리술폰산, 글루탐산, 살리실산, 아스파르트산, 2-아미노에틸포스폰산, 라이신, 아르기닌, 이소루신, 사르코신, 오르니틴, 구아노신, 시트룰린, 티로신, 발린, 히포잔틴, 메티오닌, 라이신, 및 루신이다. 산화세륨-기재 CMP 슬러리는 패턴 밀도의 차이로 인해 효율적인 고속 작업, 용이한 공정 관리 및 필름 두께의 더욱 적은 변동을 야기하는 것으로 여겨진다.
미국 특허 출원 제 US 2008/0085602 A1 호 및 제 US 2008/0124913 A1 호는, 분산제로서 폴리아크릴산 및 에틸렌옥시드-프로필렌옥시드-에틸렌옥시드 트리블록 공중합체로부터 선택되는 비이온성 계면활성제 0.001 내지 0.1 중량%를 함유하는 산화세륨-기재 CMP 슬러리를 개시하고 있다. 산화세륨-기재 슬러리는 폴리실리콘에 대해 높은 산화규소 및 질화규소 선택성을 갖는 것으로 여겨진다.
전기 소자, 특히, 반도체 집적 회로 (IC) 의 제작은 그중에서도 높은 선택성의 CMP 를 포함하는 초정밀 방법을 요한다.
종래 기술의 산화세륨-기재 CMP 슬러리가 만족스러운 산화물-대-폴리실리콘, 산화물-대-질화물 및 질화물-대-폴리실리콘 선택성을 가지면서 웨이퍼-내 비균일도 (WIWNU) 및 웨이퍼-대-웨이퍼 비균일도 (WTWNU) 로 입증되는 바와 같은 양호한 전반적 및 국부적 평탄도를 갖는 연마된 웨이퍼를 산출시킬 수 있지만, IC 아키텍쳐, 특히 LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 의 치수의 감소때문에, 집적 회로 소자의 제조의 기술적 및 경제적 요구의 증가를 충족시키기 위한 산화세륨-기재 CMP 슬러리의 지속적인 개선이 필요하다.
그러나, 종래 기술의 산화세륨-기재 CMP 슬러리를 꾸준히 개선시킬 절실한 필요는, 오로지 집적 회로 소자 분야에만 해당되지 않고, 다른 전기 소자, 예컨대 액정 패널, 유기 전계발광 패널, 인쇄 회로 기판, 마이크로 머신, DNA 칩, 마이크로 플랜트, 광전압 전지, 및 자기 헤드; 및 초정밀 기계 소자 및 광학 소자, 특히, 광학 유리 예컨대 광-마스크, 렌즈 및 프리즘, 무기 전기-전도성 필름 예컨대 인듐 주석 옥시드 (ITO), 광학 집적 회로, 광학 스위칭 소자, 광도파관, 광학 모노크리스털 예컨대 광섬유의 단면 및 신틸레이터, 고체 레이져 모노크리스털, 청색 레이져 LED 용 사파이어 기판, 반도체 모노크리스털, 및 자기 디스크용 유리 기판의 제작 분야에서도 연마 및 평탄화 효능이 향상되어야 한다. 그러한 전기 및 광학 소자의 제조는 초정밀 CMP 공정 단계를 필요로 한다.
발명의 목적
따라서, 본 발명의 목적은 종래 CMP 공정의 단점 및 결점을 더 이상 나타내지 않는, 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판, 특히 반도체 기판의 신규한 화학적 기계적 연마 방법을 제공하는 것이다.
특히, 신규한 CMP 공정은 현저히 개선된 산화물-대-폴리실리콘, 산화물-대-질화물 및 질화물-대-폴리실리콘 선택성을 나타내고, 웨이퍼-내 비균일도 (WIWNU) 및 웨이퍼-대-웨이퍼 비균일도 (WTWNU) 에 의해 입증되는 바와 같이 탁월한 전반적 및 국부적 평탄도를 나타내는 연마된 웨이퍼를 수득한다. 따라서, 상기 방법은 50 nm 미만의 치수의 구조를 갖는 IC 아키텍쳐, 특히 LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 를 제조하는데 매우 적합해야만 한다.
더욱이, 신규한 CMP 공정은 집적 회로 소자의 분야에 예외적으로 유용해야할 뿐 아니라, 다른 전기 소자 예컨대 액정 패널, 유기 전계발광 패널, 인쇄 회로 기판, 마이크로 머신, DNA 칩, 마이크로 플랜트 및 자기 헤드; 및 초정밀 기계 소자 및 광학 소자, 특히, 광학 유리 예컨대 광-마스크, 렌즈 및 프리즘, 무기 전기-전도성 필름 예컨대 인듐 주석 옥시드 (ITO), 광학 집적 회로, 광학 스위칭 소자, 광도파관, 광학 모노크리스털 예컨대 광섬유의 단면 및 신틸레이터, 고체 레이져 모노크리스털, 청색 레이져 LED 용 사파이어 기판, 반도체 모노크리스털, 및 자기 디스크용 유리 기판을 제조하는 분야에서도 매우 효율적으로 및 유리하게 유용해야만 한다.
발명의 요약
따라서, 하기 단계를 포함하는, 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법을 밝혀내었다:
(1) 기판을, 하기를 함유하는 수성 연마 조성물과 1 회 이상 접촉시키는
단계;
(A) 전기영동 이동도에 의해 입증된 바와 같이 3 내지 9 의 범위의
pH 를 갖는 수성 매질에서 분산될 때 양전하를 띄는 1 종 이상의
연마제 입자;
(B) 선형 또는 분지형 알킬렌 옥시드 단일중합체 및 공중합체로
이루어진 군으로부터 선택되는 하나 이상의 수용성 또는 수분산성
중합체; 및
(C) 하기로 이루어진 군으로부터 선택되는 하나 이상의 수용성 또는
수분산성 중합체:
(c1) 선형 또는 분지형, 지방족 및 시클로지방족 폴리(N-비닐아미드)
단일중합체 및 공중합체,
(c2) 화학식 I 및 II 의 아크릴아미드 단량체의 단일중합체 및
공중합체:
H2C=C(-R)-C(=O)-N(-R1)(-R2) (I),
H2C=C(-R)-C(=O)-R3 (II),
[식 중, 상기 변수는 하기 의미를 가짐:
R 은 수소 원자, 불소 원자, 염소 원자, 니트릴기, 치환 및
비치환된 탄소수 1 내지 6 의 지방족 부분, 치환 및 비치환된
탄소수 3 내지 10 의 시클로지방족 부분, 치환 및 비치환된 탄소수 6 내지 10 의 방향족 부분으로 이루어진 군으로부터
선택되는 하나 이상의 부분을 포함하는 또는 상기 부분으로
이루어진 잔기이고;
R1 및 R2 는 서로 동일 또는 상이하고, 서로 독립적으로
수소 원자 또는 치환 및 비치환된 탄소수 1 내지 20 의
지방족 부분, 치환 및 비치환된 탄소수 3 내지 10 의
시클로지방족 부분, 치환 및 비치환된 탄소수 6 내지 10 의
방향족 부분으로 이루어진 군으로부터 선택되는 하나 이상의
이상의 부분을 포함하거나 상기 부분으로 이루어진 잔기이며;
R3 은 하나 이상의 질소 원자를 함유하는 치환 또는 비치환,
포화된 헤테로시클릭 고리이고, 상기 헤테로시클릭 고리는
탄소 질소 공유결합을 통해 카르보닐 부분의 탄소 원자에
연결됨];
상기 단일중합체 및 공중합체의 중량 평균 분자량은 100,000 Dalton
미만임;
(c3) 중량 평균 분자량이 100,000 Dalton 미만인 양이온성 중합체
응집제; 및
(c4) 이들의 혼합물;
(2) 산화규소 유전체 필름을 제거하고 폴리실리콘 및/또는 질화규소 필름을
을 노출시키기에 충분한 시간 동안 및 온도에서 기판을 연마하는 단계; 및
(3) 연마된 기판을 수성 연마 조성물로부터 분리시키는 단계.
이후, 기계, 전기 및 광학 소자용 기판의 신규 연마 방법을 "본 발명의 방법" 으로 지칭된다.
발명의 이점
종래 기술을 고려하여, 본 발명의 방법에 의해 본 발명의 목적이 해결될 수 있음을 본 숙련자는 놀랍게도 예기치 않게 밝혀내었다.
특히 놀랍게도, 본 발명의 방법은 현저히 개선된 산화물-대-폴리실리콘, 산화물-대-질화물 및 질화물-대-폴리실리콘 선택성을 나타내고 웨이퍼-내 비균일도 (WIWNU) 및 웨이퍼-대-웨이퍼 비균일도 (WTWNU) 에 의해 입증된 바와 같이 탁월한 전반적 및 국소적 평탄도를 갖는 연마된 웨이퍼를 산출한다. 따라서, 이는 50 nm 미만의 치수의 구조를 갖는 IC 아키텍쳐, 특히 LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 를 제조하는데 탁월하게 적합하다.
더욱이, 본 발명의 방법은 집적 회로 소자의 분야에서 예외적으로 유용할 뿐만 아니라, 다른 전기 소자 예컨대 액정 패널, 유기 전계발광 패널, 인쇄 회로 기판, 마이크로 머신, DNA 칩, 마이크로 플랜트 및 자기 헤드; 및 초정밀 기계 소자 및 광학 소자, 특히, 광학 유리 예컨대 광-마스크, 렌즈 및 프리즘, 무기 전기-전도성 필름 예컨대 인듐 주석 옥시드 (ITO), 광학 집적 회로, 광학 스위칭 소자, 광도파관, 광학 모노크리스털 예컨대 광섬유의 단면 및 신틸레이터, 고체 레이져 모노크리스털, 청색 레이져 LED 용 사파이어 기판, 반도체 모노크리스털, 및 자기 디스크용 유리 기판을 제조하는 분야에서 가장 효율적으로 및 유리하게 유용하다.
그러나, 가장 특히, 본 발명의 방법은 산화규소 유전체 및 폴리실리콘 필름 및 임의로 질화규소 필름을 함유하는 반도체 웨이퍼를 연마하는데 예외적으로 적합하다. 본 발명의 방법은 웨이퍼-내 비균일도 (WIWNU) 및 웨이퍼-대-웨이퍼 비균일도 (WTWNU) 에 의해 입증된 바와 같이 디슁, 쿠핑 또는 핫스팟 없이 탁월한 전반적 및 국부적 평탄도를 갖는 연마된 웨이퍼를 산출한다. 따라서, 이는 50 nm 미만의 치수의 구조를 갖는 IC 아키텍쳐, 특히 LSI (대규모 집적) 또는 VLSI (초대규모 집적) 를 갖는 IC 를 제조하는데 예외적으로 적합하다.
본 발명의 방법에 사용되는 연마 조성물은 수성 조성물이다. 이는 주요 용매 및 분산제로서, 물, 특히 초순수 물을 함유한다는 것을 의미한다. 그럼에도 불구하고, 본 발명의 방법에 사용되는 조성물은 하나 이상의 수혼화성 유기 용매를 함유할 수 있으나, 연마 조성물의 수성 본질을 변화시키지 않는 오직 소량으로만 함유한다.
바람직하게는, 연마 조성물은 60 내지 99.95 중량%, 보다 바람직하게는 70 내지 99.9 중량%, 심지어 보다 바람직하게는 80 내지 99.9 중량% 및 가장 바람직하게는, 90 내지 99.9 중량% (연마 조성물의 전체 중량을 기준으로 한 중량%) 의 물을 함유한다.
"수용성" 이란, 본 발명의 방법에 사용되는 조성물의 성분 및 관련 성분이 분자 수준에 대해 수성 상에 용해될 수 있는 것을 의미한다.
"수분산성" 이란, 본 발명의 방법에 사용되는 조성물의 성분 또는 관련 성분이 수성 상에 분산될 수 있고 안정적인 에멀젼 또는 현탁액을 형성할 수 있음을 의미한다.
연마 조성물의 제 1 필수 성분은 하나 이상, 바람직하게는 한 종류의 연마제 입자 (A) 이다.
연마제 입자 (A) 는, 3 내지 9 의 범위의 pH 를 갖는 수성 매질에 분산될 때 양전하를 띈다. 양전하는 연마제 입자 (A) 의 전기영동 이동도 μ (μm/s) (V/cm) 에 의해 입증된다. 전기영동 이동도 μ 는 Zetasizer Nano (Malvern, Ltd) 와 같은 장치로 직접 측정될 수 있다.
연마제 입자 (A) 의 평균 입자 크기는 폭넓게 다양할 수 있고, 따라서 본 발명의 소정의 연마 조성물 및 방법의 특정 요건에 맞게 가장 유리하게 조절될 수 있다. 바람직하게는, 평균 입자 크기는 역학적 레이져 광산란 기술에 의해 측정된 바와 같이 1 내지 2000 nm, 바람직하게는 1 내지 1000 nm, 보다 바람직하게는 1 내지 750, 및 가장 바람직하게는, 1 내지 500 nm 의 범위이다.
연마제 입자 (A) 의 입자 크기 분포는 단봉형, 이봉형 또는 다봉형일 수 있다. 바람직하게는, 입자 크기 분포는 연마제 입자 (A) 의 용이하게 재생할 수 있는 특성 프로파일 및 본 발명의 공정 동안 용이하게 재생가능한 조건을 갖기 위해 단봉형이다.
더욱이, 연마제 입자 (A) 의 입자 크기 분포는 폭이 좁을 수도 폭넓을 수도 있다. 바람직하게는, 입자 크기 분포는 연마제 입자 (A) 의 용이하게 재생가능한 특성 프로파일 및 본 발명의 공정 동안 용이하게 재생가능한 조건을 갖기 위해 오직 소량의 소 입자 및 대 입자를 가져 폭이 좁다.
연마제 입자 (A) 는 다양한 형상일 수 있다. 따라서, 이들은 하나 또는 본질적으로는 한 유형의 형상이다. 그러나, 연마제 입자 (A) 가 또한 상이한 형상을 갖는 것이 가능하다. 특히, 2 개의 유형의 상이하게 형상화된 연마제 입자 (A) 는 본 발명의 방법에 사용되는 소정의 조성물에 존재할 수 있다. 형상 자체에 대해, 형상은 정육면체, 모서리가 깎인 정육면체, 8면체형, 20면체, 혹형 및 융기 또는 만입부를 갖거나 갖지 않는 구형일 수 있다. 가장 바람직하게는, 형상은 융기 또는 만입부를 갖지 않거나 오직 매우 적은 정도로 갖는 구형이다. 이러한 형상은, 원칙적으로, 연마제 입자 (A) 가 CMP 공정 동안 노출되는 기계적 힘에 대한 저항성을 증가시키기 때문에 바람직하다.
원칙적으로, 임의의 유형의 연마제 입자 (A) 는, 상기 기술된 특성 프로파일을 갖는 한 본 발명의 방법에 사용되는 조성물에 사용될 수 있다. 따라서, 연마제 입자 (A) 는 유기 또는 무기 입자 또는 유기-무기 혼성 입자일 수 있다. 바람직하게는, 연마제 입자 (A) 는 무기 입자이다.
원칙적으로, 임의의 유형의 무기 연마제 입자 (A) 는, 상기 기술된 특성 프로파일을 갖는 한 본 발명의 방법에 사용되는 조성물에 사용될 수 있다. 그러나, 가장 바람직하게는, 산화세륨를 함유하거나 산화세륨으로 이루어진 무기 연마제 입자 (A) 가 사용된다.
산화세륨을 함유하는 연마제 입자 (A) 는 소량의 희토류 금속 산화물을 함유할 수 있다.
바람직하게는, 산화세륨을 함유하는 연마제 입자 (A) 는 산화세륨과 상이한 하나 이상의 기타 연마 미립자 물질, 특히 알루미나, 실리카, 티타니아, 지르코니아, 산화아연, 및 이들의 혼합물을 함유하거나 이들로 이루어진 코어를 포함하는 복합 입자 (A) 이다.
그러한 복합 입자 (A) 는 예를 들어, 하기 문헌에 공지되어 있다: WO 2005/035688 A1, US 6,110,396, US 6,238,469 B1, US 6,645,265 B1, K. S. Choi et al., Mat. Res. Soc. Symp. Proc. Vol. 671, 2001 Materials Research Society, M5.8.1 to M5.8.10, S.-H. Lee et al., J. Mater. Res., Vol. 17, No. 10, (2002), pages 2744 to 2749, A. Jindal et al., Journal of the Electrochemical Society, 150 (5) G314-G318 (2003), Z. Lu, Journal of Materials Research, Vol. 18, No. 10, October 2003, Materials Research Society, or S. Hedge et al., Electrochemical and Solid-State Letter, 7 (12) G316-G318 (2004).
가장 바람직하게는, 복합 입자 (A) 는 20 내지 100 nm 의 코어 크기를 갖는 알루미나, 실리카, 티타니아, 지르코니아, 산화아연, 및 이들의 혼합물로 이루어진 군으로부터 선택되는 코어를 포함하는 라즈베리-유형 코팅된 입자이다 (상기 코어는 10 nm 미만의 입자 크기를 갖는 산화세륨 입자로 코팅됨).
연마 조성물에 사용되는 연마제 입자 (A) 의 양은 폭넓게 다양할 수 있고, 따라서 본 발명의 소정의 조성물 및 방법의 특정 요건에 맞게 가장 유리하게 조절될 수 있다. 바람직하게는, 본 발명의 방법에 사용되는 연마 조성물은 0.005 내지 10 중량%, 보다 바람직하게는 0.01 내지 8 중량% 및 가장 바람직하게는 0.01 내지 6 중량% 의 연마제 입자 (A) (연마 조성물의 전체 중량을 기준으로 한 중량%) 를 함유한다.
연마 조성물의 제 2 필수 성분은, 선형 또는 분지형 알킬렌 옥시드, 바람직하게는 에틸렌옥시드 및 프로필렌옥시드, 단일중합체 및 공중합체로 이루어진 군으로부터 선택되는 하나 이상의, 바람직하게는 하나의 수용성 또는 수분산성, 바람직하게는 수용성 중합체 (B) 이다.
바람직한 에틸렌옥시드-프로필렌옥시드 공중합체 (B) 는 랜덤 공중합체, 교대 공중합체 또는 폴리에틸렌옥시드 블록 및 폴리프로필렌옥시드 블록을 함유하는 블록공중합체일 수 있다.
바람직하게는, 에틸렌옥시드-프로필렌옥시드 블록공중합체에서, 폴리에틸렌옥시드 블록은 10 내지 15 의 친수성-친유성-밸랜스 (HLB) 값을 갖는다. 폴리프로필렌옥시드 블록은 28 내지 약 32 의 HLB 값을 가질 수 있다.
수용성 또는 수분산성 중합체 (B) 는 통상적으로 공지되어 있으며, 시판되는 물질이다. 적합한 수용성 중합체 (B) 는 하기 문헌에 기재되어 있다: 일본 특허 출원 JP 2001-240850 A, 청구항 제 2 항과 함께 단락 [0007] 내지 [0014], 미국 특허 출원 US 2007/0077865 A1, 컬럼 페이지 1, 단락 [0008] 내지 페이지 2, 단락 [0010], 미국 특허 출원 US 2006/0124594 A1, 페이지 3, 단락 [0036] 및 [0037] 및 미국 특허 출원 US 2008/0124913 A1, 청구항 제 14 항과 함께 페이지 3, 단락 [0031] 내지 [0033] (이들은 BASF Corporation 의 회사 브로쇼어 "Pluronic™ & Tetronic™ Block Copolymer Surfactants, 1996" 에서 나타내듯이 BASF Corporation 및 BASF SE 에 의해 상표명 Pluronic™, Tetronic™ 및 Basensol™ 으로 시판되고 있음) 또는 미국 특허 US 2006/0213780 A1.
가장 바람직하게는, 폴리에틸렌 글리콜 (PEG) 이 중합체 (B) 로서 사용된다.
연마 조성물에서 수용성 또는 수분산성 중합체 (B) 의 농도는 폭넓게 다양할 수 있고, 따라서, 본 발명의 소정의 조성물 및 방법의 특정 요건에 맞게 가장 유리하게 조절될 수 있다. 바람직하게는, 연마 조성물은 0.001 내지 5중량%, 보다 바람직하게는 0.005 내지 2.5중량%, 심지어 보다 바람직하게는 0.0075 내지 1중량%, 가장 바람직하게는, 0.0075 내지 0.5중량% 의 양의 수용성 중합체 (B) 를 함유한다 (연마 조성물의 전체 중량을 기준으로 한 중량%).
연마 조성물의 제 3 필수 성분은 중합체 (c1), (c2) 및 (c3) 및 이들의 혼합물로 이루어진 군으로부터 선택되는 하나 이상의, 바람직하게는 하나의, 수용성 또는 수분산성, 바람직하게는 수용성, 중합체 (C) 이다.
중합체 (c1) 은 선형 또는 분지형, 지방족 및 시클로지방족 폴리(N-비닐아미드) 단일중합체 및 공중합체이다.
바람직하게는, 선형 또는 분지형, 지방족 및 시클로지방족 폴리(N-비닐아미드) 단일중합체 및 공중합체 (c1) 의 빌딩 블록인 지방족 및 시클로지방족 N-비닐아미드 단량체는 N-비닐아세트아미드, N-비닐피롤리돈, N-비닐발레로락탐, N-비닐카프로락탐, N-비닐숙신이미드 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 가장 바람직하게는, N-비닐피롤리돈이 사용된다.
폴리(N-비닐아미드) 공중합체 (c1) 는 N-비닐아미드 이외에, 통상적이면서 공지된 올레핀계 불포화된 단량체 유래의 단량체 단위, 예를 들어, 비닐 에스테르 및 에테르, 아크릴 및 메타크릴 에스테르, 알릴성 에스테르 및 에테르, 할로겐 원자 또는 니트릴기로 치환될 수 있는 올레핀, 및 스티렌 단량체를 함유할 수 있고, 단 상기 단량체 단위는 수용성이 저해되지 않는 양으로 함유되기만 하면 된다.
바람직하게는, 수용성 중합체 (c1) 는 중량 평균 분자량이 2000 내지 1,000,000 Dalton, 더욱 바람직하게는 5000 내지 500,000 Dalton 및 가장 바람직하게는, 10,000 내지 250,000 Dalton 이다.
중합체 (c2) 는 화학식 I 및/또는 II 의 아크릴아미드 단량체의 단일중합체 및 공중합체이다:
H2C=C(-R)-C(=O)-N(-R1)(-R2) (I),
H2C=C(-R)-C(=O)-R3 (II).
화학식 I 및 II 에서, R 은 수소 원자, 불소 원자, 염소 원자, 니트릴기 또는 치환 및 비치환된 탄소수 1 내지 6 의 지방족 부분, 치환 및 비치환된 탄소수 3 내지 10 의 시클로지방족 부분, 치환 및 비치환된 탄소수 6 내지 10 의 방향족 부분으로 이루어진 군으로부터 선택되는 하나 이상의, 바람직하게는 하나의 부분을 포함하거나 상기 부분으로 이루어진 잔기를 나타낸다.
이 시점 및 이후 CMP 의 조건 하에 안정하고, 본 발명의 공정에 악영향을 주지만 않는다면 어떤 치환기라도 사용될 수 있다. 적합한 치환기의 예는 불소 원자, 염소 원자 또는 니트릴기이다.
바람직하게는, 화학식 I 및 II 의 잔기 R 은 수소 원자, 염소 원자, 니트릴기 또는 메틸기, 더욱 바람직하게는 수소 원자 또는 메틸기, 가장 바람직하게는 수소 원자를 나타낸다.
화학식 I 의 잔기 R1 및 R2 는 동일할 수 있거나 서로 상이할 수 있다. 그들은 서로 독립적으로 수소 원자 또는 치환 및 비치환된 탄소수 1 내지 20 의 지방족 부분, 치환 및 비치환된 탄소수 3 내지 10 의 시클로지방족 부분, 치환 및 비치환된 탄소수 6 내지 10 의 방향족 부분으로 이루어진 군으로부터 선택되는 하나 이상의, 바람직하게는 하나의 부분을 포함하거나 상기 부분으로 이루어진 잔기를 나타낸다.
바람직하게는, 화학식 I 의 잔기 R1 및 R2 는 서로 동일 또는 상이하고, 서로 독립적으로 수소 원자, 메틸, 에틸, 프로필, 이소프로필, 시클로펜틸, 시클로헥실 및 페닐 기 및 이들의 혼합물, 바람직하게는 수소 원자 및 메틸기로 이루어진 군으로부터 선택된다. 가장 바람직하게는, 수소 원자가 사용된다.
화학식 II 의 잔기 R3 은 하나 이상의, 바람직하게는 하나의 질소 원자를 함유한 치환 또는 비치환, 포화된 헤테로시클릭 고리를 나타내고, 상기 헤테로시클릭 고리는 탄소 질소 공유결합을 통해 카르보닐 부분의 탄소 원자에 연결된다.
바람직하게는, 화학식 II 의 잔기 R3 은 모폴리노, 티오모폴리노, 피롤리디노 또는 피페리디노 기를 나타낸다.
화학식 I 및 II 의 추가 적합한 아크릴아미드 단량체는 미국 특허 출원 US 2007/0175104 A1, 단락 [0041] 내지 [0043] 및 [0070] 내지 [0074] 에 개시되어 있다.
가장 바람직하게는, 아크릴아미드는 아크릴아미드 단량체로서 사용된다.
공중합체 (c2) 는 화학식 I 및 II 의 아크릴아미드 이외에, 통상적이면서 공지된 올레핀계 불포화된 단량체 유래의 단량체 단위, 예를 들어, 비닐 에스테르 및 에테르, 아크릴 및 메타크릴 에스테르, 알릴성 에스테르 및 에테르, 할로겐 원자 또는 니트릴기로 치환될 수 있는 올레핀, 및 스티렌 단량체를 함유할 수 있고, 단 상기 단량체 단위는 수용성이 저해되지 않는 양으로 함유되기만 하면 된다.
단일중합체 및 공중합체 (c2) 는 중량 평균 분자량이 100,000 Dalton 미만, 바람직하게는 75,000 Dalton 미만, 더욱 바람직하게는 50,000 Dalton 미만 및 가장 바람직하게는 20,000 Dalton 미만이다. 가장 바람직하게는, 중량 평균 분자량의 하한값은 5000 Dalton 이다.
중합체 (c3) 은 양이온성 중합체성 응집제이다.
바람직하게는, 양이온성 중합체성 응집제 (c3) 는 양이온성으로 변성된 폴리아크릴아미드, 폴리아민, 폴리에틸렌이민, 폴리(디알릴-N,N-디알킬암모늄 할라이드) 및 이들의 혼합물로이루어진 군으로부터 선택된다.
더욱 바람직하게는, 양이온성 기는 3 차 및 4 차 암모늄 기, 2 차 술포늄 기, 3 차 포스포늄 기 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 가장 바람직하게는, 4 차 암모늄 기가 사용된다.
더욱 바람직하게는, 폴리(디알릴-N,N-디알킬암모늄 할라이드) (c3) 의 알킬기는 메틸, 에틸, 프로필 및 이소프로필 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 가장 바람직하게는, 메틸기가 사용된다. 더욱 바람직하게는, 할라이드는 불화물, 염화물 및 브롬화물로 이루어진 군으로부터 선택된다. 가장 바람직하게는, 염화물이 사용된다. 가장 바람직하게는, 폴리(디알릴-N,N-디메틸암모늄 클로라이드) (폴리-DADMAC) 가 사용된다.
양이온성으로 변성된 응집제 (c3) 는 통상적이면서 공지된 시판되는 물질이고, 예를 들어, BASF SE 사의 상품명 Sedipur™ C. 와 같다,
연마 조성물에서의 수용성 또는 수분산성 중합체 (C) 의 농도는 광범위하게 다양할 수 있고, 따라서 본 발명의 소정의 조성물 및 방법의 특정 요건에 맞게 가장 유리하게 조절될 수 있다. 바람직하게는, 상기 조성물은 중합체 (C) 를 연마 조성물의 전체 중량에 대한 0.0001 내지 5 중량%, 더욱 바람직하게는 0.0005 내지 2.5 중량%, 더 더욱 바람직하게는 0.00075 내지 1 중량% 및 가장 바람직하게는, 0.00075 내지 0.5 중량% 의 양으로 함유한다.
연마 조성물은 성분 (A), (B) 및 (C) 와 상이한 하나 이상 관능성 성분 (D) 을 함유할 수 있다.
바람직하게는, 관능성 성분 (D) 은 산화세륨-기재 CMP 슬러리에 통상적으로 사용되는 화합물의 군으로부터 선택된다.
더욱 바람직하게는, 관능성 성분 (D) 가, 입자 (D) 와 상이한 유기, 무기 및 혼성 유기-무기 연마제 입자, 2 개 이상의 히드록시 기를 갖는 다가 알코올 및 이의 올리고머 및 중합체, 히드록시카르복실산 및 이의 에스테르 및 락톤, 낮은 임계 용해 온도 LCST 또는 높은 임계 용해 온도 UCST 를 갖는 물질, 산화제, 부동화제, 전하 역전제, 착화제 또는 킬레이트화제, 마찰제, 안정화제, 유동화제, 계면활성제, 금속 양이온 및 유기 용매로 이루어진 군으로부터 선택된다.
적합한 유기 연마제 입자 (D) 및 이의 유효량은 예를 들어, 미국 특허 출원 제 US 2008/0254628 A1, 페이지 4, 단락 [0054] 또는 국제 출원 제 WO 2005/014753 A1 호 (여기서, 멜라민 및 멜라민 유도체 예컨대 아세토구아나민, 벤조구아나민 및 디시안디아미드로 이루어진 고체 입자가 개시되어 있음) 에서 공지되어 있다.
적합한 무기 연마제 입자 (D) 및 이의 유효량은, 예를 들어, 국제 특허 출원 WO 2005/014753 A1, 페이지 12, 라인 1 내지 8 또는 미국 특허 US 6,068,787, 컬럼 6, 라인 41 내지 컬럼 7, 라인 65 에 공지되어 있다.
적합한 혼성 유기-무기 연마제 입자 (D) 및 이의 유효량은 예를 들어, 미국 특허 출원 제 US 2008/0254628 A1, 페이지 4, 단락 [0054] 또는 US 2009/0013609 A1, 페이지 3, 단락 [0047] 내지 페이지 6, 단락 [0087] 에 공지되어 있다.
적합한 다가알코올 (D) 은 디올 예컨대 에틸렌 글리콜 및 프로필렌 글리콜, 트리올 예컨대 글리세롤, 펜타에리트리톨, 알디톨, 시클리톨, 및 글리세롤, 트리메틸올프로판, 펜타에리트리톨, 알디톨 및 시클리톨의 이량체 및 올리고머이다.
적합한 히드록시카르복실산 (D) 은 알돈산, 우론산, 글리쿠론산, 알다르산, 울루손산, 뉴라민산 및 시알산 및 이의 에스테르 및 락톤이다.
적합한 산화제 (D) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, 페이지 8, 단락 [0074] 및 [0075] 또는 미국 특허 US 6,068,787, 컬럼 4, 라인 40 내지 컬럼 7, 라인 45 또는 US 7,300,601 B2, 컬럼 4, 라인 18 내지 34 에 공지되어 있다. 바람직하게는, 유기 및 무기 과산화물, 보다 바람직하게는 무기 과산화물이 사용된다. 특히, 과산화수소가 사용된다.
적합한 부동화제 (D) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,300,601 B2, 컬럼 3, 라인 59 내지 컬럼 4, 라인 9 또는 미국 특허 출원 제 US 2008/0254628 A1, 페이지 4 및 5 에 걸친 단락 [0058] 에 공지되어 있다.
적합한 착화제 또는 킬레이트화제 (D) (이는 종종 마찰제로서 지칭됨 (참조, 미국 특허 출원 제 US 2008/0254628 A1, 페이지 5, 단락 [0061]) 또는 에칭제 또는 부식액 (참조, 미국 특허 출원 제 US 2008/0254628 A1, 페이지 4, 단락 [0054])) 및 이의 유효량은 예를 들어, 미국 특허 US 7,300,601 B2, 컬럼 4, 라인 35 내지 48 에 공지되어 있다. 아미노 산, 특히 글리신, 및 또한, 1 개 이상, 바람직하게는 2 개, 보다 바람직하게는 3 개의 1차 아미노 기 예컨대 멜라민 및 수용성 구아나민, 특히 멜라민, 포르모구아나민, 아세토구아나민 및 2,4-디아미노-6-에틸-1,3,5-트리아진을 함유하는 디시안디아미드 및 트리아진이 특히 바람직하게는 사용된다.
적합한 안정화제 (D) 및 이의 유효량은, 예를 들어, 미국 특허 US 6,068,787, 컬럼 8, 라인 4 내지 56 에 공지되어 있다.
적합한 유동화제 (D) 및 이의 유효량은, 예를 들어, 미국 특허 출원 제 US 2008/0254628 A1, 페이지 5, 단락 [0065] 내지 페이지 6, 단락 [0069] 에 공지되어 있다.
적합한 계면활성제 (D) 및 이의 유효량은, 예를 들어, 국제 특허 출원 WO 2005/014753 A1, 페이지 8, 라인 23, 내지 페이지 10, 라인 17 또는 미국 특허 US 7,300,601 B2, 컬럼 5, 라인 4 내지 컬럼 6, 라인 8 에서 공지되어 있다.
적합한 다원자가 금속 이온 (D) 및 이의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, 페이지 8, 단락 [0076] 내지 페이지 9, 단락 [0078] 에 공지되어 있다.
적합한 유기 용매 (D) 및 이의 유효량은, 예를 들어, 미국 특허 US 7,361,603 B2, 컬럼 7, 라인 32 내지 48 또는 미국 특허 출원 US 2008/0254628 A1, 페이지 5, 단락 [0059] 에 공지되어 있다.
낮은 임계 용해 온도 LCST 또는 높은 임계 용해 온도 UCST 를 나타내는 적합한 물질 (D) 은 예를 들어 하기 문헌에 기술되어 있다: 논문 [H. Mori, H. Iwaya, A. Nagai and T. Endo, Controlled synthesis of thermoresponsive polymers derived from L-proline via RAFT polymerization, in Chemical Communication, 2005, 4872-4874]; 또는 논문 [D. Schmaljohann, Thermo- and pH-responsive polymers and drug delivery, Advanced Drug Delivery Reviews, volume 58 (2006), 1655-1670] 또는 미국 특허 출원 US 2002/0198328 A1, US 2004/0209095 A1, US 2004/0217009 A1, US 2006/0141254 A1, US 2007/0029198 A1, US 2007/0289875 A1, US 2008/0249210 A1, US 2008/0050435 A1 또는 US 2009/0013609 A1, 미국 특허 US 5,057,560, US 5,788,82 및 US6,682,642 B2, 국제 특허 출원 WO 01/60926 A1, WO2004/029160 A1, WO 2004/0521946 A1, WO 2006/093242 A2 또는 WO 2007/012763 A1, 유럽 특허 출원 EP 0 583 814 A1, EP 1 197 587 B1 및 EP 1 942 179 A1, 또는 독일 특허 출원 DE 26 10 705.
원칙적으로, CMP 분야에서 통상 사용되는 임의의 공지된 전하 가역제 (D) 가 사용될 수 있다. 바람직하게는, 전하 가역제 (D) 는 카르복실레이트, 술포네이트, 술페이트 및 포스포네이트 기로 이루어진 군으로부터 선택되는 하나 이상의 음이온성 기를 함유하는 단량체성, 올리고머성 및 중합체성 화합물로 이루어진 군으로부터 선택된다.
존재하는 경우, 관능성 성분 (D) 은 양을 다양하게 하여 함유될 수 있다. 바람직하게는, (D) 의 총량은 10 중량% 이하, 보다 바람직하게는 2 중량% 이하, 가장 바람직하게는 0.5 중량% 이하, 특히 0.1 중량% 이하, 예를 들어 0.01 중량% 이하 (상응하는 CMP 조성물의 총 중량 기준) 이다. 바람직하게는, (D) 의 총량은 0.0001 중량% 이상, 보다 바람직하게는 0.001 중량% 이상, 가장 바람직하게는 0.008 중량% 이상, 특히 0.05 중량% 이상, 예를 들어 0.3 중량% 이상 (상응하는 조성물의 총 중량 기준) 이다.
본 발명의 방법에 사용되는 조성물은 성분 (A), (B) 및 (C) 와는 실질적으로 상이한 하나 이상의 pH-조절제 또는 완충제 (E) 를 임의로 함유할 수 있다.
적합한 pH-조절제 또는 완충제 (E) 및 이들의 유효량은, 예를 들어, 유럽 특허 출원 EP 1 036 836 A1, 페이지 8, 단락 [0080], [0085] 및 [0086], 국제 특허 출원 WO 2005/014753 A1, 페이지 12, 라인 19 내지 24, 미국 특허 출원 제 US 2008/0254628 A1, 페이지 6, 단락 [0073] 또는 미국 특허 US 7,300,601 B2, 컬럼 5, 라인 33 내지 63 에 공지되어 있다. pH-조절제 또는 완충제 (E) 에 대한 예는 수산화칼륨, 수산화암모늄, 테트라메틸암모늄 히드록시드 (TMAH), 질산, 및 황산이다.
존재하는 경우, pH-조절제 또는 완충제 (E) 는 양을 다양하게 하여 함유될 수 있다. 바람직하게는, (E) 의 총량은 20 중량% 이하, 보다 바람직하게는 7 중량% 이하, 가장 바람직하게는 2 중량% 이하, 특히 0.5 중량% 이하, 예를 들어 0.1 중량% 이하 (상응하는 CMP 조성물의 총 중량 기준) 이다. 바람직하게는, (E) 의 총량은 0.001 중량% 이상, 보다 바람직하게는 0.01 중량% 이상, 가장 바람직하게는 0.05 중량% 이상, 특히 0.1 중량% 이상, 예를 들어 0.5 중량% 이상 (상응하는 조성물의 총 중량 기준) 이다.
바람직하게는, 본 발명의 방법에 사용되는 조성물의 pH 는 바람직하게는 상기 언급된 pH-조절제 (E) 를 사용하여, 3 내지 10, 보다 바람직하게는, 3 내지 8, 심지어 보다 바람직하게는 3 내지 7, 가장 바람직하게는 5 내지 7 로 설정된다.
조성물의 제조는 어떤 자세한 사항들을 나타내지는 않았으나, 상기 기술된 성분 (A), (B) 및 (C) 및 임의로 (D) 및/또는 (E) 를 수성 매질, 특히, 탈이온수에 용해시키거나 분산시킴으로써 수행될 수 있다. 이러한 목적을 위해 통상적인 표준 혼합 공정 및 혼합 장치, 예컨대 교반 관, 인라인 용해기, 고전단 임펠러, 초음파 혼합기, 균질화기 노즐 또는 역류 혼합기가 사용될 수 있다. 바람직하게는, 이에 따라 수득되는 본 발명의 방법에 사용되는 조성물은 조질 입자, 예컨대 집합물 또는 고체 응집물, 미세 분산된 연마제 입자 (A) 를 제거하기 위해, 적절한 메쉬 틈의 필터를 통해 여과될 수 있다.
상기 조성물은 본 발명의 공정에 탁월하게 적합하다.
본 발명의 공정에서, 전기, 기계 및 광학 소자, 특히, 전기 소자, 가장 바람직하게는, 집적 회로 소자용 기판을, 상기 조성물과 1 회 이상 접촉시키고, 원하는 평탄도가 달성되고 폴리실리콘층이 노출될 때까지 연마하고, 특히 화학적 및 기계적으로 연마한다.
따라서, 본 발명에 대한 공정은 이의 특정 이점을 나타내는데, 저-k 또는 초저-k 산화규소 물질 및 폴리실리콘 층으로 이루어진 단리 층 (임의로 질화규소 층을 함유) 을 갖는 규소 반도체 웨이퍼의 CMP 에서 이의 특히 유리한 점을 나타낸다.
절연 유전체 층을 제조하는 적합한 저-k 또는 초저-k 물질 및 적합한 방법은 예를 들어 하기 문헌에서 기술되어 있다: 미국 특허 출원 제 US 2005/0176259 A1, 페이지 2, 단락 [0025] 내지 [0027], US 2005/0014667 A1, 페이지 1, 단락 [0003], US 2005/0266683 A1, 페이지 1, 단락 [0003] 및 페이지 2, 단락 [0024] 또는 US 2008/0280452 A1, 단락 [0024] 내지 [0026] 또는 미국 특허 US 7,250,391 B2, 컬럼 1, 라인 49 내지 54 또는 유럽 특허 출원 EP 1 306 415 A2, 페이지 4, 단락 [0031].
본 발명의 공정은 패턴화 웨이퍼 기판 상에서 폴리실리콘에 대한 이산화규소의 선택적 제거를 요하는 쉘로우 트렌치 분리 (STI) 에 특히 적합하다. 이러한 공정에서, 에칭된 트렌치는 유전체 물질, 예를 들어, 이산화규소로 과충전되고, 이는 중단 층으로서 폴리실리콘 장벽 필름을 사용하여 연마된다. 이러한 바람직한 구현예에서, 본 발명의 공정은 노출된 폴리실리콘 및 트렌치 산화규소의 제거를 최소화하면서 장벽 필름으로부터 이산화규소를 세정하는 것으로 끝난다.
또한, 질화규소 필름 또는 질화규소 및 폴리실리콘 필름도 존재하는 본 발명의 공정은 쉘로우 트렌치 단리 (STI) 에 매우 적합한데, 왜냐하면 본 발명의 공정은 양호한 산화물-대-질화물 선택성과 함께 높은 질화물-대-폴리실리콘 선택성을 나타내기 때문이다.
따라서, 본 발명의 공정은 50 초과의 산화물-대-폴리실리콘 선택성을 나타낸다.
본 발명의 공정은 자세한 사항들을 나타내지 않았지만, IC 를 이용한 반도체 웨이퍼 제작에서 CMP 에 대해 통상 사용되는 공정 및 장치로 수행될 수 있다.
당업계에 공지된 바와 같이, CMP 에 대한 전형적인 장치는 연마 패드로 피복된 회전 플래튼으로 이루어진다. 웨이퍼는 윗면이 캐리어 또는 처크 상에 고정되고, 아랫면이 연마 패드를 향한다. 캐리어는 수평 위치로 웨이퍼를 고정시킨다. 이러한 연마 및 고정 장치의 특정 배치는 경질의 플래튼 도안으로서 공지되어 있다. 캐리어는 연마되지 않는 웨이퍼의 표면 및 캐리어의 보유 표면 사이에 놓여 있는 캐리어 패드를 보유할 수 있다. 이러한 패드는 웨이퍼를 위한 쿠션으로서 작동할 수 있다.
캐리어 아래에, 더욱 큰 직경의 플래튼은 또한 일반적으로 수평으로 위치하고 연마되는 웨이퍼의 표면과 평행한 표면을 제공한다. 이의 연마 패드는 평탄화 공정 동안 웨이퍼 표면과 접촉한다. 본 발명의 CMP 공정 동안, 본 발명의 방법에 사용되는 조성물은 연속 스트림 또는 적하 방식으로서 연파 패드 상에 적용된다.
캐리어 및 플래튼 둘 모두는 캐리어 및 플래튼과 수직으로 연장된 각 축을 둘러서 회전하게 된다. 회전 캐리어 축은 회전 플래튼에 대한 위치에서 고정된 채로 있을 수 있거나 플래튼에 대해 수평으로 왔다갔다할 수 있다. 캐리어의 회전 방향은 전형적으로, 반드시는 아니지만, 플래튼의 회전 방향과 동일하다. 캐리어 및 플래튼에 대한 회전 속도는 일반적으로, 반드시는 아니지만, 상이한 값으로 설정된다.
통상적으로, 플래튼의 온도는 10 내지 70℃ 의 온도에 설정된다.
추가의 상세 사항에 대해선, 국제 특허 출원 WO 2004/063301 A1, 도 1 과 함께, 특히 페이지 16, 단락 [0036] 내지 페이지 18, 단락 [0040] 을 참조한다.
본 발명의 방법에 의해 탁월한 평탄도를 갖는 패턴화된 폴리실리콘 및 저-k 및 초저-k 물질 층, 특히 이산화규소 층을 포함하는 IC 를 갖는 반도체 웨이퍼가 수득될 수 있다. 따라서, 탁월한 평탄도를 가지면서 완성된 IC 에서는 탁월한 전기적 기능성을 갖는 구리 다마신 (damascene) 패턴이 수득될 수 있다.
실시예 1 및 비교 실험예 C1 내지 C3
폴리실리콘 코팅, 질화규소 코팅 및 이산화규소 코팅된 블랭크 웨이퍼의 CMP 및 산화물-대-폴리실리콘, 산화물-대-질화물 및 질화물-대-폴리실리콘 선택성
수성 연마 조성물 1 내지 4 를 실시예 1 및 비교 실험예 C1 내지 C3 각각에 대해 제조하였다. 이를 위해서, 산화세륨 (역학 레이져 광 산란에 의해 측정된 평균 입자 크기 d50 가 120 내지 140 Nm 임), 폴리에틸렌 글리콜 (PEG10K; 중량 평균 분자량: 10,000 Dalton), 및 폴리아크릴아미드 (PAL10K; 중량 평균 분자량: 10,000 Dalton) 를 초순수 물에 분산 또는 용해시켰다. 사용된 양을 표 1 에 편집해 놓았다.
수성 연마 조성물 1 내지 4 의 조성
조성물 번호 산화세륨/ 중량%
PEG10K/ 중량% PAL10K/ 중량% pH
1 (본 발명) 0.5 0.25 0.25 5.1
2 (비교) 0.5 - - 5.5
3 (비교) 0.5 - 0.25 5.1
4 (비교) 0.5 0.2 - 5.1
표 1 의 조성물 1 번을 실시예 1 에 사용하였다. 표 1 의 조성물 2 내지 4 번을 각각 비교 실험예 C1 내지 C3 에 사용하였다.
CMP 공정 변수는 하기와 같다:
- 연마 장치: Strasbaugh 6EGnHance (회전 유형):
- 플래튼 속도: 71 rpm;
- 캐리어 속도: 70 rpm;
- IC 1000/Suba 400 K-그루브 연마 패드 (Rohm & Haas 사제);
- S60 3M 다이아몬드 컨디셔너를 사용하는 제자리 컨디셔닝;
- 슬러리 유속: 150 ml/분;
- 기판: 200 mm 열 산화물 블랭킷 웨이퍼 (SKW 사), 폴리실리콘 코팅된 블랭킷 웨이퍼 및 질화규소 코팅된 블랭킷 웨이퍼;
- 다운 포스: 2.5 psi (171.43 mbar);
- 연마 시간: 1 분.
물질 제거율 MRR 을 반사계로 측정하였다. 수득한 결과를 표 2 에 편집해 놓았다.
열산화물, 질화규소 및 폴리실리콘 제거율 MRR
실시예 또는
비교 실험예
번호
조성물
번호
열산화물 MRR
(Å/분)
폴리실리콘 MRR
(Å/분)
질화규소 MRR
(Å/분)
1 1 1076 21 102
C1 2 3947 415 629
C2 3 1093 1013 145
C3 4 3973 109 675
계산된 선택성을 표 3 에 편집해 놓았다.
산화물-대-폴리실리콘, 산화물-대-질화물 및 질화물-대-폴리실리콘 선택성
실시예 또는
비교 실험예
번호
조성물
번호
산화물-대-폴리실리콘 선택성 산화물-대-질화물
선택성
질화물-대-폴리실리콘 선택성
1 1 51 10.5 4.8
C1 2 9.5 6.27 1.55
C2 3 1 7.53 0.14
C3 4 36 5.88 6.19
표 3 의 결과는 PEG10K 및 PAL10K 사이의 뜻밖의 상승작용이 있다는 것을 나타냈다: 단독으로 사용된 PAL10K 는 산화물-대-폴리실리콘 선택성에 악영향을 주는 반면, 산화물-대-질화물 선택성에는 영향을 주지 않았다 (비교 실험예 C2 참조). 단독으로 사용된 PEG10K 는 적어도 산화물-대-폴리실리콘 선택성을 증가시켰으나, 50 미만을 유지하였다. 또, 산화물-대-질화물 선택성에는 영향을 주지 않았다 (비교 실험예 C3 참조). 따라서 중합체 둘의 공동 사용에 의해 달성되는 선택성의 유의한 증가는 완전히 예상 밖이었다. 산화물-대-질화물 선택성은 이산화규소, 질화규소 및 폴리실리콘 구역을 함유하는 전반적으로 평탄화된 이질성의 패턴화된 표면에 디싱 및 다른 손상 및 결함을 방지하는 유리한 범위 내에 있었다.
실시예 2 내지 11 및 비교 실험예 C4 및 C5
폴리실리콘 코팅, 질화규소 코팅 및 이산화규소 코팅된 블랭킷 웨이퍼의 CMP 및 산화물-대-폴리실리콘, 산화물-대-질화물 및 질화물-대-폴리실리콘 선택성
수성 연마 조성물 2 내지 13 을 실시예 2 내지 11 및 비교 실험예 C4 및 C5 각각에 대해 제조하였다. 이를 위해서, 산화세륨 (역학 레이져 광 산란에 의해 측정된 평균 입자 크기 d50 가 120 내지 140 Nm 임), 폴리에틸렌 글리콜 (PEG10K; 중량 평균 분자량: 10,000 Dalton), 및 양이온성으로 변성된 폴리아크릴아미드 응집제 (BASF SE 사의 Sedipur™ CL 520) 를 초순수 물에 분산 또는 용해시켰다. 수성 연마 조성물 2 내지 13 의 pH 를 5 로 조절하였다. 사용된 양을 표 4 에 편집해 놓았다.
수성 연마 조성물 2 내지 13 의 조성
조성물 번호 산화세륨/ 중량%
PEG10K/ 중량% Sedipur™ CL 520/중량%
2 (본 발명) 0.5 0.2 0.05
3 (본 발명) 0.5 0.2 0.075
4 (본 발명) 0.5 0.2 0.095
5 (본 발명) 0.5 0.2 0.1
6 (본 발명) 0.5 0.2 0.15
7 (본 발명) 0.5 0.2 0.155
8 (본 발명) 0.5 0.2 0.2
9 (본 발명) 0.5 0.2 0.25
10 (본 발명) 0.5 0.2 0.3
11 (본 발명) 0.5 0.2 0.35
12 (비교) 0.5 - -
13 (비교) 0.5 - 0.155
표 3 의 조성물 2 내지 11 번을 실시예 2 내지 11 에 사용하였다. 표 4 의 조성물 12 및 13 번을 비교 실험예 C4 및 C5 각각에 사용하였다.
HDP 이산화규소 (고밀도 플라즈마 증착된 이산화규소) 블랭킷 웨이퍼를 열적 이산화규소 블랭킷 웨이퍼 대신에 사용하는 것을 제외하고는 실시예 1 및 비교 실험예 C1 내지 C3 에 기술된 바와 같이 MRR 을 측정하였다.
결과를 표 5 에 편집해 놓았다.
HDP 이산화규소, 질화규소 및 폴리실리콘 제거율 MRR
실시예 또는
비교 실험예
번호
조성물
번호
HDP MRR
(Å/분)
질화규소 MRR
(Å/분)
폴리실리콘 MRR
(Å/분)
2 2 3470 862 31
3 3 2964 818 31
4 4 1964 896 44
5 5 532 912 48
6 6 206 962 37
7 7 259 980 62
8 8 87 954 23
9 9 66 906 27
10 10 31 735 18
11 11 41 176 17
C4 12 3947 629 415
C5 13 269 1055 1599
계산된 선택성을 표 6 에 편집해 놓았다.
산화물-대-폴리실리콘, 산화물-대-질화물 및 질화물-대-폴리실리콘 선택성
실시예 또는
비교 실험예
번호
조성물
번호
산화물-대-폴리실리콘 선택성 산화물-대-질화물
선택성
질화물-대-폴리실리콘 선택성
2 2 119.9 4 27.8
3 3 95.6 3.6 26.4
4 4 44.6 2.2 20.3
5 5 11.1 0.58 19
6 6 5.56 0.21 26
7 7 4.17 0.26 15.8
8 8 3.78 0.09 41.5
9 9 2.4 0.073 33.5
10 10 1.72 0.042 40.8
11 11 2.41 0.23 10.3
C4 12 9.5 6.27 1.5
C5 13 0.16 0.25 0.66
표 6 의 결과는 실시예 2 내지 11 의 조성물 2 내지 11 의 연마 거동이 가장 놀라운 방식으로 조정될 수 있음을 나타냈다.
단독으로 사용된 Sedipur™ CL 120 은 이산화규소 MRR 보다 질화규소 및 폴리실리콘 MRR 을 유의하게 상승시켰다. 따라서, 산화물 억제제 및 질화물 및 폴리실리콘 강화제로서 작용하였다 (비교 실험예 C4 및 C5 참조).
PEG10K 과 조합하여 Sedipur™ CL 120 을 함유하는 조성물 2 내지 11 은 Sedipur™ CL 120 의 농도에 좌우되는 복합적인 연마 거동을 나타냈다.
Sedipur™ CL 120 의 매우 낮은 농도에서 산화물-대-폴리실리콘 선택성이 유의하게 증가한 반면, 산화물-대-질화물 선택성은 10 미만의 중간 범위를 유지하였다. 이와 같은 Sedipur™ CL 120 이 산화물 억제제 및 질화물 및 폴리실리콘 강화제로서 작용한다는 사실을 고려하면, 상기 결과는 놀라웠다 (실시예 2 내지 4 참조).
Sedipur™ CL 120 의 농도를 증가시켰더니, 산화물-대-폴리실리콘 선택성이 대폭 감소하였다. 그러나, 여전히 이산화규소는 폴리실리콘 보다 더욱 바람직하게 연마되었다, 즉 선택성은 여전히 1 초과였다. 이와 대조적으로, 산화물-대-질화물 선택성은 1 미만으로 작아졌다, 즉, 질화규소는 이산화규소 보다 더욱 바람직하게 연마되었다 (실시예 5 내지 11 참조). 상기 효과는 매우 확연했다. 놀랍게도, 질화물-대-폴리실리콘 선택성은 높게, 즉 10 초과로 유지하였다 (실시예 2 내지 11 참조).
결과적으로, 조성물 2 내지 11 의 연마 거동은 특정 CMP 공정과 관련된 문제를 해결하기 위한 용이한 방식으로 가장 유리하게 조정될 수 있었다.

Claims (18)

  1. 하기 단계를 포함하는, 산화규소 유전체 필름 및 폴리실리콘 필름을 함유하는 기판의 화학적 기계적 연마 방법:
    (1) 기판을, 하기를 함유하는 수성 연마 조성물과 1 회 이상 접촉시키는
    단계;
    (A) 3 내지 9 의 범위의 pH 를 갖는 수성 매질에서 분산될 때
    양전하를 띄는 1 종 이상의 연마제 입자;
    (B) 선형 알킬렌 옥시드 단일중합체, 분지형 알킬렌 옥시드
    단일중합체, 선형 알킬렌 옥시드 공중합체 및 분지형 알킬렌
    옥시드 공중합체로 이루어진 군으로부터 선택되는 하나 이상의
    수용성 또는 수분산성 중합체; 및
    (C) 하기로 이루어진 군으로부터 선택되는 하나 이상의 수용성 또는
    수분산성 중합체:
    (c1) 선형 지방족 폴리(N-비닐아미드) 단일중합체, 분지형 지방족
    폴리(N-비닐아미드) 단일중합체, 선형 시클로지방족
    폴리(N-비닐아미드) 단일중합체, 분지형 시클로지방족
    폴리(N-비닐아미드) 단일중합체, 선형 지방족
    폴리(N-비닐아미드) 공중합체, 분지형 지방족
    폴리(N-비닐아미드) 공중합체, 선형 시클로지방족
    폴리(N-비닐아미드) 공중합체 및 분지형 시클로지방족
    폴리(N-비닐아미드) 공중합체로 이루어진 군으로부터 선택되는
    하나 이상;
    (c2) 화학식 Ⅰ 또는 Ⅱ의 아크릴아미드 단량체의 단일중합체:
    H2C=C(-R)-C(=O)-N(-R1)(-R2) (I),
    H2C=C(-R)-C(=O)-R3 (II),
    [식 중, 상기 변수는 하기 의미를 가짐:
    R 은 수소 원자, 불소 원자, 염소 원자, 니트릴기, 또는 치환
    또는 비치환된 탄소수 1 내지 6 의 지방족 부분, 치환 또는
    비치환된 탄소수 3 내지 10 의 시클로지방족 부분 및 치환
    또는 비치환된 탄소수 6 내지 10 의 방향족 부분으로 이루어진
    군으로부터 선택되는 하나 이상의 부분을 포함하는 또는 상기
    부분으로 이루어진 잔기이고;
    R1 및 R2 는 서로 동일 또는 상이하고, 서로 독립적으로 수소
    원자, 또는 치환 또는 비치환된 탄소수 1 내지 20 의 지방족
    부분, 치환 또는 비치환된 탄소수 3 내지 10 의 시클로지방족
    부분 및 치환 또는 비치환된 탄소수 6 내지 10 의 방향족
    부분으로 이루어진 군으로부터 선택되는 하나 이상의 부분을
    포함하거나 상기 부분으로 이루어진 잔기이며;
    R3 은 하나 이상의 질소 원자를 함유하는 치환 또는 비치환,
    포화된 헤테로시클릭 고리이고, 상기 헤테로시클릭 고리는
    탄소 질소 공유결합을 통해 카르보닐 부분의 탄소 원자에
    연결됨];
    상기 단일중합체 및 공중합체의 중량 평균 분자량은 100,000 Dalton
    미만임;
    (c3) 중량 평균 분자량이 100,000 Dalton 미만인 양이온성 중합체
    응집제; 및
    (c4) 이들의 혼합물;
    (2) 산화규소 유전체 필름을 제거하고 폴리실리콘 필름을 노출시키기에 충분 한 시간 동안 및 온도에서 기판을 연마하는 단계; 및
    (3) 연마된 기판을 수성 연마 조성물로부터 분리시키는 단계.
  2. 제 1 항에 있어서, 산화물-대-폴리실리콘 선택성이 > 50 인 것을 특징으로 하는 방법.
  3. 제 1 항 또는 제 2 항에 있어서, 연마제 입자 (A) 가 산화세륨을 함유하거나 산화세륨으로 이루어진 것을 특징으로 하는 방법.
  4. 제 1 항 또는 제 2 항에 있어서, 중합체 (B) 가 에틸렌옥시드 단일중합체, 프로필렌옥시드 단일중합체, 에틸렌옥시드 공중합체 및 프로필렌옥시드 공중합체로 이루어진 군으로부터 선택되는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 폴리에틸렌 글리콜 PEG 를 중합체 (B) 로서 함유하는 것을 특징으로 하는 방법.
  6. 제 1 항 또는 제 2 항에 있어서, 성분 (C) 가 (c1) 을 포함하고, 성분 (c1) 이 지방족 N-비닐아미드 단량체의 단일중합체, 시클로지방족 N-비닐아미드 단량체의 단일중합체, 지방족 N-비닐아미드 단량체의 공중합체 및 시클로지방족 N-비닐아미드 단량체의 공중합체로 이루어진 군으로부터 선택되는 것을 특징으로 하는 방법으로서,
    상기 지방족 N-비닐아미드 단량체 또는 시클로지방족 N-비닐아미드 단량체가 N-비닐아세트아미드, N-비닐피롤리돈, N-비닐발레로락탐, N-비닐카프로락탐, N-비닐숙신이미드 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  7. 제 1 항 또는 제 2 항에 있어서, 성분 (C) 가 (c2) 를 포함하고, 화학식 I 의 잔기 R 이 수소 원자, 염소 원자, 니트릴기 또는 메틸기를 나타내는 것을 특징으로 하는 방법.
  8. 제 1 항 또는 제 2 항에 있어서, 성분 (C) 가 (c2) 를 포함하고, 잔기 R1 및 R2 가 서로 동일 또는 상이하고, 수소 원자, 메틸, 에틸, 프로필, 이소프로필, 시클로펜틸 및 시클로헥실 기 및 이들의 혼합물로 이루어진 군으로부터 서로 독립적으로 선택되는 것을 특징으로 하는 방법.
  9. 제 1 항 또는 제 2 항에 있어서, 성분 (C) 가 (c2) 를 포함하고, 화학식 II 의 잔기 R3 이 모폴리노, 티오모폴리노, 피롤리디노 또는 피페리디노 기를 나타내는 것을 특징으로 하는 방법.
  10. 제 1 항 또는 제 2 항에 있어서, 성분 (C) 가 (c3) 를 포함하고, 5000 내지 20,000 Dalton 의 중량 평균 분자량을 갖는 폴리아크릴아미드가 양이온성 중합체 응집제 (c3) 로서 사용되는 것을 특징으로 하는 방법.
  11. 제 1 항 또는 제 2 항에 있어서, 성분 (C) 가 (c3) 를 포함하고, 양이온성 중합체 응집제 (c3) 가 양이온성으로 변성된 폴리아크릴아미드, 폴리아민, 폴리에틸렌이민, 폴리(디알릴-N,N-디알킬암모늄 할라이드) 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것을 특징으로 하는 방법.
  12. 제 1 항 또는 제 2 항에 있어서, 수성 연마 조성물이 성분 (A), (B) 및 (C) 와 상이한 관능성 성분 (D) 를 하나 이상 함유하는 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서, 관능성 성분 (D) 가, 입자 (A) 와 상이한 유기, 무기 및 혼성 유기-무기 연마제 입자, 2 개 이상의 히드록시기를 갖는 다가 알코올 및 이의 올리고머 및 중합체, 히드록시카르복실산 및 이의 에스테르 및 락톤, 산화제, 부동화제, 전하 가역제, 착화제, 마찰제, 안정화제, 유동화제, 계면활성제, 금속 양이온 및 유기 용매로 이루어진 군으로부터 선택되는 것을 특징으로 하는 방법.
  14. 제 1 항 또는 제 2 항에 있어서, 수성 연마 조성물이 성분 (A), (B) 및 (C) 와 상이한 하나 이상의 pH-조절제 또는 완충제 (E) 를 함유하는 것을 특징으로 하는 방법.
  15. 제 1 항 또는 제 2 항에 있어서, 수성 연마 조성물의 pH 값이 3 내지 7 인 것을 특징으로 하는 방법.
  16. 제 1 항 또는 제 2 항에 있어서, 전기, 기계 및 광학 소자용 기판이 화학적 기계적으로 연마되는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서, 전기 소자가 집적 회로 소자, 액정 패널, 유기 전계발광 패널, 인쇄 회로 기판, 마이크로 머신, DNA 칩, 마이크로 플랜트 및 자기 헤드이고; 기계 소자가 초정밀 기계 소자이고; 광학 소자가 광-마스크, 렌즈 및 프리즘과 같은 광학 유리, 인듐 주석 옥시드 (ITO) 와 같은 무기 전기-전도성 필름, 광학 집적 회로, 광학 스위칭 소자, 광도파관, 광섬유의 단면 및 신틸레이터와 같은 광학 모노크리스털, 고체 레이져 모노크리스털, 청색 레이져 LED 용 사파이어 기판, 반도체 모노크리스털, 및 자기 디스크용 유리 기판인 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서, 집적 회로 소자가 50 nm 미만의 치수를 갖는 구조를 갖는, 대규모 집적 회로 또는 초대규모 집적 회로를 포함하는 것을 특징으로 하는 방법.
KR1020137008875A 2010-09-08 2011-09-06 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법 KR101894712B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38072410P 2010-09-08 2010-09-08
US61/380,724 2010-09-08
PCT/IB2011/053893 WO2012032467A1 (en) 2010-09-08 2011-09-06 Process for chemically mechanically polishing substrates containing silicon oxide dielectric films and polysilicon and/or silicon nitride films

Publications (2)

Publication Number Publication Date
KR20130139906A KR20130139906A (ko) 2013-12-23
KR101894712B1 true KR101894712B1 (ko) 2018-09-04

Family

ID=45810175

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137008875A KR101894712B1 (ko) 2010-09-08 2011-09-06 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법

Country Status (5)

Country Link
US (1) US20130171824A1 (ko)
EP (1) EP2613910A4 (ko)
KR (1) KR101894712B1 (ko)
TW (1) TWI538970B (ko)
WO (1) WO2012032467A1 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130161285A1 (en) * 2010-09-08 2013-06-27 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrate materials for electrical, mechanical and optical devices
MY158489A (en) 2010-10-07 2016-10-14 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
JP5940270B2 (ja) * 2010-12-09 2016-06-29 花王株式会社 研磨液組成物
JP6096670B2 (ja) 2010-12-10 2017-03-15 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 酸化ケイ素誘電体膜およびポリシリコン膜を含有する基板を化学的機械的に研磨するための水性研磨組成物および方法
JP6013504B2 (ja) 2011-12-21 2016-10-25 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se Cmp組成物、半導体装置の製造方法及びcmp組成物の使用方法
US20150104940A1 (en) 2013-10-11 2015-04-16 Air Products And Chemicals Inc. Barrier chemical mechanical planarization composition and method thereof
US9303190B2 (en) * 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9551075B2 (en) 2014-08-04 2017-01-24 Sinmat, Inc. Chemical mechanical polishing of alumina
SG11201705419RA (en) 2015-01-12 2017-07-28 Versum Mat Us Llc Composite abrasive particles for chemical mechanical planarization composition and method of use thereof
US10946494B2 (en) 2015-03-10 2021-03-16 Showa Denko Materials Co., Ltd. Polishing agent, stock solution for polishing agent, and polishing method
EP4345142A2 (en) * 2015-07-13 2024-04-03 CMC Materials LLC Methods and compositions for processing dielectric substrate
KR102434586B1 (ko) * 2015-08-06 2022-08-23 주식회사 케이씨텍 다기능성 연마 슬러리 조성물
US9597768B1 (en) * 2015-09-09 2017-03-21 Cabot Microelectronics Corporation Selective nitride slurries with improved stability and improved polishing characteristics
KR101628878B1 (ko) * 2015-09-25 2016-06-16 영창케미칼 주식회사 Cmp용 슬러리 조성물 및 이를 이용한 연마방법
US10253216B2 (en) 2016-07-01 2019-04-09 Versum Materials Us, Llc Additives for barrier chemical mechanical planarization
JP6797665B2 (ja) * 2016-12-20 2020-12-09 花王株式会社 研磨液組成物
TWI663231B (zh) * 2017-04-17 2019-06-21 Cabot Microelectronics Corporation 自停止性拋光組合物及用於大塊氧化物平坦化之方法
KR102598673B1 (ko) * 2018-01-10 2023-11-06 주식회사 디비하이텍 소자 분리막 구조물의 제조방법
US11203703B2 (en) * 2018-03-20 2021-12-21 Samsung Display Co., Ltd. Polishing slurry and method of polishing substrate by using the polishing slurry
US20200095502A1 (en) * 2018-09-26 2020-03-26 Versum Materials Us, Llc High Oxide VS Nitride Selectivity, Low And Uniform Oxide Trench Dishing In Shallow Trench Isolation(STI) Chemical Mechanical Planarization Polishing(CMP)
US11434391B2 (en) * 2018-09-28 2022-09-06 Fujimi Incorporated Polishing composition, polishing method, and method of producing substrate
KR20200076991A (ko) * 2018-12-20 2020-06-30 주식회사 케이씨텍 Sti 공정용 연마 슬러리 조성물
CN113604154B (zh) * 2021-07-09 2022-07-12 万华化学集团电子材料有限公司 一种钨插塞化学机械抛光液、制备方法及其应用
CN114350366B (zh) * 2021-12-09 2023-04-18 湖北兴福电子材料股份有限公司 一种氮化硅与p型多晶硅等速蚀刻液
US20230242790A1 (en) * 2022-02-03 2023-08-03 Cmc Materials, Inc. Ceria-based slurry compositions for selective and nonselective cmp of silicon oxide, silicon nitride, and polysilicon

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007063441A (ja) * 2005-08-31 2007-03-15 Fujimi Inc 研磨用組成物

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355563B1 (en) * 2001-03-05 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Versatile copper-wiring layout design with low-k dielectric integration
US20050175811A1 (en) * 2004-02-06 2005-08-11 Daikin Industries, Ltd. Treatment comprising water-and oil-repellent agent
US20080254717A1 (en) * 2004-09-28 2008-10-16 Hitachi Chemical Co., Ltd. Cmp Polishing Slurry and Method of Polishing Substrate
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
WO2007055278A1 (ja) * 2005-11-11 2007-05-18 Hitachi Chemical Co., Ltd. 酸化ケイ素用研磨剤、添加液および研磨方法
KR100880107B1 (ko) * 2006-01-25 2009-01-21 주식회사 엘지화학 Cmp 슬러리 및 이를 이용한 반도체 웨이퍼의 연마 방법
KR101245502B1 (ko) * 2006-01-31 2013-03-25 히타치가세이가부시끼가이샤 절연막 연마용 cmp 연마제, 연마 방법, 상기 연마 방법으로 연마된 반도체 전자 부품
US20070264827A1 (en) * 2006-05-09 2007-11-15 Promos Technologies Pte. Ltd. Method for achieving uniform chemical mechanical polishing in integrated circuit manufacturing
JP5207002B2 (ja) * 2008-02-27 2013-06-12 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、化学機械研磨用水系分散体の再生方法
JP5299752B2 (ja) * 2008-04-28 2013-09-25 国立大学法人東北大学 半導体装置
US8491808B2 (en) * 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007063441A (ja) * 2005-08-31 2007-03-15 Fujimi Inc 研磨用組成物

Also Published As

Publication number Publication date
TW201229163A (en) 2012-07-16
KR20130139906A (ko) 2013-12-23
TWI538970B (zh) 2016-06-21
EP2613910A1 (en) 2013-07-17
WO2012032467A1 (en) 2012-03-15
EP2613910A4 (en) 2017-12-13
US20130171824A1 (en) 2013-07-04

Similar Documents

Publication Publication Date Title
KR101894712B1 (ko) 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법
KR101906135B1 (ko) 수성 연마 조성물 및 산화규소 유전체 및 폴리실리콘 필름을 함유하는 기판의 화학적 기계적 연마 방법
KR101907863B1 (ko) 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법
KR101908280B1 (ko) 수성 연마 조성물 및 전기, 기계 및 광학 소자용 기판의 화학적 기계적 연마 방법
US7838482B2 (en) CMP polishing compound and polishing method
JP6096670B2 (ja) 酸化ケイ素誘電体膜およびポリシリコン膜を含有する基板を化学的機械的に研磨するための水性研磨組成物および方法
EP2017318B1 (en) Chemical mechanical polishing aqueous dispersion preparation set, method of preparing chemical mechanical polishing aqueous dispersion, chemical mechanical polishing aqueous dispersion, and chemical mechanical polishing method
KR101195289B1 (ko) Cmp용 코팅된 금속 산화물 입자
RU2608890C2 (ru) Водные полирующие композиции, содержащие n-замещенные диазений диоксиды и/или соли n -замещенных n'-гидрокси-диазений оксидов
KR102192003B1 (ko) 질화규소 물질의 선택적 연마를 위한 조성물 및 방법
TW202231805A (zh) 用於高拓樸選擇性的自停止性拋光組合物與方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant