KR102240249B1 - 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법 - Google Patents

화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법 Download PDF

Info

Publication number
KR102240249B1
KR102240249B1 KR1020177022424A KR20177022424A KR102240249B1 KR 102240249 B1 KR102240249 B1 KR 102240249B1 KR 1020177022424 A KR1020177022424 A KR 1020177022424A KR 20177022424 A KR20177022424 A KR 20177022424A KR 102240249 B1 KR102240249 B1 KR 102240249B1
Authority
KR
South Korea
Prior art keywords
composite particles
particles
salts
polishing
ceria
Prior art date
Application number
KR1020177022424A
Other languages
English (en)
Other versions
KR20170105556A (ko
Inventor
홍준 조우
조-안 테레사 슈바르츠
말콤 그리프
시아오보 쉬
크리쉬나 피. 무렐라
스티븐 찰스 윈체스터
존 에드워드 퀸시 휴즈
마크 레오나드 오네일
앤드류 제이. 도드
드니아네쉬 찬드라칸트 탐볼리
레이날도 마리오 마차도
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20170105556A publication Critical patent/KR20170105556A/ko
Application granted granted Critical
Publication of KR102240249B1 publication Critical patent/KR102240249B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • C09K3/1445Composite particles, e.g. coated particles the coating consisting exclusively of metals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Abstract

세리아 코팅된 실리카 입자와 같은 복합 입자를 포함하는 화학적 기계적 평탄화(CMP) 폴리싱 조성물은 옥사이드 막의 폴리싱에 대한 낮은 디싱, 낮은 결함, 및 높은 제거율을 제공한다. 화학적 기계적 평탄화(CMP) 폴리싱 조성물은 연질 폴리싱 패드를 이용하여 우수한 성능을 나타낸다.

Description

화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법
관련 출원에 대한 상호참조
본 출원은 2015년 1월 12일에 출원된 미국가출원 제62/102,319호, 및 2015년 9월 21일에 출원된 미국가출원 제62/221,379호를 우선권으로 주장하며, 이러한 문헌들의 전체 내용들은 모든 허용 가능한 목적을 위하여 본 출원에 참조로 포함된다.
본 발명은 반도체 소자의 생산에서 사용되는 화학적 기계적 평탄화("CMP"(chemical mechanical planarization)) 폴리싱 조성물(polishing composition)(CMP 슬러리, CMP 조성물 또는 CMP 포뮬레이션(formulation)은 서로 교환하여 사용됨), 및 화학적 기계적 평탄화를 수행하기 위한 폴리싱 방법에 관한 것이다. 특히, 본 발명은 옥사이드 물질들로 이루어진 패턴화된 반도체 웨이퍼를 폴리싱하기 위해 적합하게 사용되는 복합 연마 입자를 포함하는 폴리싱 조성물에 관한 것이다.
반도체 산업에서 유전체 물질로서 실리콘 옥사이드가 널리 사용되고 있다. 얕은 트렌치 절연(STI; shallow trench isolation), 층간 유전체(ILD; inter-layer dielectric) CMP 및 게이트 폴리 CMP(gate poly CMP), 등과 같은, 집적 회로(IC; integrated circuit) 제작 공정에서 수 개의 CMP 단계들이 존재한다. 통상적인 옥사이드 CMP 슬러리는 다른 화학물질들과 함께, 또는 이의 없이, 연마제를 포함한다. 다른 화학물질들은 슬러리 안정성을 개선시키기 위한 분산제, 제거율을 증가시키기 위한 부스터(booster), 또는 제거율을 감소시키거나 다른 막, 예를 들어, STI 적용을 위한 SiN 상에서 정지시키기 위한 억제제일 수 있다.
실리카, 알루미나, 지르코니아, 티타니아, 등과 같은, CMP 슬러리 중에 사용되는 일반적인 연마제들 중에서, 세리아는 실리카 옥사이드에 대한 이의 높은 반응성을 위해 널리 알려져 있고, 실리카에 대한 세리아의 높은 반응성으로 인하여 가장 높은 옥사이드 제거율(RR; removal rate)을 위해 STI CMP 슬러리에서 널리 사용된다.
문헌[Cook et al. (Lee M. Cook, Journal of Non-Crystalline Solids 120 (1990) 152-171)]에는 이러한 세리아의 특별한 성질을 설명하기 위해 '화학적 투스(chemical tooth)' 메카니즘이 제시되어 있다. 이러한 메카니즘에 따르면, 세리아 입자가 실리콘 옥사이드 막 상으로 가압될 때, 세리아는 실리카 결합을 파괴시키고, Ce-O-Si 구조를 형성시키고, 이에 따라, 표면으로부터 실리카를 분리시킨다.
CMP 산업에서 사용되는 대부분의 세리아는 소성-습식 밀링 공정(calcinations-wet milling process)으로부터 제조된다. 얻어진 세리아는 날까로운 에지(sharp edge) 및 매우 넓은 크기 분포를 갖는다. 이는 또한, 매우 큰 "큰 입자 수"(LPC; large particle count)를 갖는다. 이러한 것들 모두는 결함 및 낮은 수율, 특히 웨이퍼를 폴리싱한 후 스크래치의 원인이 되는 것으로 여겨진다. 이는 세리아 기반 슬러리로의 결함이 문제가 되는 IC 팹(fab)으로부터 확인된다.
소성된 세리아(calcined ceria) 이외에, 몇몇 입자 회사들은 콜로이드성 세리아를 갖는 상품들을 가지고 있다. 콜로이드성 세리아는 수성 시스템 중의 세리아 전구체로부터 제조된다. 소성된 세리아(하향식 공정(top-down process))와 비교하여, 콜로이드성 세리아는 상향식 공정(bottom up process)이다. 콜로이드성 세리아는 훨씬 더 좁은 크기 분포 및 보다 양호한 제어된 형상을 갖는다. 그러나, 수성 시스템에서의 결정 성장 습성(crystal growth habit)으로 인하여, 콜로이드성 세리아는 여전히 날까로운 에지를 갖는다. 콜로이드성 세리아의 LPC는 소성된 세리아의 LPC와 유사하다.
반도체 기술이 보다 작은 피쳐 크기로 발전함에 따라, 폴리시후 결함의 허용 가능한 크기 및 수에 대한 사양이 또한 더욱 요구되고 있다. 결함은 통상적으로, 스크래치, 슬러리 잔부 및 잔류 막 잔부를 포함한다. 폴리싱 패드의 성질은 집적 회로 기판의 화학적 기계적 폴리싱(CMP) 동안 폴리싱 결과에 결정적으로 영향을 미친다. 성능을 규정하는 CMP 패드의 중요한 파라미터들 중 하나는 패드 경도 또는 탄성이다. 보다 연질의 패드가 표면 상에 감소된 스크래치를 야기시키는 것으로 알려져 있다[예를 들어, Hsein et al. Microelectronic Engineering, vol 92, 2012, pp19-23]. 이에 따라, 얕은 트렌치 절연과 같은 중요한 CMP 공정들에서 스크래치 결함을 감소시키기 위해 보다 연질의 패드를 사용하는 것이 매우 유익할 것이다. 그러나, 보다 연질의 패드는 보다 낮은 제거율을 야기하는 것으로 알려져 있다[예를 들어, Castillo-Mejia et al., Journal of Electrochemical Society, Vol. 150 (2), 2003, pp G76-G82]. 또한, 보다 연질의 패드가 패턴화된 웨이퍼의 폴리시후 토포그래피(post-polish topography)에 대해 요망되지 않는 영향을 미치는 것으로 알려져 있다[예를 들어, L. Wu, Journal of Electrochemical Society, Vol. 153 (7), 2006, pp. G669-G676]. 연질 패드의 이러한 한계로 인하여, STI CMP 공정은 IC1000 또는 IC1010과 같은 보다 경질의 CMP 패드 상에서 수행된다. 연마 입자 로딩을 증가시킴으로써 연질 패드 상에서의 보다 낮은 제거율에 대한 보상은 높은 결함성(defectivity)을 초래할 것이다. 결과적으로, STI와 같은 중요한 적용을 위하여, 연질 패드 상에서의 높은 제거율, 낮은 결함성 및 낮은 토포그래피의 조합을 달성하는 것이 매우 요구되고 있다.
이에 따라, 보다 높은 제거율(특히, 연질 폴리싱 패드 상에서); 낮은 디싱 및 낮은 결함을 제공할 수 있는 CMP 조성물, 방법, 및 시스템이 상당히 요구되고 있다.
본원에는 이러한 요구를 충족시키는 옥사이드 물질 CMP 폴리싱 조성물, 방법, 및 시스템이 기술된다.
일 구체예에서, 본원에는,
나노입자에 의해 커버링된 표면을 갖는 코어 입자를 포함하는 복합 입자;
유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들, 유기 설폰산 및 이의 염들, 유기 포스폰산 및 이의 염들, 폴리머 카복실산 및 이의 염들, 폴리머 설폰산 및 이의 염들, 폴리머 포스폰산 및 이의 염들, 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물들로부터 선택된 첨가제;
소듐 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드(예를 들어, 테트라메틸암모늄 하이드록사이드), 및 이들의 조합들로 이루어진 군으로부터 선택된 pH-조절제; 및
전부의 물을 포함하는 폴리싱 조성물로서,
분해력(disintegrative force) 하에서 복합 입자의 크기 분포의 변화가 10% 미만이며;
코어 입자가 실리카, 알루미나, 티타니아, 지르코니아, 폴리머 입자, 및 이들의 조합들로 이루어진 군으로부터 선택되며; 나노입자가 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄, 스트론튬 나노입자, 및 이들의 조합들로 이루어진 군의 화합물들로부터 선택되며;
폴리싱 조성물이 약 2 내지 약 12; 바람직하게, 약 4 내지 약 10; 더욱 바람직하게, 약 4.5 내지 약 7.5의 pH를 갖는 폴리싱 조성물이 기술된다.
추가 구체예에서, 본원에는 적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판의 화학적 기계적 평탄화를 위한 폴리싱 방법으로서,
a) 적어도 하나의 옥사이드 층을 폴리싱 패드와 접촉시키는 단계;
b) 표면에 폴리싱 조성물을 전달하는 단계로서, 폴리싱 조성물이
나노입자에 의해 커버링된 표면을 갖는 코어 입자를 포함하는 복합 입자;
유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들, 유기 설폰산 및 이의 염들, 유기 포스폰산 및 이의 염들, 폴리머 카복실산 및 이의 염들, 폴리머 설폰산 및 이의 염들, 폴리머 포스폰산 및 이의 염들, 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물들로부터 선택된 첨가제;
소듐 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드(예를 들어, 테트라메틸암모늄 하이드록사이드), 및 이들의 조합들로 이루어진 군으로부터 선택된 pH-조절제; 및
잔부의 물을 포함하며,
분해력 하에서 복합 입자의 크기 분포의 변화가 10% 미만이며,
코어 입자가 실리카, 알루미나, 티타니아, 지르코니아, 폴리머 입자, 및 이들의 조합들로 이루어진 군으로부터 선택되며, 나노입자가 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄, 스트론튬 나노입자, 및 이들의 조합들로 이루어진 군의 화합물들로부터 선택되며,
폴리싱 조성물이 2 내지 약 12, 바람직하게, 약 4 내지 약 10, 더욱 바람직하게, 약 4.5 내지 7.5의 pH를 갖는 단계; 및
c) 적어도 하나의 옥사이드 층을 폴리싱 조성물로 폴리싱하는 단계를 포함하는 폴리싱 방법이 기술된다.
또 다른 구체예에서, 본원에는
적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판;
폴리싱 패드; 및
나노입자에 의해 커버링된 표면을 갖는 코어 입자를 포함하는 복합 입자; 유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들, 유기 설폰산 및 이의 염들, 유기 포스폰산 및 이의 염들, 폴리머 카복실산 및 이의 염들, 폴리머 설폰산 및 이의 염들, 폴리머 포스폰산 및 이의 염들, 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물들로부터 선택된 첨가제; 소듐 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드 (예를 들어, 테트라메틸암모늄 하이드록사이드), 및 이들의 조합들로 이루어진 군으로부터 선택된 pH-조절제; 및 잔부의 물을 포함하는 폴리싱 조성물로서, 분해력 하에서 복합 입자의 크기 분포의 변화가 10% 미만이며, 코어 입자가 실리카, 알루미나, 티타니아, 지르코니아, 폴리머 입자, 및 이들의 조합들로 이루어진 군으로부터 선택되며, 나노입자가 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄, 스트론튬 나노입자, 및 이들의 조합들로 이루어진 군의 화합물들로부터 선택되며, 폴리싱 조성물이 약 2 내지 12, 바람직하게, 약 4 내지 10, 더욱 바람직하게, 약 4.5 내지 7.5의 pH를 갖는 폴리싱 조성물을 포함하는 화학적 기계적 평탄화를 위한 시스템으로서,
적어도 하나의 옥사이드 층이 폴리싱 패드 및 폴리싱 조성물과 접촉되는 시스템이 기술된다.
또 다른 구체예에서, 본원에는
적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판;
연질 폴리싱 패드; 및
나노입자에 의해 커버링된 표면을 갖는 코어 입자를 포함하는 복합 입자; 유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들, 유기 설폰산 및 이의 염들, 유기 포스폰산 및 이의 염들, 폴리머 카복실산 및 이의 염들, 폴리머 설폰산 및 이의 염들, 폴리머 포스폰산 및 이의 염들, 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물들로부터 선택된 첨가제; 소듐 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드(예를 들어, 테트라메틸암모늄 하이드록사이드), 및 이들의 조합들로 이루어진 군으로부터 선택된 pH-조절제; 및 잔부의 물을 포함하는 폴리싱 조성물로서, 코어 입자가 실리카, 알루미나, 티타니아, 지르코니아, 폴리머 입자, 및 이들의 조합들로 이루어진 군으로부터 선택되며, 나노입자가 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄, 스트론튬 나노입자, 및 이들의 조합들로 이루어진 군의 화합물들로부터 선택되며, 폴리싱 조성물이 약 2 내지 12, 바람직하게, 약 4 내지 10, 더욱 바람직하게, 약 4.5 내지 7.5의 pH를 갖는 폴리싱 조성물을 포함하는, 화학적 기계적 평탄화를 위한 시스템으로서,
적어도 하나의 옥사이드 층이 폴리싱 패드 및 폴리싱 조성물과 접촉되는 시스템이 기술된다.
폴리싱 조성물은 계면활성제 및/또는 생물학적 성장 억제제를 추가로 포함할 수 있다.
계면활성제는 a). 비이온성 표면 습윤제; b). 음이온성 표면 습윤제; c). 양이온성 표면 습윤제; d). 양쪽성 표면 습윤제; 및 이들의 혼합물들로 이루어진 군으로부터 선택될 수 있다.
생물학적 성장 억제제는 테트라메틸암모늄 클로라이드, 테트라에틸암모늄 클로라이드, 테트라프로필암모늄 클로라이드, 알킬벤질디메틸암모늄 클로라이드 및 알킬벤질디메틸암모늄 하이드록사이드(여기서, 알킬 사슬은 1개 내지 약 20개의 탄소 원자의 범위임), 소듐 클로라이트, 소듐 하이포클로라이트, 및 이들의 조합들을 포함하지만, 이로 제한되지 않는다.
도 1은 상이한 입자를 갖는 슬러리로부터의 폴리싱 결과를 도시한 것이다.
도 2는 제거율에 대한 폴리싱 결과 대 (세리아 코팅된 실리카) 고형물%을 도시한 것이다.
도 3은 폴리싱 결과(IC1010 패드를 사용한 제거율(RR)에 대한 폴리아크릴산(염)의 효과를 도시한 것이다.
도 4는 폴리싱 결과(IC1010 패드를 사용한 RR)에 대한 pH의 효과를 도시한 것이다.
도 5는 연질 패드(Fujibo 패드)에 대한 폴리싱 성능 비교를 도시한 것이다.
도 6은 경질 패드 및 연질 패드 둘 모두에 대한 상이한 연마 입자를 갖는 슬러리로부터의 TEOS 제거율을 도시한 것이다.
도 7은 세리아 코팅된 실리카 복합 입자를 함유한 슬러리를 사용한 상이한 패드에 대한 TEOS 제거율을 도시한 것이다.
도 8은 경질 패드 및 연질 패드 둘 모두에 대한, 상이한 연마 입자를 갖는 슬러리로의 폴리싱 후 TEOS 웨이퍼 상의 결함의 수를 도시한 것이다.
도 9a 및 도 9b는 IC1010 패드에 대한 상이한 연마 입자(포뮬레이션 A 및 포뮬레이션 C, 각각)를 갖는 슬러리로의 폴리싱 후 고종횡비 공정(HARP; high aspect ratio process) 막 상에서의 결함의 수를 도시한 것이다.
도 10은 경질 패드 및 연질 패드 둘 모두에 대한 세리아 코팅된 실리카 복합 입자를 함유한 슬러리를 사용한 패턴화된 웨이퍼 상에서의 성능을 도시한 것이다.
본 발명에 기술되는 CMP 조성물(또는 CMP 슬러리, 또는 CMP 포뮬레이션), 방법, 및 시스템은 보다 높은 제거율(특히, 연질 폴리싱 패드 상에서); 낮은 디싱 및 낮은 결함을 제공할 수 있다.
복합 연마 입자들 각각은 코어 입자 및 코어 입자의 표면을 커버링하는 다수의 나노입자들을 갖는다. 코어 입자는 실리카, 알루미나, 티타니아, 지르코니아, 및 폴리머 입자로 이루어진 군으로부터 선택된다. 나노입자는 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄 및 스트론튬 나노입자의 옥사이드들로 이루어진 군으로부터 선택된다.
코어 입자의 표면을 커버링하는 나노입자의 양은 바람직하게, 고형물 중량비의 측면에서 하기 범위 내에 속한다. 코어 입자의 고형물 중량(a)에 대한 나노입자의 고형물 중량(b)은 (b)/(a) = 0.01 내지 1.5, 바람직하게, 0.01 내지 1.2이다.
복합 입자의 예들 중 하나는 코어 입자로서 실리카 및 나노입자로서 세리아를 갖는 것이며, 각 실리카 코어 입자는 이의 쉘을 커버링하는 세리아 나노입자를 갖는다. 각 실리카 입자의 표면은 세리아 나노입자에 의해 커버링된다. 실리카 베이스 입자들은 비정질이며, 세리아 나노입자는 단결정질이다.
코어 입자를 커버링하는 세리아 나노입자의 직경은 바람직하게, 10 nm 초과, 바람직하게, 13 nm 초과이다. 세리아 입자 직경이 클수록, 제거율이 더 높아질 수 있을 것이다.
코어 입자 크기는 10 nm 내지 500 nm, 바람직하게, 20 nm 내지 200 nm, 가장 바람직하게, 50 nm 내지 150 nm의 범위일 수 있다.
본 발명의 다른 양태는 폴리싱력(polishing force) 하에서 분해하지 않는 세리아 코팅된 실리카 입자의 용도를 포함한다. 입자들이 폴리싱력(즉, 분해력)의 작용 하에서 파괴되지 않고 본래 입자 크기의 특징을 유지하는 경우에, 제거율이 높게 유지될 것이라는 가설을 세웠다. 다른 한편으로, 입자들이 폴리싱력 하에서 분해하는 경우에, 제거율은 효과적으로 보다 작은 연마 입자 크기로 인하여 감소할 것이다. 입자들의 파괴(breaking)는 또한, 스크래칭 결함(scratching defect)에 대한 요망되지 않는 효과를 가질 수 있는 불규칙한 형상을 갖는 입자들을 수득할 수 있다. 분해력 하에서의 입자 안정성은 또한, 포뮬레이션을 30분 동안 초음파 처리로 처리하고 크기 분포의 변화를 측정함으로써 결정될 수 있다. 초음파 처리를 위한 바람직한 조건은 100W 출력에서 42KHZ 주파수와 함께 베쓰 중에서의 30분 함침이다. 입자 크기 분포는 디스크 원심분리(DC; Disc Centrifuge) 방법 또는 동적 광 산란(DLS; Dynamic Light Scattering)과 같은 임의 적합한 기술을 이용함으로써 측정될 수 있다. 크기 분포의 변화는 중간 입자 크기 또는 D50(50% 입자는 이러한 크기 미만임) 또는 D99(99% 입자는 이러한 크기 미만임) 또는 임의 유사한 파라미터들의 변화의 측면에서 특징분석될 수 있다. 바람직하게, 예를 들어, DC 및 중간 입자 크기, D50, D75 및/또는 D99를 이용함으로써, 초음파 처리 후 세리아 코팅된 실리카 입자의 입자 크기 분포의 변화는, 10% 미만, 더욱 바람직하게, 5% 미만, 또는 가장 바람직하게, 2% 미만이다. CMP 슬러리 포뮬레이션 중에 이러한 안정한 입자들의 사용은 막 물질 제거를 위한 폴리싱력의 더 효과적인 사용을 가능하게 할 것이고, 또한, 스크래칭 결함에 기여할 임의 불규칙적인 형상의 발생을 방지할 것이다.
본 발명의 다른 양태에서, 비정질 실리카 입자 A의 표면 상의 알루미늄, 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄 실리콘, 및 스트론튬 중의 적어도 한 타입의 원소를 포함하는 비정질 옥사이드 층, 및 그 위의 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란타늄 및 스트론튬으로부터 선택된 적어도 한 타입의 원소를 포함하는 결정질 옥사이드 층 B을 갖는 실리카-기반 복합 입자.
어드벤스드 CMP 적용(advanced CMP application)이 폴리싱 후 유전체 표면 상에 매우 낮은 수준의 금속, 예를 들어, 소듐을 필요로 하기 때문에, 슬러리 포뮬레이션 중에 매우 낮은 미량 금속, 특히, 소듐을 갖는 것이 요망된다. 특정의 바람직한 구체예에서, 포뮬레이션은 포뮬레이션 중 입자들의 각 중량%에 대하여 5 ppm 미만, 더욱 바람직하게, 1 ppm 미만, 가장 바람직하게, 0.5 ppm 미만의 소듐 불순물 수준을 갖는 세리아 코팅된 실리카 입자를 포함한다.
복합 입자는 CMP 조성물, 포뮬레이션 또는 슬러리("CMP 조성물," "CMP 포뮬레이션," 또는 "CMP 슬러리"는 서로 교환하여 사용됨)에서 연마제로서 사용된다. 옥사이드 막, 예를 들어, 다양한 금속 옥사이드 막; 및 다양한 니트라이드 막을 폴리싱하기 위한 일 예에는 STI(Shallow Trench Isolation(얕은 트렌치 절연))가 있다. STI 포뮬레이션에서, 실리카 코팅된 세리아 복합 입자를 포함하는 포뮬레이션은 매우 높은 실리콘 옥사이드 막의 제거율 및 매우 낮은 실리콘 니트라이드 폴리시 스톱 막(polish stop film)의 제거율을 제공할 수 있다. 이러한 슬러리 포뮬레이션들은 열 옥사이드(thermal oxide), 테트라 에틸 오르쏘 실리케이트(TEOS; Tetra Ethyl Ortho Silicate), 고밀도 플라즈마(HDP; High Density Plasma) 옥사이드, 고종횡비 공정(HARP; High Aspect Ratio Process) 막, 불소화된 옥사이드 막, 도핑된 옥사이드 막, 오가노실리케이트 유리(OSG; organosilicate glass) 저-K 유전체 막, 스핀-온 유리(SOG; Spin-On Glass), 폴리머 막, 유동 가능한 화학적 증기 증착(CVD; Chemical Vapor Deposited) 막, 광학 유리, 디스플레이 유리를 포함하지만, 이로 제한되지 않는 다양한 막 및 물질들을 폴리싱하기 위해 사용될 수 있다. 이러한 포뮬레이션들은 스톱-인-필름(stop-in-film) 적용에서 사용될 수 있는데, 여기서, 토포그래피(topography)가 제거되며 평평한 표면이 달성된 직후에 폴리싱이 정지된다. 대안적으로, 이러한 포뮬레이션들은 벌크 막(bulk film)을 폴리싱하고 스톱퍼 층(stopper layer)에서 정지하는 것을 포함하는 적용에서 사용될 수 있다. 이러한 포뮬레이션들은 얕은 트렌치 절연(STI), 층간 유전체(ILD; Inter Layer Dielectric) 폴리시, 금속간 유전체(IMD; Inter Metal Dielectric) 폴리시, 관통 실리콘 비아(TSV; through silicon via) 폴리시를 포함하는 다양한 적용들에서 사용될 수 있다. 이러한 포뮬레이션들은 또한, 유리 폴리싱(glass polishing) 또는 태양광 웨이퍼 가공(solar wafer processing) 또는 웨이퍼 그라인딩(wafer grinding)과 같은 임의 다른 적용들에서 사용될 수 있으며, 여기서, 높은 제거율이 요망된다.
CMP 조성물은 복합 입자, CMP 조성물의 pH를 최적화된 pH 조건으로 조절하기 위해 사용되는 pH 조절제; 폴리시 스톱 층/막의 제거율을 향상/억제하기 위한 적합한 화학적 첨가제; 및 잔부의 물을 포함한다.
연마제는 0.01 중량% 내지 20 중량%, 바람직하게, 0.05 중량% 내지 5 중량%, 더욱 바람직하게, 약 0.1 중량% 내지 약 1 중량% 양으로 존재한다.
화학적 첨가제는 유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들, 유기 설폰산 및 이의 염들, 유기 포스폰산 및 이의 염들, 폴리머 카복실산 및 이의 염들, 폴리머 설폰산 및 이의 염들, 폴리머 포스폰산 및 이의 염들, 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물들을 포함하지만, 이로 제한되지 않는다. 첨가제는 폴리아크릴산(PAA; polyacrylic acid) 또는 염, 폴리(메틸 메타크릴레이트)(PMMA; poly(methyl methacrylate)), 및 이들의 조합들로 이루어진 군으로부터 선택될 수 있다.
화학적 첨가제의 양은 배리어 CMP 조성물의 총 중량에 대해 약 0.1 ppm 내지 0.5 중량%의 범위이다. 바람직한 범위는 약 200 ppm 내지 0.3%이며, 더욱 바람직한 범위는 약 500 ppm 내지 0.15 중량%이다.
pH-조절제는 소듐 하이드록사이드, 세슘 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드 (예를 들어, 테트라메틸암모늄 하이드록사이드) 및 이들의 혼합물들을 포함하지만, 이로 제한되지 않는다. pH-조절제의 양은 CMP 조성물의 총 중량에 대해 약 0.0001 중량% 내지 약 5 중량%의 범위이다. 바람직한 범위는 약 0.0005% 내지 약 1 중량%이며, 더욱 바람직한 범위는 약 0.0005 중량% 내지 약 0.5 중량%이다. CMP 조성물의 pH는 약 2 내지 약 12의 범위이다. 바람직한 범위는 약 4 내지 약 10이다. 가장 바람직한 범위는 약 4.5 내지 7.5이다.
CMP 조성물은 계면활성제를 포함할 수 있다. 계면활성제는 a). 비이온성 표면 습윤제; b). 음이온성 표면 습윤제; c). 양이온성 표면 습윤제; d). 양쪽성 표면 습윤제; 및 이들의 혼합물들을 포함하지만, 이로 제한되지 않는다.
비이온성 표면 습윤제는 동일한 분자에 다양한 소수성 모이어티 및 친수성 모이어티를 갖는 산소- 또는 질소-함유 화합물들을 포함하지만, 이로 제한되지 않으며, 분자량은 수 백 내지 1백만 이상의 범위이다. 이러한 물질들의 점도는 또한, 매우 넓은 분포를 지닌다.
음이온성 표면 습윤제는 분자 프레임(molecular frame)의 주요 부분 상에 음성의 순전하를 지닌 화합물들이다. 이러한 화합물들은 알킬 카복실레이트, 알킬 폴리아크릴 염, 알킬 설페이트, 알킬 포스페이트, 알킬 바이카복실레이트, 알킬 바이설페이트, 알킬 바이포스페이트, 예를 들어, 알콕시 카복실레이트, 알콕시 설페이트, 알콕시 포스페이트, 알콕시 바이카복실레이트, 알콕시 바이설페이트, 알콕시 바이포스페이트, 예를 들어, 치환된 아릴 카복실레이트, 치환된 아릴 설페이트, 치환된 아릴 포스페이트, 치환된 아릴 바이카복실레이트, 치환된 아릴 바이설페이트, 치환된 아릴 바이포스페이트, 등과 같은, 적합한 소수성 테일(hydrophobic tail)을 갖는 염을 포함하지만, 이로 제한되지 않는다. 이러한 타입의 표면 습윤제에 대한 반대 이온들은 칼륨, 암모늄 및 다른 양이온들을 포함하지만, 이로 제한되지 않는다. 이러한 음이온성 표면 습윤제의 분자량은 수 백 내지 수 십만의 범위이다.
양이온성 표면 습윤제는 분자 프레임의 주요 부분 상에 양성의 순전하를 지닌다. 이러한 화합물들은 카복실레이트, 설페이트, 포스페이트, 바이카복실레이트, 바이설페이트, 바이포스페이트, 등과 같은, 적합한 소수성 테일을 갖는 염을 포함하지만, 이로 제한되지 않는다. 이러한 타입의 표면 습윤제에 대한 반대 이온들은 칼륨, 암모늄 및 다른 양이온들을 포함하지만, 이로 제한되지 않는다. 이러한 음이온성 표면 습윤제의 분자량은 수 백 내지 수 십만의 범위이다.
양쪽성 표면 습윤제는 주요 분자 사슬 상에 양전하 및 음전하 둘 모두를, 이의 상대 반대 이온과 함께, 지닌다. 이러한 쌍극성 표면 습윱제의 예는 아미노-카복실산, 아미노-인산, 아미노-설폰산, 및 이들의 혼합물들의 염들을 포함하지만, 이로 제한되지 않는다.
계면활성제의 예는, 또한, 도데실 설페이트 소듐 염, 소듐 라우릴 설페이트, 도데실 설페이트 암모늄 염, 2차 알칸 설포네이트, 알코올 에톡실레이트, 아세틸렌성 계면활성제, 및 이들의 임의 조합을 포함하지만, 이로 제한되지 않는다. 적합한 상업적으로 입수 가능한 계면활성제의 예는 Dow Chemicals에 의해 제조된 TRITON ™, TergitolTM, DOWFAXTM 패밀리의 계면활성제들, 및 Air Products and Chemicals에 의해 제조된 SUIRFYNOL™ , DYNOLTM, ZetasperseTM, NonidetTM, 및 TomadolTM 계면활성제 패밀리의 다양한 계면활성제를 포함한다. 계면활성제들 중 적합한 계면활성제는 또한, 에틸렌 옥사이드(EO) 및 프로필렌 옥사이드(PO) 기를 포함하는 폴리머를 포함할 수 있다. EO-PO 폴리머의 일 예에는 BASF Chemicals로부터의 TetronicTM 90R4가 있다.
분산제 및/또는 습윤제의 기능을 갖는 다른 계면활성제는 음이온성 또는 양이온성 또는 비이온성 또는 쯔비터이온성 특징을 가질 수 있는 폴리머 화합물들을 포함하지만, 이로 제한되지 않는다. 예에는 아크릴산, 말레산, 설폰산, 비닐산, 에틸렌 옥사이드, 등과 같은 작용기를 함유한 폴리머/코폴리머가 있다.
계면활성제의 양은 CMP 조성물의 총 중량에 대해 약 0.0001 중량% 내지 약 10 중량%의 범위이다. 바람직한 범위는 약 0.001 중량% 내지 약 1 중량%이며, 더욱 바람직한 범위는 약 0.005 중량% 내지 약 0.1 중량%이다.
포뮬레이션은 또한, 음이온성 또는 양이온성 또는 비이온성 기 또는 이러한 기들의 조합을 포함할 수 있는 수용성 폴리머들을 포함할 수 있다.
CMP 조성물은 저장 동안 박테리아 및 진균 성장을 방지하기 위해 생물학적 성장 억제제 또는 보존제를 포함할 수 있다. 생물학적 성장 억제제는 테트라메틸암모늄 클로라이드, 테트라에틸암모늄 클로라이드, 테트라프로필암모늄 클로라이드, 알킬벤질디메틸암모늄 클로라이드, 및 알킬벤질디메틸암모늄 하이드록사이드(여기서, 알킬 사슬은 1개 내지 약 20개의 탄소 원자의 범위임), 소듐 클로라이트, 및 소듐 하이포클로라이트를 포함하지만, 이로 제한되지 않는다. 몇몇 상업적으로 입수 가능한 보존제는 Dow Chemicals로부터의 KATHONTM 및 NEOLENETM 제품 패밀리, 및 Lanxess로부터의 PreventolTM 패밀리를 포함한다. 보다 많은 것은 미국특허번호 제5,230,833호(Romberger et al.) 및 미국특허출원번호 US 20020025762호에 기재되어 있다. 이러한 문헌의 내용은 이의 전문이 기술되어 있는 바와 같이 본원에 참고로 포함된다.
본 발명의 포뮬레이션은 상이한 입자를 갖는 포뮬레이션과 비교하여, 보다 양호한 제거율, 결함 및 평면성(planarity)으로 보다 연질의 패드에 대해 특히 효과적이다. CMP 패드는 다양한 기술들, 예를 들어, 쇼어 경도 시험(Shore hardness testing), 동적 기계적 분석, 초음파 특징분석, 연질 폴리머 세그먼트에 대한 경질 폴리머 세그먼트의 비율을 결정하기 위한 조성 분석, 등을 이용하여 탄성 또는 경도에 대해 특징될 수 있다. ASTM D2240-1 0 ASTM 스탠다드(standard)에 기술된 방법에 따라 측정된 쇼어 D 경도 시험은 CMP 패드 경도에 대한 널리 공지된 시험 방법이다. 문헌에 연질 패드 및 경질 패드를 정하는 명확한 정의가 존재하지 않지만, 일반적으로 경질 패드로 고려되는 IC1000 및 IC1010(Dow Chemicals에 의해 공급됨)과 같은 CMP 패드는 57의 쇼어 D 경도를 갖는다. Dow Ikonic 2000 시리즈와 같은 연질로서 특징되는 CMP 패드는 45 미만의 쇼어 D 경도를 갖는다. 상업적으로 입수 가능한 연질 패드의 다른 예들은 Dow Chemicals로부터의 Politex 시리즈 패드, Cabot Microelectronics로부터의 EPIC D200 시리즈 패드, Fujibo로부터의 Fujibo H7000N 패드, Nexplanar로부터의 Nexplanar 11EG, Dow Chemicals로부터의 VP3500 패드를 포함한다. 연질 패드 상에서 폴리싱하는 동안, 세리아 코팅된 실리카 입자를 포함하는 본 발명의 포뮬레이션은 디스크 원심분리 기술에 의해 측정하는 경우 유사한 중간 입자 크기를 갖는 소성된 세리아 입자를 포함하는 유사한 포뮬레이션과 비교하여 TEOS 막에 대해 적어도 2배, 더욱 바람직하게, 5배 초과, 및 가장 바람직하게, 10배 초과의 더 높은 제거율을 제공한다.
본 발명의 포뮬레이션은 상이한 입자들을 갖는 포뮬레이션과 비교하여 보다 양호한 제거율, 결함 및 평면성으로 보다 연질의 패드 상에서 특히 효과적이다. CMP 패드는 쇼어 경도 시험, 동적 기계적 분석, 초음파 특징분석, 연질 폴리머 세그먼트에 대한 경질 폴리머 세그먼트의 비율을 결정하기 위한 조성 분석, 등과 같은 다양한 기술들을 이용하여 탄성 또는 경도에 대해 특징분석될 수 있다. ASTM D2240-1 0 ASTM 스탠다드에 기술된 방법에 따라 측정되는 쇼어 D 경도 시험은 CMP 패드 경도에 대한 널리 공지된 시험 방법이다. 문헌에 연질 패드 및 경질 패드를 정하는 명확한 정의가 존재하지 않지만, 일반적으로 경질 패드로 여겨지는 IC1000 및 IC1010(Dow Chemicals에 의해 공급됨)과 같은 CMP 패드는 57의 쇼어 D 경도를 갖는다. Dow Ikonic 2000 시리즈와 같은 연질로서 특징된 CMP 패드는 45 미만의 쇼어 D 경도를 갖는다. 상업적으로 입수 가능한 연질 패드의 다른 예들은 Dow Chemicals로부터의 Politex 시리즈 패드, Cabot Microelectronics로부터의 EPIC D200 시리즈 패드, Fujibo로부터의 Fujibo H7000N 패드, Nexplanar로부터의 Nexplanar 11EG, Dow Chemicals로부터의 VP3500 패드를 포함한다.
연질 패드 상에서 폴리싱하는 동안에, 세리아 코팅된 실리카 입자를 포함하는 본 발명의 포뮬레이션은 디스크 원심분리 기술에 의해 측정되는 경우 유사한 중간 입자 크기를 갖는 소성된 세리아 입자를 포함하는 유사한 포뮬레이션과 비교하여 TEOS 막 상에서 적어도 2배, 더욱 바람직하게, 5배 초과, 및 가장 바람직하게, 10배 초과의 더 높은 제거율을 제공한다. 연질 패드 상에서 2 psi 하향력으로 0.5 중량% 연마 입자를 포함하는 슬러리 포뮬레이션으로 폴리싱하는 동안 TEOS 막의 제거율은 500 옹스트롱/분 초과, 더욱 바람직하게, 750 옹스트롱/분 초과, 또는 가장 바람직하게, 1000 옹스트롱/분 초과일 것이다.
실시예
장비
CMP 공정을 위해 Dow Corporation에 의해 공급된 폴리싱 패드 IC1010 패드; 및 Fujibo에 의해 공급된 연질 Fujibo 폴리싱 패드를 사용하였다.
화학적 증기 증착(CVD; Chemical Vapor Deposition)에 의한 전구체로서 테트라에틸오르쏘실리케이트를 사용한 TEOS 옥사이드막
고밀도 플라즈마(HDP; high density plasma) 기술에 의해 제조된 HDP 옥사이드 막
SiN 막-실리콘 니트라이드 막
파라미터
Å: 옹스트롱(들) - 길이의 단위
BP: 역압(back pressure), psi 단위
CMP: 화학적 기계적 평탄화 = 화학적 기계적 폴리싱
CS: 캐리어 속도
DF: 하향력(Down force): CMP 동안 가해진 압력, psi 단위
min: 분(들)
ml: 밀리리터(들)
mV: 밀리볼트(들)
psi: 제곱 인치 당 파운드(pounds per square inch)
PS: 폴리싱 툴(polishing tool)의 압반 회전 속도, rpm(분당 회전수(들))
SF: 폴리싱 조성물 흐름, ml/min
제거율 및 선택성
제거율 (RR) = (폴리싱 전 막 두께 - 폴리싱 후 막 두께)/폴리시 시간
TEOS RR = CMP 툴의 2.0 psi (연질 패드) 및 4.7 psi (경질 패드) 하향 압력(down pressure)에서의 측정된 TEOS 제거율
HDP RR = CMP 툴의 2.0 psi (연질 패드) 및 4.7 psi (경질 패드) 하향 압력(down pressure)에서의 측정된 HDP 제거율
SiN RR = CMP 툴의 2.0 psi (연질 패드) 및 4.7 psi (경질 패드) 하향 압력(down pressure)에서의 측정된 SiN 제거율
TEOS/SiN의 선택성 = 동일한 하향력(psi)에서 TEOS RR/SiN RR; HDP/SiN = HDP RR/SiN RR
모든 백분율은 달리 명시하지 않는 한 중량 백분율이다.
일반적인 실험 절차
하기에 제시되는 실시예에서, CMP 실험들을 하기에 제공되는 절차 및 실험 조건을 이용하여 실행하였다. 실시예에서 사용된 CMP 툴은 Applied Materials(3050 Boweres Avenue, Santa Clara, California, 95054)에 의해 제작된 Mirra®이다. Narubeni America Corporation에 의해 공급된 Fujibo H7000HN 패드를 블랭켓 웨이퍼 폴리싱 연구를 위해 압반 상에서 사용하였다. 패드를 25 더미(dummy) 옥사이드(TEOS 전구체로부터 플라즈마 강화 CVD에 의한 증착, PETEOS) 웨이퍼를 폴리싱함으로써 브레이킹-인(break-in)시켰다. 툴 셋팅 및 패드 브레이크-인을 한정(qualify)하기 위하여, 두 개의 PETEOS 모니터를 베이스라인 조건에서 Air Products Chemical Incorporation에 의해 공급된 Syton® OX-K 콜로이드성 실리카로 폴리싱하였다.
옥사이드 막 두께 사양을 하기에 요약하였다:
TEOS: 15,000 Å
HDP: 10,000 Å
실시예 1
세리아 코팅된 실리카 입자는 코어 입자로서의 실리카 및 실리카 입자 표면 상의 세리아 나노입자를 갖는 복합 입자이다. LPC(Large particle Count)는 슬러리에서 얼마나 많은 큰 입자들이 있는지를 알려준다. 널리 허용되는 개념으로서, 스크래치는 일반적으로 큰 입자들에 의해 야기된다. 대개, 보다 큰 LPC를 갖는 슬러리는 보다 낮은 LPC를 갖는 슬러리와 비교하여 결함에 대한 성능을 떨어뜨린다. LPC는 통상적으로, 광 차폐(light obscuration) 또는 단일 입자 광학크기 분석(SPOS; Single Particle Optical Sizing)과 같은 광학적 기술들에 의해 측정된다.
표 1은 AccusizerTM 780 입자 크기분석 시스템을 이용하여 세 가지의 상이한 입자 용액, 즉 소성된 세리아 입자를 함유한 용액(디스크 원심분리에 의한 중간 입자 크기: 97.9 nm), 콜로이드성 세리아 입자를 함유한 용액(Solvay로부터 얻어진 HC90), 및 세리아 코팅된 실리카 복합 입자를 함유한 용액(JGC C&C Ltd로부터의 CPOP-20)의 LPC를 비교한 것이다. CPOP-20 입자는 JP20131191131호, JP2013133255호, JP2015-169967호, 및 JP2015-183942호에 기술된 방법들에 의해 제조된 것이다.
표 1. 상이한 입자 용액의 LPC 비교
Figure 112017077322812-pct00001
세리아 코팅된 실리카 복합 입자를 함유한 용액은 다른 두 개와 비교하여 가장 낮은 LPC를 갖는다. 이는 CMP 적용을 위해, 특히, 수율이 결함에 대해 매우 민감한 어드밴스드 노드(advanced node)를 위해 매우 요망되는 것이다.
실시예 2
CMP 조성물은 0.5 중량% 연마제, 0.077 중량% 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000), 암모늄 하이드록사이드, 및 물을 포함하였다. CMP 조성물은 5의 pH를 갖는다.
모두 세 개의 CMP 조성물은 동일한 화학적 구성, pH 및 연마제 중량%를 갖는다. 세 가지 슬러리에서의 유일한 차이는 사용되는 연마제의 타입이다. 세 가지 타입의 연마제는 통상적인 소성된 세리아 및 콜로이드성 세리아, 및 세리아 코팅된 실리카(복합 입자)이다. 폴리싱된 옥사이드 막은 전구체로서 TEOS(테트라에틸 오르쏘실리케이트)를 사용하여 CVD(화학적 증기 증착)에 의해 제조된 옥사이드 막을 지칭하는 TEOS 막; 및 HDP 기술에 의해 제조된 옥사이드 막을 지칭하는 HDP(고밀도 플라즈마) 막이다.
옥사이드 막 및 SiN 막을 폴리싱하기 위해 CMP 조성물 및 IC1010 패드를 사용하였다.
상이한 연마 입자로의 CMP 성능(제거율-RR 및 결함)을 비교하였고, 도 1에 도시하였다. 세리아 코팅된 실리카는 TEOS 및 HDP 옥사이드 막 둘 모두에 대해 가장 높은 RR을 가졌다. 이는 또한, SiN에 비해 가장 높은 옥사이드 막의 선택성 및 보다 낮은 결함(0.13 ㎛에서의 임계값)을 갖는다.
실시예 3
모든 CMP 조성물은 상이한 양(중량%)의 세리아 코팅된 실리카 연마제를 갖는 것을 제외하고, 동일한 화학적 구성을 갖는다. 모든 CMP 조성물은 0.077 중량% 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000), 암모늄 하이드록사이드를 갖는다. CMP 조성물은 7의 pH를 갖는다.
옥사이드 막을 폴리싱하기 위해 CMP 조성물 및 IC1010 패드를 사용하였다.
RR에 대한 세리아 코팅된 실리카 연마제 중량%의 효과를 연구하였고, 도 2에 도시하였다. 세리아 코팅된 실리카 연마제의 양(중량%)이 증가할 때, TEOS RR 및 HDP RR 둘 모두는 도 3에서 비스듬한 솔리드로서 나타낸 바와 같이 증가하였다. 비교로서, SiN RR은 평평하게 유지되었다. 0.5 중량% 세리아 코팅된 실리카 연마제를 사용하였을 때, TEOS RR 및 HDP RR은 거의 6,000 Å/min에 도달하였다. 그 결과는 세리아 코팅된 실리카 입자가 옥사이드 막 제거에 대해 매우 효율적임을 지시하는 것이다.
실시예 4
상이한 양(중량%)의 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000)를 제외하고, 모든 CMP 조성물은 동일한 화학적 구성을 갖는다. 모든 CMP 조성물은 연마제로서 0.25 중량%의 세리아 코팅된 실리카, 암모늄 하이드록사이드를 포함하였다. CMP 조성물은 5의 pH를 갖는다.
옥사이드 막을 폴리싱하기 위해 CMP 조성물 및 IC1010 패드를 사용하였다.
RR에 대한 폴리아크릴레이트 농도의 효과는 도 3에 도시되어 있다. CMP 조성물 슬러리 중 폴리아크릴산(염) 농도가 0 내지 0.30 중량%의 범위에서 증가함에 따라, TEOS 막의 RR 및 HDP 막의 RR은 3500 Å/min에서 ~500 Å/min로 크게 변하였다. SiN RR은 그러한 범위에서 매우 적게 변하였고, 폴리아크릴산(염)이 0.1 중량%에 도달한 직후에 정류 수준(steady level)에 도달하였다. HDP RR에 대한 TEOS RR의 상대적 비율은 또한, 그러한 범위에서 변하였다. 소량(0.13 중량%)의 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000)가 첨가되었을 때, HDP RR은 TEOS RR에 비해 더욱 높았다. 폴리아크릴레이트 농도가 특정 수준(예를 들어, 도 3에서 ~0.13%)에 도달한 후에, TEOS RR은 HDP RR 보다 더 높게 변하였다. 소성된 세리아 및 콜로이드성 세리아로의 RR에 대한 폴리아크릴산(염)의 중량%로의 유사한 시험의 비교로서, HDP RR은 임의 중량%의 폴리아크릴레이트에서 TEOS RR에 비해 항상 더 낮다.
실시예 5
모든 CMP 조성물은 연마제로서 0.25 중량%의 세리아 코팅된 실리카, 0.077 중량%의 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000), 암모늄 하이드록사이드를 포함하였다. CMP 조성물은 5 또는 7의 pH를 갖는다.
옥사이드 막을 폴리싱하기 위해 CMP 조성물 및 IC1010 패드를 사용하였다.
RR에 대한 상이한 pH의 효과는 도 4에 도시되어 있다. pH 가 5에서 7로 증가함에 따라, TEOS RR 및 HDP RR은 또한 증가하였으며, SiN RR은 감소하였다. 이에 따라, pH를 중성으로 변경시키면 옥사이드/SiN 선택성이 증가할 것이다. pH=5에서, HDP RR은 TEOS RR 보다 더 높았다. 그러나, 그 결과는 pH=7에서 역전되었으며, 여기서, TEOS RR은 HDP RR 보다 더 높았다.
실시예 6
모든 CMP 조성물은 연마제로서 0.5 중량%의 세리아 코팅된 실리카, 0.077 중량%의 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000), 암모늄 하이드록사이드를 포함하였다. CMP 조성물은 5의 pH를 갖는다.
옥사이드 막을 폴리싱하기 위해 CMP 조성물 및 연질 패드, 예를 들어, Fujibo 패드를 사용하였다. 그 결과는 도 5에 도시되어 있다.
옥사이드 막을 연질 패드, 예를 들어, Fujibo 패드로 폴리싱하였을 때, 콜로이드성 세리아 및 소성된 세리아를 갖는 CMP 조성물들은 무시할 정도의 제거율을 갖는다. 대조적으로, 세리아 코팅된 실리카를 갖는 CMP 조성물은 매우 높은 제거율을 제공하였다. 이는 세리아 코팅된 실리카 복합 입자를 포함하는 CMP 조성물의 독특한 성능이다.
실시예 7
세 가지 CMP 포뮬레이션 A, B 및 C를 상이한 연마제 물질로 제조하였다. 모든 포뮬레이션은 0.5 중량% 연마 입자, 0.077 중량% 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000)를 포함하였으며, pH는 5 내지 6으로 조절되었다.
포뮬레이션 A는 소성된 세리아 입자로 제조된 것이며(실시예 1에 기술된 바와 같음), 포뮬레이션 B는 Solvay Chemicals로부터 획득된 HC-90 콜로이드성 셀리아 입자로 제조된 것이며, 포뮬레이션 C는 CPOP-20 세리아 코팅된 실리카 입자로 제조된 것이다.
이러한 슬러리로의 폴리싱을 상이한 CMP 패드들, 즉, 경질 패드 #1(Dow Chemicals로부터의 IC1000), 연질 패드#1(Fujibo로부터의 Fujibo H7000), 연질 패드#2(Dow Chemicals로부터의 VP3500), 연질 패드#3(Nexplanar로부터의 Nexplanar 11EG)을 이용하여 수행하였다. 경질 패드 상에서의 폴리싱을 4.7 psi 하향력으로 수행하였다. 연질 패드 상에서의 폴리싱을 2 psi로 수행하였다.
도 6에는 세리아 코팅된 실리카 입자를 갖는 경우에(포뮬레이션 C), 경질 패드 및 연질 패드 (#1) 둘 모두 상에서 매우 높은 TEOS 제거율이 달성되었다는 것이 도시되어 있다. 소성된 세리아(포뮬레이션 A) 및 콜로이드성 세리아(포뮬레이션 B)의 경우에, 연질 패드 상에서의 TEOS 제거율은 CMP 적용에 대해 효과적이도록 매우 낮다. 세리아 코팅된 실리카 입자는 연질 패드 상에서 예상치 못하게 높은 TEOS 제거율을 나타내었다.
도 7에서의 데이타는 포뮬레이션 C를 사용하여 상이한 패드 상에서의 TEOS 제거율을 나타내었다. 높은 TEOS 제거율은 모든 상이한 타입의 패드를 사용하여 달성되었다.
경질 패드#1 및 연질 패드 #3을 사용하여 포뮬레이션 A 및 C로의 폴리싱한 후 TEOS 웨이퍼 상에서의 결함의 수를 측정하였다. 그 결과는 도 8에 도시되어 있다. 연질 패드 3 상에서 포뮬레이션 A로 폴리싱된 웨이퍼는, 매우 작은 막 두께가 제거되었기 때문에 결함성(defectivity)에 대해 측정되지 않았다.
세리아 코팅된 실리카 입자를 함유한 포뮬레이션 C는 심지어 경질 패드 상에서도 소성된 세리아를 함유한 포뮬레이션 A와 비교하여 결함에 있어서 급격한 개선을 갖는다.
경질 패드와 비교하여 연질 패드 상에서 결함들이 또한 훨씬 더 낮았다. 이에 따라, 세리아 코팅된 실리카 입자를 사용하여, 연질 패드 상에서의 폴리싱을 필요로 하는 CMP 적용이 높은 제거율 및 낮은 결함 둘 모두를 달성할 수 있다.
IC1010 패드를 사용하여 포뮬레이션 A 및 C로의 폴리싱 후에 고종횡비 공정(HARP; high aspect ratio process) 막 상의 결함의 수를 측정하였다. 결과는 도 9a 및 도 9b에 각각 도시되어 있다. 세리아 코팅된 실리카 입자를 함유한 포뮬레이션 C를 사용한 결함들은 소성된 세리아 입자를 함유한 포뮬레이션 A를 사용한 결함과 비교하여 매우 낮은 결함을 야기시켰다.
포뮬레이션 C로의 패턴화된 웨이퍼 상에서의 성능을 또한, 경질 패드(IC1010) 및 연질 패드(#3) 둘 모두를 사용하여 측정하였다. 디싱의 결과는 도 10에 도시되어 있다.
웨이퍼 상의 토포그래피를 다양한 폴리싱 시간에 50% 패턴 밀도로 50 마이크론 라인 상에서 측정하였다. 결과는 연질 패드 및 경질 패드 둘 모두를 사용하여 50 마이크론 라인 상에서의 매우 낮은 디싱 토포그래피를 나타내었다. 디싱은 경질 패드와 비교하여 연질 패드 상에서 훨씬 나쁜 것으로 알려져 있다. 세리아 코팅된 실리카 입자의 사용은 심지어 연질 패드 상에서도 낮은 디싱을 허용하였다.
실시예 8
물, 0.5% 연마 입자, 0.077% 암모늄 폴리아크릴레이트(분자량 16,000 내지 18,000), pH를 5로 조절하기 위한 암모늄 하이드록사이드를 포함하는 세 가지 슬러리 포뮬레이션(D, E, F)을 상이한 연마 입자로 제조하였다.
포뮬레이션 D를 비교를 위해 US 2012/0077419호에 기술된 방법에 따라 제조된 세리아 코팅된 실리카 입자(입자 CP2로서 지칭됨)로 제조하였다. 디스크 원심분리 분석에 의해 측정된 중간 입자 크기(MPS)는 41 nm이었다. 실시예 1에 기술된 소성된 세리아 입자(디스크 원심분리 분석에 의해 측정된 중간 입자 크기: 97 nm)를 사용하여 포뮬레이션 E를 제조하였다. 실시예 1에 기술된 바와 같은 CPOP-20 세리아 코팅된 실리카 입자를 사용하여 포뮬레이션 F를 제조하였다. 디스크 원심분리에 의해 측정된 이러한 입자들의 중간 입자 크기(MPS)는 97.7 nm이었다.
Bruker CP4 Minipolisher 상에서 TEOS 웨이퍼를 폴리싱하기 위해 이러한 슬러리 포뮬레이션을 사용하였다. 폴리싱을 230 RPM 테이블 속도, 87 RPM 헤드 속도와 함께, 2 psi 하향력, 및 Fujibo H7000 CMP 패드로의 13 ml/min 슬러리 유량으로 수행하였다.
표 2는 슬러리 포뮬레이션 당 사용되는 세 가지 웨이퍼 각각에 대한 제거율 데이타(옹스트롱/분)를 나열한 것이다.
표 2
Figure 112017077322812-pct00002
표 2로부터 입증된 바와 같이, CPOP-20 세리아 코팅된 실리카 입자를 사용한 포뮬레이션 F는 포뮬레이션 D 및 E를 능가하였다.
그 결과는, 대안 입자를 갖는 비교 포뮬레이션이 연질 패드 CMP 공정 상의 옥사이드 막의 필수적인 제거율을 제공하지 못하는 것으로 나타내고 있다. 본 발명의 포뮬레이션은 비교 포뮬레이션 보다 상당히 더 큰 제거율을 제공하고, 이에 따라, 특히, 연질 패드 상에서의 옥사이드 막의 CMP를 가능하게 한다.
실시예 9
수중 입자들의 분산물을 분해력 하에서, 즉, 초음파 분해 하에서의 안정성에 대해 시험하였다.
실험을 Branson 2510R-MI Sonic 베쓰에서 42 KHz에서의 100 와트 출력으로 수행하였다. 실시예 1에 기술된 바와 같은 세리아 코팅된 실리카 CPOP-20 입자를 실시예 9에 기술된 CP2 입자에 대해 비교하였다.
표 3
Figure 112017077322812-pct00003
CPOP-20 및 CP2 입자들에 대한 초음파처리 전 및 후에 디스크 원심분리 방법(CPS Instruments로부터의 DC24000 UHR)에 의해 측정된 입자 크기 분포는 표 3에 각각 나타내었다.
그 결과는, 본 발명의 포뮬레이션에서 사용된 입자들이 크기 분포의 변화를 나타내지 않는 것을 지시하고 있는데, 이는 코어와 코팅된 입자 간에 강력한 결합을 지시하는 것이다.
CP2 입자의 크기 분포의 변화는 > 14%이다. 표 3에서의 데이타는 또한, 입자 크기 분포가 보다 작은 입자 쪽으로 이동함을 나타내는데, 이는 복합 입자가 안정적이지 않을 수 있다는 것, 예를 들어, 코어와 코팅된 입자 간의 약한 결합일 수 있음을 지시하는 것이다.
구체예들의 상기 실시예 및 설명은 청구항들에 의해 규정된 바와 같이 본 발명을 제한하는 것이기 보다는 예시적인 것으로 받아들여져야 한다. 용이하게 인식되는 바와 같이, 상기 기술된 특징들의 여러 변형 및 조합들은 청구항에 기술된 바와 같은 본 발명을 벗어나지 않으면서 사용될 수 있다. 이러한 변형들은 하기 청구범위 내에 포함되는 것으로 의도된다.

Claims (21)

  1. 하기를 포함하는 폴리싱 조성물(polishing composition)로서:
    나노입자에 의해 커버링된 표면을 갖는 코어 입자를 포함하는 복합 입자;
    유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들; 유기 설폰산 및 이의 염들; 유기 포스폰산 및 이의 염들; 폴리머 카복실산 및 이의 염들; 폴리머 설폰산 및 이의 염들; 폴리머 포스폰산 및 이의 염들; 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물로부터 선택된 첨가제;
    소듐 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드, 및 이들의 조합들로 이루어진 군으로부터 선택된 pH-조절제; 및
    잔부의 물;
    코어 입자가 실리카 입자이며, 나노입자가 세리아 나노입자이며, 복합 입자가 세리아 코팅된 실리카 복합 입자이며;
    복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리(Disc Centrifuge) 방법에 의해 측정되는 중간 입자 크기(Mean Particle Size; MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 10% 미만이며;
    폴리싱 조성물이 2 내지 12의 pH를 갖는 폴리싱 조성물.
  2. 삭제
  3. 제1항에 있어서, 복합 입자가 단결정질 세리아 나노입자에 의해 커버링된 표면을 갖는 비정질 실리카 입자인 폴리싱 조성물.
  4. 제1항에 있어서, 4 내지 10 범위의 pH를 가지며, 복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 5% 미만인 폴리싱 조성물.
  5. 제1항에 있어서, 세리아 코팅된 실리카 복합 입자, 폴리아크릴산(PAA; polyacrylic acid) 또는 염, 폴리(메틸 메타크릴레이트)(PMMA; poly(methyl methacrylate)), 및 이들의 조합들로 이루어진 군으로부터 선택된 첨가제; 암모늄 하이드록사이드를 포함하고, 4.5 내지 7.5 범위의 pH를 가지며, 복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 2% 미만인 폴리싱 조성물.
  6. 제1항에 있어서,
    a). 비이온성 표면 습윤제; b). 음이온성 표면 습윤제; c). 양이온성 표면 습윤제; d). 양쪽성 표면 습윤제; 및 이들의 혼합물들로 이루어진 군으로부터 선택된 계면활성제; 및
    테트라메틸암모늄 클로라이드, 테트라에틸암모늄 클로라이드, 테트라프로필암모늄 클로라이드, 1개 내지 20개의 탄소 원자의 알킬 사슬 범위를 갖는 알킬벤질디메틸암모늄 클로라이드, 1개 내지 20개의 탄소 원자의 알킬 사슬 범위를 갖는 알킬벤질디메틸암모늄 하이드록사이드, 소듐 클로라이트(sodium chlorite), 소듐 하이포클로라이트(sodium hypochlorite), 및 이들의 조합들로 이루어진 군으로부터 선택된 생물학적 성장 억제제를 추가로 포함하는 폴리싱 조성물.
  7. 하나 이상의 옥사이드 층을 갖는 하나 이상의 표면을 포함하는 반도체 기판의 화학적 기계적 평탄화를 위한 폴리싱 방법으로서,
    a) 하나 이상의 옥사이드 층을 폴리싱 패드와 접촉시키는 단계;
    b) 하나 이상의 표면에 폴리싱 조성물을 전달하는 단계로서, 폴리싱 조성물이 하기를 포함하고:
    나노입자에 의해 커버링된 표면을 갖는 코어 입자를 포함하는 복합 입자,
    유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들; 유기 설폰산 및 이의 염들; 유기 포스폰산 및 이의 염들; 폴리머 카복실산 및 이의 염들; 폴리머 설폰산 및 이의 염들; 폴리머 포스폰산 및 이의 염들; 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물로부터 선택된 첨가제;
    소듐 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드, 및 이들의 조합들로 이루어진 군으로부터 선택된 pH-조절제; 및
    잔부의 물;
    복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 10% 미만이며;
    코어 입자가 실리카 입자이며, 나노입자가 세리아 나노입자이며, 복합 입자가 세리아 코팅된 실리카 복합 입자이며;
    폴리싱 조성물이 2 내지 12의 pH를 갖는 단계; 및
    c) 하나 이상의 옥사이드 층을 폴리싱 조성물로 폴리싱하는 단계를 포함하는 방법.
  8. 제7항에 있어서, 복합 입자가 단결정질 세리아 나노입자에 의해 커버링된 표면을 갖는 비정질 실리카 입자인 방법.
  9. 제7항에 있어서, 폴리싱 조성물이 4 내지 10 범위의 pH를 가지며, 복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 5% 미만인 방법.
  10. 제7항에 있어서, 폴리싱 조성물이
    a). 비이온성 표면 습윤제; b). 음이온성 표면 습윤제; c). 양이온성 표면 습윤제; d). 양쪽성 표면 습윤제; 및 이들의 혼합물들로 이루어진 군으로부터 선택된 계면활성제; 및
    테트라메틸암모늄 클로라이드, 테트라에틸암모늄 클로라이드, 테트라프로필암모늄 클로라이드, 1개 내지 20개의 탄소 원자의 알킬 사슬 범위를 갖는 알킬벤질디메틸암모늄 클로라이드, 1개 내지 20개의 탄소 원자의 알킬 사슬 범위를 갖는 알킬벤질디메틸암모늄 하이드록사이드, 소듐 클로라이트, 소듐 하이포클로라이트, 및 이들의 조합들로 이루어진 군으로부터 선택된 생물학적 성장 억제제를 추가로 포함하는 방법.
  11. 제7항에 있어서, 폴리싱 조성물이 세리아 코팅된 실리카 복합 입자, 폴리아크릴산(PAA) 또는 염, 폴리(메틸 메타크릴레이트)(PMMA), 및 이들의 조합들로 이루어진 군으로부터 선택된 첨가제; 암모늄 하이드록사이드를 포함하고, 4.5 내지 7.5 범위의 pH를 가지며, 복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 2% 미만인 방법.
  12. 제7항에 있어서, 하나 이상의 옥사이드 층이 실리콘 옥사이드 층인 방법.
  13. 제7항에 있어서, 폴리싱 패드가 연질 패드(soft pad)인 방법.
  14. 제13항에 있어서, 하나 이상의 옥사이드 층에 대한 폴리싱 제거율이 500 Å/분 이상인 방법.
  15. 하기를 포함하는 화학적 기계적 평탄화를 위한 시스템으로서:
    하나 이상의 옥사이드 층을 갖는 하나 이상의 표면을 포함하는 반도체 기판;
    폴리싱 패드; 및
    하기를 포함하는 폴리싱 조성물:
    나노입자에 의해 커버링된 표면을 갖는 코어 입자를 포함하는 복합 입자;
    유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염들; 유기 설폰산 및 이의 염들; 유기 포스폰산 및 이의 염들; 폴리머 카복실산 및 이의 염들; 폴리머 설폰산 및 이의 염들; 폴리머 포스폰산 및 이의 염들; 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합들로 이루어진 군으로부터 선택된 작용기를 갖는 화합물로부터 선택된 첨가제;
    소듐 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드, 및 이들의 조합들로 이루어진 군으로부터 선택된 pH-조절제; 및
    잔부의 물;
    복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 10% 미만이고;
    코어 입자가 실리카 입자이며, 나노입자가 세리아 나노입자이며, 복합 입자가 세리아 코팅된 실리카 복합 입자이며;
    폴리싱 조성물이 2 내지 12의 pH를 갖고;
    하나 이상의 옥사이드 층이 폴리싱 패드 및 폴리싱 조성물과 접촉되는 시스템.
  16. 제15항에 있어서, 복합 입자가 단결정질 세리아 나노입자에 의해 커버링된 표면을 갖는 비정질 실리카 입자인 시스템.
  17. 제15항에 있어서, 폴리싱 조성물이 4 내지 10 범위의 pH를 가지며, 복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 5% 미만인 시스템.
  18. 제15항에 있어서, 폴리싱 조성물이
    a). 비이온성 표면 습윤제; b). 음이온성 표면 습윤제; c). 양이온성 표면 습윤제; d). 양쪽성 표면 습윤제; 및 이들의 혼합물들로 이루어진 군으로부터 선택된 계면활성제; 및
    테트라메틸암모늄 클로라이드, 테트라에틸암모늄 클로라이드, 테트라프로필암모늄 클로라이드, 1개 내지 20개의 탄소 원자의 알킬 사슬 범위를 갖는 알킬벤질디메틸암모늄 클로라이드, 1개 내지 20개의 탄소 원자의 알킬 사슬 범위를 갖는 알킬벤질디메틸암모늄 하이드록사이드, 소듐 클로라이트, 소듐 하이포클로라이트, 및 이들의 조합들로 이루어진 군으로부터 선택된 생물학적 성장 억제제를 추가로 포함하는 시스템.
  19. 제15항에 있어서, 폴리싱 조성물이 세리아 코팅된 실리카 복합 입자, 폴리아크릴산(PAA) 또는 염, 폴리(메틸 메타크릴레이트)(PMMA), 및 이들의 조합들로 이루어진 군으로부터 선택된 첨가제; 암모늄 하이드록사이드를 포함하고, 4.5 내지 7.5 범위의 pH를 가지며, 복합 입자가 베쓰 중에 함침되는 동안 1/2 시간 동안 100W 출력에서 42 KHZ 주파수로 초음파 처리 후의, 디스크 원심분리 방법에 의해 측정되는 중간 입자 크기(MPS), D50, D75 또는 D99의 변화로 나타내어지는, 복합 입자의 크기 분포의 변화가 2% 미만인 시스템.
  20. 제15항에 있어서, 하나 이상의 옥사이드 층이 실리콘 옥사이드 층인 시스템.
  21. 제15항에 있어서, 폴리싱 패드가 연질 패드인 시스템.
KR1020177022424A 2015-01-12 2016-01-12 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법 KR102240249B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562102319P 2015-01-12 2015-01-12
US62/102,319 2015-01-12
US201562221379P 2015-09-21 2015-09-21
US62/221,379 2015-09-21
PCT/US2016/012993 WO2016115096A1 (en) 2015-01-12 2016-01-12 Composite abrasive particles for chemical mechanical planarization composition and method of use thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197022601A Division KR20190091579A (ko) 2015-01-12 2016-01-12 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법

Publications (2)

Publication Number Publication Date
KR20170105556A KR20170105556A (ko) 2017-09-19
KR102240249B1 true KR102240249B1 (ko) 2021-04-13

Family

ID=56367079

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177022424A KR102240249B1 (ko) 2015-01-12 2016-01-12 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법
KR1020197022601A KR20190091579A (ko) 2015-01-12 2016-01-12 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197022601A KR20190091579A (ko) 2015-01-12 2016-01-12 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법

Country Status (9)

Country Link
US (3) US10109493B2 (ko)
EP (1) EP3245262B1 (ko)
JP (2) JP6581198B2 (ko)
KR (2) KR102240249B1 (ko)
CN (2) CN114621686A (ko)
IL (1) IL253158B (ko)
SG (1) SG11201705419RA (ko)
TW (2) TW201817835A (ko)
WO (1) WO2016115096A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016115096A1 (en) * 2015-01-12 2016-07-21 Air Products And Chemicals, Inc. Composite abrasive particles for chemical mechanical planarization composition and method of use thereof
US10570313B2 (en) * 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
JP6560155B2 (ja) * 2016-04-20 2019-08-14 信越化学工業株式会社 合成石英ガラス基板用研磨剤及び合成石英ガラス基板の研磨方法
DE112017006834T5 (de) * 2017-01-16 2019-09-26 Jgc Catalysts And Chemicals Ltd. Polierzusammensetzung
JP6694653B2 (ja) * 2017-04-10 2020-05-20 信越化学工業株式会社 合成石英ガラス基板用研磨剤及びその製造方法並びに合成石英ガラス基板の研磨方法
US20190127607A1 (en) 2017-10-27 2019-05-02 Versum Materials Us, Llc Composite Particles, Method of Refining and Use Thereof
CN113913156B (zh) * 2017-10-31 2022-06-24 Hoya株式会社 研磨液、玻璃基板的制造方法以及磁盘的制造方法
JP6936183B2 (ja) * 2018-04-24 2021-09-15 信越化学工業株式会社 合成石英ガラス基板用の研磨剤及びその製造方法、並びに合成石英ガラス基板の研磨方法
US11078417B2 (en) 2018-06-29 2021-08-03 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11549034B2 (en) 2018-08-09 2023-01-10 Versum Materials Us, Llc Oxide chemical mechanical planarization (CMP) polishing compositions
US11180678B2 (en) 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US11608451B2 (en) 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
EP4048746A4 (en) * 2019-10-24 2023-11-29 Versum Materials US, LLC CHEMICAL MECHANICAL POLISHING COMPOSITIONS FOR SHALLOW TRENCH INSULATION AT HIGH OXIDE REMOVAL RATES
WO2021085585A1 (ja) 2019-11-01 2021-05-06 日本電気株式会社 分析装置、制御方法、及びプログラム
US20230020073A1 (en) * 2019-12-04 2023-01-19 Versum Materials Us, Llc High Oxide Film Removal Rate Shallow Trench (STI) Chemical Mechanical Planarization (CMP) Polishing
EP4073187A4 (en) * 2019-12-12 2023-12-13 Versum Materials US, LLC PLANARIZATION BY CHEMICAL-MECHANICAL POLISHING OF INSULATION BY SHALLOW TRENCHES WITH LOW OXIDE TRENCH BENDING
US11254839B2 (en) * 2019-12-12 2022-02-22 Versum Materials Us, Llc Low oxide trench dishing shallow trench isolation chemical mechanical planarization polishing
CN115197645B (zh) * 2021-04-02 2024-02-20 Sk恩普士有限公司 半导体工艺用抛光组合物以及半导体器件的制造方法
WO2023059999A1 (en) * 2021-10-05 2023-04-13 Versum Materials Us, Llc Chemical mechanical planarization polishing for shallow trench isolation
WO2023240260A1 (en) * 2022-06-10 2023-12-14 Saint-Gobain Ceramics & Plastics, Inc. Chemical mechanical planarization slurry and method of polishing a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US20040211337A1 (en) 2001-08-20 2004-10-28 Lee In Yeon Polishing slurry comprising silica-coated ceria
JP2013133255A (ja) 2011-12-26 2013-07-08 Jgc Catalysts & Chemicals Ltd シリカ系複合粒子および該粒子を含む研磨用スラリー

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03202269A (ja) * 1989-10-12 1991-09-04 Nalco Chem Co 低ナトリウム低金属シリカ研磨スラリー
EP0520109B1 (en) * 1991-05-28 1995-03-29 Rodel, Inc. Low sodium, low metals silica polishing slurries
JP2001007060A (ja) * 1999-06-18 2001-01-12 Hitachi Chem Co Ltd Cmp研磨剤及び基板の研磨方法
KR20050118314A (ko) * 1999-06-18 2005-12-16 히다치 가세고교 가부시끼가이샤 Cmp연마제, 이것을 사용한 기판의 연마방법과반도체장치의 제조방법 및 cmp연마제용 첨가제
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
DE10204471C1 (de) * 2002-02-05 2003-07-03 Degussa Wässerige Dispersion enthaltend mit Ceroxid umhülltes Siliciumdioxidpulver, Verfahren zu deren Herstellung und Verwendung
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US6918820B2 (en) 2003-04-11 2005-07-19 Eastman Kodak Company Polishing compositions comprising polymeric cores having inorganic surface particles and method of use
JP4311247B2 (ja) * 2004-03-19 2009-08-12 日立電線株式会社 研磨用砥粒、研磨剤、研磨液の製造方法
WO2010139603A1 (en) * 2009-06-05 2010-12-09 Basf Se RASPBERRY-TYPE METAL OXIDE NANOSTRUCTURES COATED WITH CeO2 NANOPARTICLES FOR CHEMICAL MECHANICAL PLANARIZATION (CMP)
KR101359092B1 (ko) * 2009-11-11 2014-02-05 가부시키가이샤 구라레 화학적 기계적 연마용 슬러리 및 그것을 이용하는 기판의 연마 방법
KR101894712B1 (ko) 2010-09-08 2018-09-04 바스프 에스이 산화규소 유전체 필름 및 폴리실리콘 및/또는 질화규소 필름을 함유하는 기판의 화학적 기계적 연마 방법
JP5953762B2 (ja) * 2011-01-25 2016-07-20 日立化成株式会社 Cmp研磨液及びその製造方法、並びに基体の研磨方法
US8828874B2 (en) * 2011-03-28 2014-09-09 Sinmat, Inc. Chemical mechanical polishing of group III-nitride surfaces
JP5881394B2 (ja) 2011-12-06 2016-03-09 日揮触媒化成株式会社 シリカ系複合粒子およびその製造方法
US9000557B2 (en) * 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
JP2015169967A (ja) 2014-03-04 2015-09-28 株式会社リコー 情報処理システム、情報処理方法およびプログラム
JP6283939B2 (ja) 2014-03-25 2018-02-28 株式会社富士通ゼネラル 天井埋込型空気調和機
JP6352060B2 (ja) * 2014-06-06 2018-07-04 花王株式会社 酸化珪素膜研磨用研磨液組成物
WO2016115096A1 (en) * 2015-01-12 2016-07-21 Air Products And Chemicals, Inc. Composite abrasive particles for chemical mechanical planarization composition and method of use thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US20040211337A1 (en) 2001-08-20 2004-10-28 Lee In Yeon Polishing slurry comprising silica-coated ceria
JP2013133255A (ja) 2011-12-26 2013-07-08 Jgc Catalysts & Chemicals Ltd シリカ系複合粒子および該粒子を含む研磨用スラリー

Also Published As

Publication number Publication date
US20200115590A1 (en) 2020-04-16
US10669449B2 (en) 2020-06-02
IL253158B (en) 2021-04-29
CN107109136A (zh) 2017-08-29
KR20170105556A (ko) 2017-09-19
WO2016115096A1 (en) 2016-07-21
JP6581198B2 (ja) 2019-09-25
KR20190091579A (ko) 2019-08-06
JP7130608B2 (ja) 2022-09-05
JP2018506618A (ja) 2018-03-08
US20170133236A1 (en) 2017-05-11
JP2019199613A (ja) 2019-11-21
EP3245262A1 (en) 2017-11-22
US10109493B2 (en) 2018-10-23
TW201817835A (zh) 2018-05-16
CN114621686A (zh) 2022-06-14
EP3245262B1 (en) 2021-07-21
IL253158A0 (en) 2017-08-31
TW201625767A (zh) 2016-07-16
SG11201705419RA (en) 2017-07-28
US20160200944A1 (en) 2016-07-14
EP3245262A4 (en) 2018-07-04
TWI654288B (zh) 2019-03-21
US10418247B2 (en) 2019-09-17

Similar Documents

Publication Publication Date Title
KR102240249B1 (ko) 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법
JP6557273B2 (ja) 複合粒子、その精製方法及び使用
KR102493753B1 (ko) 복합 입자, 정제 방법 및 이의 용도
JP2009212378A (ja) Cmp研磨液、基板の研磨方法及び電子部品
TW201500532A (zh) Cmp用硏磨液及硏磨方法
KR20180091936A (ko) 저-k 기재의 연마 방법
KR20200132756A (ko) 강화된 결함 억제를 나타내고 산성 환경에서 실리콘 이산화물 위의 실리콘 질화물을 선택적으로 연마하는 화학 기계적 연마 조성물 및 방법
WO2021161462A1 (ja) Cmp研磨液及び研磨方法
JP4878728B2 (ja) Cmp研磨剤および基板の研磨方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2019101003863; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20191122

Effective date: 20210107

GRNO Decision to grant (after opposition)
GRNT Written decision to grant