CN107017157A - 原子层沉积方法及其结构 - Google Patents

原子层沉积方法及其结构 Download PDF

Info

Publication number
CN107017157A
CN107017157A CN201610903179.0A CN201610903179A CN107017157A CN 107017157 A CN107017157 A CN 107017157A CN 201610903179 A CN201610903179 A CN 201610903179A CN 107017157 A CN107017157 A CN 107017157A
Authority
CN
China
Prior art keywords
work function
layer
metal layer
function metal
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610903179.0A
Other languages
English (en)
Other versions
CN107017157B (zh
Inventor
李欣怡
蔡承晏
李达元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107017157A publication Critical patent/CN107017157A/zh
Application granted granted Critical
Publication of CN107017157B publication Critical patent/CN107017157B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种提供(例如,功函层的)预沉积处理以完成功函调整的方法和结构。在各个实施例中,在衬底上方形成栅极介电层,以及在栅极介电层上方沉积功函金属层。功函金属层具有第一厚度。然后,可以实施功函金属层的预处理工艺,其中,预处理工艺从功函金属层的顶面去除被氧化层以形成处理的功函金属层。处理的功函金属层具有小于第一厚度的第二厚度。在各个实施例中,在实施预处理工艺之后,在处理的功函金属层上方沉积另一金属层。本发明实施例涉及原子层沉积方法及其结构。

Description

原子层沉积方法及其结构
技术领域
本发明实施例涉及原子层沉积方法及其结构。
背景技术
电子工业经历了对更小和更快的电子器件的不断增长的需求,更小和更快的电子器件能够同时支持日益复杂和精细化的更多的功能。因此,半导体工业中的持续的趋势是,制造低成本、高性能、低功率的集成电路(IC)。到目前为止,已经通过按比例缩小半导体IC尺寸(如,最小部件尺寸)在很大程度上实现了这些目标,从而提高了生产效率并且降低了相关成本。然而,这种按比例缩小也产生了半导体制造工艺的增加的复杂程度。因此,实现半导体IC和器件的持续的进步需要半导体制造工艺和技术中的类似的进步。
例如,由于金属栅电极和高K栅极电介质已经置换传统的多晶硅栅电极和二氧化硅电介质,主要挑战之一已经是寻找具有合适的功函数值的金属电极层。为了那个目的,已经研究具有各种功函数值的各种金属电极层和它们的组合(例如,在导电带边缘附近、在价带边缘附近、或在中间间隙附近)以应用于各种器件类型(例如,2D和/或3D N型/P型FET)中。大体地,更大的注意力已经投向各种功函数调整技术。然而,在至少一些现有工艺中,给定金属层的有效功函数受到足够的调谐旋钮的缺乏的限制。一些传统的功函调整方法仅调整金属层的厚度。例如,有时可以使用更厚的金属层以致力于克服功函金属负载效应。额外地,在一些现有的工艺中,较差的金属层沉积可以造成金属层中的间隙或空隙,不利地影响器件性能。
因此,还没有证明现有技术在所有方面都完全满足要求。
发明内容
根据本发明的一些实施例,提供了一种半导体器件制造的方法,包括:在衬底上方形成栅极介电层;在所述栅极介电层上方沉积功函金属层,其中,所述功函金属层具有第一厚度;实施所述功函金属层的预处理工艺,其中,所述预处理工艺从所述功函金属层的顶面去除被氧化层以形成处理的功函金属层,并且其中,所述处理的功函金属层具有小于所述第一厚度的第二厚度;以及在实施所述预处理工艺之后,在所述处理的功函金属层上方沉积另一金属层。
根据本发明的另一些实施例,还提供了一种半导体器件制造的方法,包括:在栅极介电层上方形成功函金属层,其中,所述功函金属层具有第一厚度;氧化所述功函金属层的表面层;在处理系统的第一腔室中去除被氧化的所述表面层,从而形成减薄的功函金属层,其中,所述减薄的功函金属层具有小于所述第一厚度的第二厚度;以及当保持所述处理系统的真空条件时,在所述处理系统的第二腔室中,在所述减薄的功函金属层上方沉积随后的金属层。
根据本发明的又一些实施例,还提供了一种半导体器件,包括:衬底,包括在所述衬底上形成的栅极堆叠件,其中,所述栅极堆叠件包括:在所述衬底上方设置的栅极介电层;在所述栅极介电层上方设置的预处理的功函金属层,其中,所述预处理的功函金属层包括基于Cl前体处理层和基于F前体处理层中的至少一个;以及在所述预处理的功函金属层上方设置随后的金属层。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的实施例。应该强调的是,根据工业中的标准实践,对各种部件没有按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或缩小。
图1A是根据一些实施例的MOS晶体管的截面图;
图1B是根据本发明的一个或多个方面的FinFET器件的实施例的透视图;
图2是根据一些实施例的实施功函层的预沉积处理的方法的流程图;
图3是用于没有实施图2的预沉积处理方法处理的器件的截面透射电子显微镜(TEM)图像;
图4是根据图2的预沉积处理方法的处理的器件的截面TEM图像;以及
图5是根据一些实施例的示例性多腔室处理系统的示意性顶视图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件形成为直接接触的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以便于描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而在此使用的空间相对描述符可以同样地作相应的解释。
还应该注意,本发明以栅极堆叠件形成的方法和相关栅极堆叠件结构的方式呈现实施例,其可以用于任意多种器件类型中。例如,本发明的实施例可以用于形成栅极堆叠件,其适用于平坦的块状金属氧化物半导体场效应晶体管(MOSFET)、诸如FinFET器件的多栅极晶体管(平坦的或垂直的)、全环栅(GAA)器件、欧米茄栅极(Ω-栅极)器件、或Pi栅极(Π-栅极)器件、以及应变的半导体器件、绝缘体上硅(SOI)器件、部分耗尽SOI器件、全耗尽SOI器件、或如本领域已知的其他器件。此外,本文中公开的实施例可以用于P型和/或N型器件的形成中。受益于本发明的各方面,本领域普通技术人员可以认识到半导体器件的其他实施例。例如,如本发明描述的一些实施例还可以应用于接触件、通孔、或互连件的形成。
参照图1A的实例,在其中示出了MOS晶体管100,提供了可能包括本发明的实施例的仅一个器件类型的实例。应该理解,示例性晶体管100不意味着以任何方式限制且本领域中的那些技术人员将意识到本发明的实施例可以同等地适用于各种其他器件类型的任何一个,诸如上述的那些。晶体管100制造在衬底102上且包括栅极堆叠件104。衬底102可以是诸如硅衬底的半导体衬底。衬底102可以包括各种层,包括在衬底102上形成的导电或绝缘层。取决于本领域已知的设计要求,衬底102可以包括各种掺杂配置。衬底102还可以包括其他的半导体,诸如锗、碳化硅(SiC)、硅锗(SiGe)或金刚石。可选地,衬底102可以包括化合物半导体和/或合金半导体。此外,在一些实施例中,衬底102可以包括外延层(epi层),衬底102可以被应变以用于性能增强,该衬底102可以包括绝缘体上硅(SOI)结构和/或衬底102可具有其他合适的增强部件。
栅极堆叠件104包括栅极电介质106和设置在栅极电介质130上的栅电极108。在一些实施例中,栅极电介质106可以包括诸如氧化硅层(SiO2)或氮氧化硅(SiON)的界面层,其中,这样的界面层可以通过化学氧化、热氧化、原子层沉积(ALD)、化学汽相沉积(CVD)和/或其他合适的方法形成。在一些实例中,栅极电介质106包括诸如氧化铪(HfO2)的高k介电层。可选地,高k介电层可以包括其他的高k电介质,诸如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(SiON)、它们的组合或其他合适的材料。如本文中使用和描述的,高K栅极电介质包括具有高介电常数(例如,大于热氧化硅(~3.9)的介电常数)的介电材料。在又其他实施例中,栅极电介质106可以包括二氧化硅或其他合适的电介质。可以通过ALD、物理汽相沉积(PVD)、氧化和/或其他合适的方法来形成栅极电介质106。在一些实施例中,栅电极108可以被沉积,作为先栅极或后栅极(例如,置换栅极)工艺的部分。在各个实施例中,栅电极108包括诸如W、TiN、TaN、WN、Re、Ir、Ru、Mo、Al、Cu、Co、Ni、它们的组合、和/或其他合适的组分的导电层。在一些实例中,栅电极108可以包括用于N型晶体管的第一金属材料和用于P型晶体管的第二金属材料。因此,晶体管100可以包括双功函金属栅极配置。例如,第一金属材料(例如,用于N型器件)可以包括具有功函数的金属,该功函数基本上与衬底导电带的功函数对准,或至少基本上与晶体管100的沟道区114的导电带的功函数对准。同样地,例如,第二金属材料(例如,用于P型器件)可以包括具有功函数的金属,该功函数基本上与衬底价带的功函数对准,或至少基本上与晶体管100的沟道区114的价带的功函数对准。因此,栅电极104可以提供同时包括N型器件和P型器件的晶体管100的栅电极。在一些实施例中,栅电极108可以可选地或附加地包括多晶硅层。在各个实例中,栅电极108可以使用PVD、CVD、电子束(e束)蒸发、和/或其他合适的工艺形成。在一些实施例中,侧壁间隔件形成在栅极堆叠件104的侧壁上。这样的侧壁间隔件可以包括诸如氧化硅、氮化硅、碳化硅、氮氧化硅或它们的组合的介电材料。
晶体管100还包括每个均形成在半导体衬底102内的源极区110和漏极区112,每个源极区110和漏极区112邻近栅极堆叠件104且在栅极堆叠件104的任意一侧上。在一些实施例中,源极区110和漏极区112包括扩散的源极区/漏极区、离子注入的源极区/漏极区、外延生长区、或它们的组合。晶体管100的沟道区114被定义为栅极电介质106下方的源极区110和漏极区112之间且位于半导体衬底102内的区域。沟道区114具有相关的沟道长度“L”和相关的沟道宽度“W”。当大于晶体管100的阈值电压(Vt)(即,导通电压)的偏置电压应用于栅电极108和同时将偏置电压应用于源极区110和漏极区112之间时,电流(例如,晶体管驱动电流)穿过沟道区114在源极区110和漏极区112之间流动。为给定的偏置电压(例如,应用于栅电极108或源极区110和漏极区112之间)发展的驱动电流的数量是,除了别的之外,用于形成沟道区114的材料的迁移率的函数。在一些实例中,沟道区114包括硅(Si)和/或诸如锗的高迁移率材料、以及如本领域已知的多种化合物半导体或合金半导体的任何一种。高迁移率材料包括具有大于硅(Si)的电子和/或空穴迁移率的那些材料,硅(Si)在室温(300K)下具有约1350cm2/V-s的固有电子迁移率和约480cm2/V-s的空穴迁移率。
参照图1B,其中示出了FinFET器件150,提供了可以包括本发明的实施例的可选器件类型的实例。举例说明,FinFET器件150包括一个或多个基于鳍的多栅极场效应晶体管(FET)。FinFET器件100包括衬底152、从衬底152延伸的至少一个鳍元件154、隔离区156、以及设置在鳍元件154上和周围的栅极结构158。衬底152可以是诸如硅衬底的半导体衬底。在各个实施例中,衬底152可以与上述的衬底102基本上相同。
与衬底152类似,鳍元件154可以包括:硅或其他的元素半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP和/或GaInAsP;或它们的组合。可以使用包括光刻和蚀刻工艺的合适的工艺来制造鳍154。光刻工艺可以包括:在衬底(例如,在硅层上)上面形成光刻胶层(抗蚀剂),将光刻胶曝光至图案,实施曝光后烘烤工艺,以及显影该光刻胶以形成包括光刻胶的掩蔽元件。在一些实施例中,可以使用电子束(e束)光刻工艺来实施图案化光刻胶,以形成掩蔽元件。然后,掩蔽元件可以用于当蚀刻工艺在硅层内形成凹槽时保护衬底的区域,从而留下延伸的鳍154。可以使用干蚀刻(例如,化学氧化物去除)、湿蚀刻和/或其他合适的工艺来蚀刻凹槽。也可以使用在衬底152上形成鳍154的方法的许多其他的实施例。
多个鳍154的每个还包括源极区155和漏极区157,其中,源极/漏极区155、157形成在鳍154中、上和/或周围。源极/漏极区155、157可以在鳍154上方外延生成。此外,晶体管的沟道区设置在鳍154内、栅极结构158下面、沿着基本上平行于由图1B的截面AA'限定的平面的平面。在一些实例中,鳍的沟道区包括如上所述的高迁移率材料。
隔离区156可以是浅沟槽隔离(STI)部件。可选地,可以在衬底152上和/或内执行场氧化物、LOCOS部件和/或其他合适的隔离部件。隔离区156可以由氧化硅、氮化硅、氮氧化硅、氟掺杂的硅酸盐玻璃(FSG)、低k电介质、它们的组合、和/或本领域已知的其他合适的材料组成。在实施例中,隔离结构是STI部件且通过在衬底152中蚀刻沟槽形成。随后,可以用隔离材料填充沟槽,接着是化学机械抛光(CMP)工艺。然而,其他的实施例也是可能的。在一些实施例中,隔离区156可以包括多层结构,例如,具有一个或多个衬垫层。
栅极结构158包括栅极堆叠件,栅极堆叠件具有在鳍154的沟道区上方形成的界面层160、在界面层160上方形成的栅极介电层162、以及在栅极介电层162上方形成的金属层164。在各个实施例中,界面层160与描述为栅极电介质106的部分的界面层基本上相同。在一些实施例中,栅极介电层162与栅极电介质106基本上相同且可以包括类似于用于栅极电介质106的高k电介质的高k电介质。相似地,在各个实施例中,金属层164与上述的栅电极108基本上相同。在一些实施例中,侧壁间隔件形成在栅极结构158的侧壁上。侧壁间隔件130可以包括诸如氧化硅、氮化硅、碳化硅、氮氧化硅或它们的组合的介电材料。
本发明的实施例提供了优于现有技术的优势,但是应该理解,其他的实施例可以提供不同的优势,本文中没有必要讨论所有的优势,并且没有要求所有的实施例都具有特定的优势。例如,本文讨论的实施例包括针对(例如,功函层)预沉积处理的方法和结构,例如,在随后的原子层沉积(ALD)工艺(例如,随后ALD金属层沉积)之前减小功函层的厚度以及从而形成结构的厚度。在一些实施例中,可以原位实施预沉积处理(预处理)和随后的ALD工艺。在一些情况中,预处理和随后的ALD金属层沉积工艺可以非顺序地和原位实施。如本文中使用的,术语“原位”用于描述实施工艺的同时,器件或衬底保留在处理系统(例如,包括装载锁室、转移腔室、处理腔室、或任何其他流控联接腔室)中,且其中,例如,处理系统允许衬底保留在真空条件下。这样,术语“原位”还可大体地用于指示其中被处理的器件或衬底不暴露于外部环境(例如,位于处理系统之外)的工艺。此外,尽管本发明的实施例可以主要参照N型晶体管讨论,应该理解,本文中公开的实施例可以同等地应用于P型晶体管,其中,这样的执行可以很容易地被本领域的技术人员推断出,例如,通过与下面讨论的N型器件实施方式对称。
本发明的至少一些实施例针对用于使用10纳米或7纳米工艺技术制造的器件的功函调整,尽管应该理解,本文中公开的实施例可以同样应用于其他工艺技术而不背离本发明的范围。此外,本发明的实施例可以同时用于缓解间隙填充影响(例如,通过使用ALD处理)。如本文使用的,术语“间隙填充影响”可以用于描述栅极金属填充的挑战(例如,在置换金属栅极工艺中)。在一些现有的工艺中,较差的金属栅极沉积可以造成金属栅极中的间隙或空隙,不利地影响器件性能。本发明的实施例,有利地使用用于金属栅极层沉积的ALD处理,提供可以基本上没有空隙的高质量、共形的金属栅极层,并且从而有效地减轻与间隙填充影响相关的潜在问题。额外地,在至少一些现有的工艺中(例如,一些10或7纳米工艺),有效功函受到缺乏足够的调谐旋钮的限制。因此,需要有效的功函调谐旋钮以进一步增强器件性能,例如,超过层厚度调整的传统功函调整方法。例如,在至少一个现有工艺中(例如,利用用于栅极堆叠件沉积的ALD),可以通过沉积更多ALD周期以减小金属表面负载效应来实现功函调整。
如上所述,本发明的实施例针对一种(例如,功函层的)预沉积处理和随后的ALD工艺,以及从而形成的结构。如此处使用的,术语“预沉积处理”可以与术语“预处理”、“预沉积浸泡”、或“预浸泡”互换。因此,本文中公开的各个实施例是基于通过使用基于氯[Cl]和/或氟[F]的金属前体预浸泡或预处理工艺来清洗被氧化的表面(例如,功函层的表面,其中,ALD金属膜将随后地沉积在功函层上)。通过采用该预浸泡/预处理工艺,随后沉积的ALD金属膜的功函数将不取决于变化的衬底表面或下面的衬底(例如,诸如,下面的具有氧化层的功函层)的质量,从而导致改善的器件阈值电压(Vt),变化的衬底表面或下面的衬底的质量可以减小ALD金属膜的生长速率(并且因此减小ALD金属膜的厚度)。因此,本发明的至少一些优势包括在诸如集群工具(例如,其中腔室集群在一起的ALD集群工具)的处理系统中一起/顺序地(例如,原位)实施的适合的下一金属层(例如,另一功函层、阻挡层、覆盖层或其他合适的下一金属层)的沉积之前,通过使用基于[Cl]和/或[F]的金属前体预浸泡工艺以处理功函设定层(例如N型功函层)来改善器件Vt。的确,在一些实施例中,分离的(例如,功函层的)非原位预处理和接下来的下一金属层的沉积也可以提供一些优势(例如,阈值电压改善)。
如下所述,本文中公开的基于[Cl]和/或[F]的金属前体预处理工艺可以不仅用于清洗(例如,下面的功函金属层的)表面氧化物层而且本文公开的实施例还可以在下一金属层的沉积之前,有利地使用预处理工艺以减小下面的功函金属层的厚度,从而改善Vt。举例说明,在一些实施例中,例如功函层的功函数值取决于功函层的厚度。因此,功函层的厚度的减少可以改变功函层的功函数的值,并且从而改变(改善)阈值电压(Vt)的值。应该理解,如本文中使用的术语“减小厚度”可以用于描述一个工艺,通过该工艺,金属层(具有第一总厚度,其中,第一层厚度小于第一总厚度)的第一层(具有第一层厚度)变为被氧化,且其中,去除被氧化的第一层(例如,通过本文描述的预处理工艺),从而金属层具有小于第一总厚度的第二总厚度(在去除被氧化的第一层之后)。
用另一种方式说,根据通过使用基于[Cl]/[F]的金属前体预浸泡以清理ALD金属膜表面的氧化表面的游离氧化物,ALD金属膜的下一功函数将不取决于不同的衬底以减小它们的厚度增长速率并且还改善了Vt。Vt将通过使用基于[Cl]/[F]的金属前体预浸泡工艺和集群在一起的N金属功函层腔室而改善。
现在参照图2,示出的是根据一些实施例的在随后的ALD工艺之前,实施例如,用于减小功函层的厚度的(例如,功函层的)预沉积处理的方法200。方法200可以在诸如以上参照图1A描述的示例性晶体管100的单栅极平坦器件上实施,以及在诸如以上参照图1B描述的FinFET器件150的多栅极器件上实施。因此,以上参照晶体管100和/或FinFET 150讨论的一个或多个方面也可以应用于方法200。的确,在各个实施例中,该方法200可以在其他器件上执行,诸如,全环栅(GAA)器件、欧米茄栅极(Ω-栅极)器件、或Pi栅极(Π-栅极)器件、以及应变-半导体器件、绝缘体上硅(SOI)器件、部分耗尽SOI器件、全耗尽SOI器件、或如本领域已知的其他器件。
应该理解,方法200和/或参照方法200讨论的示例性晶体管器件的任意一个的部分可以通过已知的互补金属氧化物半导体(CMOS)技术工艺流程来制造,并且因此本文中仅简要描述一些工艺。此外,应该理解,本文中讨论的任何示例性晶体管器件可以包括各个其他器件和部件,诸如附加晶体管、双极结型晶体管、电阻器、电容器、二极管、熔丝等,但是它们被简化了以便更好地理解本发明的发明概念。此外,在一些实施例中,本文中公开的示例性晶体管器件可以包括可以互连的多个半导体器件(例如,晶体管)。此外,在一些实施例中,本发明的各个方面可以适用于后栅极工艺或先栅极工艺中的任一种。
此外,在一些实施例中,本文中示出的示例性晶体管器件可以包括在处理的中间阶段描述的器件,该器件可以在集成电路或其部分的处理期间被制造并且可以包括静态随机存取存储器(SRAM)和/或其他逻辑电路,诸如电阻器、电容器和电感器的无源组件,以及诸如P沟道场效应晶体管(PFET)、N沟道FET(NFET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、其他存储单元和它们的组合的有源组件。
首先参照方法200,该方法200提供了根据一些实施例的用于在随后的ALD工艺之前实施例如(例如,功函层的)预沉积处理的一般工艺,预沉积处理用于减小功函层的厚度。根据本发明的实施例的工艺和示例性相关结构的附加细节在下面参照图3和图4的实例更详细地讨论。该方法200开始于框202,其中,在衬底202上方形成界面层,其中,形成的界面层可以基本上类似于上述作为栅极电介质106的部分的界面层。该方法200继续进行框204,其中,在界面层上形成高K介电层,其中,高K介电层可以基本上类似于上述作为栅极电介质106的部分的高K介电层。在一些情况下,在高K介电层上方可形成高K覆盖层。
在形成高K介电层之后,然后,该方法200继续进行框206,其中,在高K介电层上方沉积功函金属。在一些实施例中,功函金属是以上参照图1A所述的栅电极108的部分。可选地,在一些实施例中,功函金属是以上参照图1B所述的金属层164的部分。如在本文中使用的,术语“功函金属”用于描述用来设定栅电极108的功函数的值的层。在各个实施例中,功函金属可以包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi、TiAlN、它们的组合或其他适合的金属。因此,在一些实施例中,在高K介电层上方沉积的功函金属层可以包括配置为设定栅电极108的功函数的值的多个层。在各个实例中,功函金属可以使用ALD形成。举例说明,功函金属可以在从约200℃至600℃的温度下由ALD沉积。在一些状况下,功函金属可以可选地使用PVD、CVD、电子束(e束)蒸发、和/或其他合适的工艺形成。在一些实施例中,沉积的功函金属(例如,通过ALD沉积)的表面可以氧化(例如,由于在沉积功函金属之后曝露于外部环境),从而在功函金属层上形成ALD金属氧化表面。
在至少一些现有的工艺,功函金属的氧化(ALD金属氧化表面的形成)可以导致后续沉积在被氧化的功函金属上的金属层的负载效应。如在本文中使用的,术语“负载效应”用于描述由于功函金属被氧化,使得沉积至被氧化的功函金属上的金属层的特征/属性的不期望的改变。本发明的实施例在随后的金属层的沉积之前提供功函金属的被氧化层的去除(或大量去除),从而保留随后沉积的金属层的期望的特征/属性。在一些情况中,同时且由于去除功函金属的被氧化层,在下一金属层的沉积之前,预处理工艺可以用于减小下面的功函金属层的厚度。
然后,方法200继续进行框208,其中实施功函金属的预处理工艺以减小功函金属的厚度。在框208的实施例中,在功函金属上方沉积后续金属膜之前,可以通过包括基于Cl或基于F的金属前体的预处理工艺处理ALD金属氧化表面来去除ALD金属氧化表面(例如,功函金属的氧化层),且减小功函金属的厚度。在一些实施例中,基于Cl或基于F金属前体包括TiClx、TaClx、TiFx、HfClx、WFx或WClx,其中,‘x’等于约1至6。在各个实施例中,预处理工艺和接下来的金属膜沉积是在例如,诸如ALD集群工具的处理系统内实施的原位工艺。举例说明,且在预处理工艺期间,基于Cl或基于F金属前体可以在例如约300℃至1000℃的预处理处理温度下和约100标准立方厘米每分钟(sccm)至8000sccm的流速下被引入处理系统(例如,其中处理腔室容纳具有ALD金属氧化表面的衬底)的处理腔室内。在各个实例中,选择预处理处理条件(例如,包括基于Cl或基于F金属前体、预处理温度、以及基于Cl或基于F金属前体的流速的选择)以诱发基于Cl或基于F金属前体与ALD金属氧化表面之间的热化学反应,从而由于预处理工艺,去除或清理功函金属的被氧化层,从而留下未被氧化的、干净的功函金属表面。此外,在一些实施例中且又由于预处理工艺,减小了功函金属(或由预处理工艺处理的其他金属)的厚度。的确,在一些实施例中,分离的(例如,功函层的)非原位预处理和接下来的下一金属层的沉积也可以提供一些优势(例如,阈值电压改善)。
在预处理工艺之后,然后方法200继续进行框210,其中,在预处理的、薄的功函金属层上方沉积下一(随后的)金属层。在一些实施例中,下一金属层包括可以由ALD沉积的N-金属层(例如,诸如TiAlC)。在一些情况中,TiN可以可选地沉积在N-金属层上方,且这样的TiN层还可以由ALD沉积。此外,在一些实例中,在沉积N-金属层之后,可以顺序地原位沉积这样的TiN层。举例说明,且在一些实施例中,下一金属层(和可选地随后沉积的TiN层)还可以是以上参照图1A描述的栅电极108的部分。可选地,在一些实施例中,下一金属层还可以是以上参照图1B所述的金属层164的部分。可选地,在一些实施例中,在预处理的功函金属层上方沉积的下一金属层可以包括一个或多个其他层(例如,除了以上所述的那些)。在一些情况中,在预处理功函层上方沉积的下一金属层和任意其他层还可以用于设定栅电极108的功函数的值。特别注意的是,由于在预处理工艺期间清洗/去除功函金属的被氧化层,如上所述,下一金属层可以沉积至未被氧化的、干净的功函金属表面上。这样,保留了沉积的下一金属层的期望的特征/属性,因为该下一金属层未受到下面的功函金属的负载效应(例如,由于被氧化层)。作为进一步结果,本发明的实施方式导致具有改善的阈值电压和改善的器件可靠性的器件(例如,晶体管100)。此外,如上所述,预处理工艺还可以减小功函金属(或通过预处理工艺处理的其他金属)的厚度。换句话说,当使用基本上相同数量的ALD生长周期来沉积N-金属层时,通过实施如本文中描述的预处理工艺,可以减小功函层的厚度,且随后沉积的N金属层可以更厚(例如比在未预处理的功函层上沉积的N金属层)。通常地,实施如本文中描述的预处理工艺,可以导致功函层的厚度的减小且可以改变功函层的功函数的值,以及进而改变(改善)阈值电压(Vt)的值。
根据方法200制造的器件(例如,晶体管)可以进一步经受处理以形成本领域已知的各种部件和区域。例如,随后的处理可以在包括器件的衬底上形成各种接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),接触件/通孔/线和多层互连部件配置为连接各种部件以形成可以包括一个或多个器件(例如,一个或多个晶体管100)的功能电路。在又一实例中,多层互连件可以包括诸如通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各种互连部件可以使用包括铜、钨和/或硅化物的各种导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成铜相关的多层互连结构。此外,可以在方法200之前、期间和之后实施附加的工艺步骤,并且根据方法200的各个实施例,可以替换或消除以上描述的一些工艺步骤。作为另一实例,在各个实施例中,方法200可以应用于形成可用于N型超低阈值电压(N-uLVT)器件的栅极堆叠件或应用于形成可用于N形成标准阈值电压(N-SVT)器件的栅极堆叠件。
现在参照图3和图4,其中示出了根据本文中公开的实施例的用于没有实施预处理工艺(图3)处理的器件和使用预处理工艺(图4)处理的器件的截面透射电子显微镜(TEM)图像。应该注意,图3和图4示出了堆叠层(例如,用于金属栅极堆叠件)的示例性组合物。提供这些示例性组合物仅以便于参考而不旨在限制超过具体要求保护的内容。在本发明的范围内的各种组合物可以包括(例如,在单个栅极堆叠件中)一个或多个功函层、一个或多个阻挡层、一个或多个覆盖层、一个或多个金属填充层、一个或多个多晶硅层、一个或多个硅化物层、一个或多个掺杂的层、或其他合适的层,包括和/或超过本文中具体提到过的,以及可以配置为给定技术或应用必要或需要的那些层。可选地,在一些实施例中,对于给定的栅极堆叠件,本文中描述的预处理工艺和随后的金属层沉积工艺可以重复多次。在一些实例中,在沉积随后的金属层之前,可以多次重复预处理工艺以处理特定的金属层(例如,特定的金属层)。
为了清楚地讨论,应该注意,晶体管阈值电压(Vt)和平带电压(Vfb)通常可以表示为:
从Vt和Vfb的这两个表达式,很明显Vt受到平带电压中的变化的影响,以及平带电压取决于功函数φms
首先参照图3的示例性组合物,其中示出了包括TaN层上方的TiAlC层的结构。在一些情况中,TaN层可以是上述的功函层,TiAlC层可以是上述N金属层。在各个实例中,TaN层和TiAlC层可以是以上分别参照图1A和图1B所述的栅电极108或金属层164的部分。所图所示,且在一些实施例中,TaN层可以具有约16埃的厚度且TiAlC可以具有约42埃的厚度。应该注意,对于图3的示例性结构,尚未实施如本文中描述的预处理工艺。因此,例如,在TaN层的沉积之后,TiAlC可以直接沉积在TaN层上方而不实施预处理工艺。应该注意,如上所述,TaN层的功函数值取决于TaN层的厚度。因此,图3的示例性结构的功函数的值将至少部分地基于TaN层的厚度,其转而将至少部分地确定平带电压(Vfb),其转而将至少部分地确定包括图3的示例性结构的器件的阈值电压。
接下来参照图4的示例性组合物,其中示出了类似于图3的示例性结构的包括TaN层上方的TiAlC层的结构。在各个实例中,TaN层和TiAlC层可以是以上分别参照图1A和图1B所述的栅电极108或金属层164的部分。与图3的实例对照,对于图4的示例性结构,如本文中描述的,在TiAlC层的沉积之前,实施预处理工艺以处理高K(HK)/金属栅极(MG)堆叠件的TaN层(例如,功函层)。在各个实施例中,处理TaN层实施的预处理工艺可以包括非原位或原位预处理工艺。在图4的实例中,应用于TaN层的预处理工艺可以包括WClx气体处理。然而,如上所述,其他基于[Cl]和/或氟[F]的金属前体可以用于实施本文中描述的预处理工艺。例如,在一些实施例中,基于Cl或基于F金属前体可以包括TiClx、TaClx、TiFx、HfClx、WFx或WClx,其中,‘x’等于约1至6。由于预处理工艺,可以去除TaN层的部分(之前可能已经被氧化的)从而减小TaN层的厚度(例如,减小至约8埃至10埃)。在一些实施例中,可以(例如,通过ALD)顺序地沉积TiAlC,且在一些情况中,在实施TaN层的预处理之后可以原位地沉积TiAlC。在一些实施例中,沉积的TiAlC层可以因此比图3中的不包括处理工艺的实例厚。此外,在各种实施例中,图3和图4的示例性结构的TiAlC层的ALD生长周期的数量可以基本上相同。换句话说,通过实施如本文中描述的预处理工艺,可以减小TaN层的厚度,且随后沉积的TiAlC层可以(例如比在未预处理的情况)更厚,尽管使用基本上相同数量的ALD生长周期来沉积TiAlC层。如上所示,TaN层的功函数值取决于TaN层的厚度。因此,至少部分地基于TaN层的减小的厚度,图4的示例性结构的功函数的值将不同于图3的示例性结构的功函数至,其转而将至少部分地影响平带电压(Vfb)和包括图4的示例性结构的器件的阈值电压。通常地,实施如本文中描述的预处理工艺,可以导致功函层的厚度的减小且可以改变功函层的功函数的值,以及进而改变(改善)阈值电压(Vt)的值。
现在参照图5,其中示出了示例性多腔室处理系统500的示意性顶视图。在一些实施例中,系统500可以等效地称为“集群工具”。系统500可以大体地包括装载锁室502、504、晶圆处理腔室506、以及多个处理腔室1至6。在各个实施例中,装载锁室502、504提供衬底至系统500中的转移和衬底自系统500离开的转移。在各个实施例中,系统500在真空下且装载锁室502、504可以对引入系统500的衬底“抽真空(pump down)”(例如,通过机械泵和/或涡轮分子泵)。在一些实施例中,装载锁室502、504可以适于接收单个晶圆或多个晶圆(例如,装载至盒中)。举例说明,装载锁室502、504可以通过栅极阀与晶圆处理腔室506分离,以当装载锁室502、504中的一个或两个排气时,允许晶圆输送腔室506保持在真空下。
在各种实施例中,晶圆处理腔室506配备有可以沿着横轴、纵轴和/或旋转轴的任意一个平滑移动的自动机械臂从而在装载锁室502、504和衬底处理腔室1至6的任意一个之间转移衬底。处理腔室1-6的每个可以配置为实施许多衬底处理操作,诸如原子层沉积(ALD)、CVD、PVD、蚀刻、预处理/预浸泡、除气、退火、以及诸如XPS分析、AFM分析和/或其他合适的处理或计量操作的许多计量操作。在各个实施例中,系统500可以具有更多或更少的处理腔室,例如,如为了由系统500实施的特定工艺必要时。
本文中描述的各个实施例提供了优于现有技术的若干优势。将理解,不是所有优势都是本文中必须讨论的,没有特定优势对于所有实施例都是需要的,并且其他实施例可以提供不同的优势。作为一个实例,本文讨论的实施例包括针对(例如,功函层的)预沉积处理的方法和结构,例如,在随后的预处理层上方的原子层沉积(ALD)工艺(例如,随后的ALD金属层沉积)之前减小功函层的厚度。此外,本发明的实施例可以被有效地利用以完成功函调整。例如,在各个实施例中,功函层的厚度的减少可以改变功函层的功函数的值,并且从而改变(改善)阈值电压(Vt)的值。在各个实施例中,预沉积处理工艺(预处理工艺)包括通过使用基于氯[Cl]和/或氟[F]的金属前体预浸泡或预处理工艺处理(例如,功函层的)被氧化表面。本文中描述的预处理工艺可以有效地减轻(例如,功函层的)金属表面负载效应,从而导致改善的器件阈值电压(Vt)。因此,本发明的至少一些优势包括在沉积适合的下一金属层(例如,另一功函层、阻挡层、覆盖层或其他合适的下一金属层)之前,通过使用基于[Cl]和/或[F]的金属前体预浸泡工艺以处理(和减薄)功函设定层(例如,N型功函层)来改善器件Vt,沉积适合的下一金属层可以在诸如集群工具(例如,其中腔室集群在一起的ALD集群工具)的处理系统中可以一起/顺序地(例如,原位)实施。如上所述,本发明的实施例还可以同时用于缓解间隙填充影响(例如,通过使用ALD处理)。的确,在一些实施例中,分离的(例如,功函层的)非原位预处理和接下来的下一金属层的沉积也可以提供一些优势(例如,阈值电压改善)。
因此,本发明的一个实施例描述了一种用于制造半导体器件的方法,其中,该方法包括在衬底上方形成栅极介电层以及在栅极介电层上方沉积功函金属层。在各个实例中,功函金属层具有第一厚度。之后,实施功函金属层的预处理工艺,其中,预处理工艺从功函金属层的顶面去除被氧化层以形成处理的功函金属层。举例说明,处理的功函金属层具有小于第一厚度的第二厚度。在各个实施例中,在实施预处理工艺之后,在处理的功函金属层上方沉积另一金属层。
在另一实施例中,讨论的是其中在栅极介电层上方形成功函金属层,且其中,功函金属层具有第一厚度。在一些实例中,功函金属层的表面层被氧化(例如,通过暴露于外部大气)。在一些实施例中,可以在处理系统的第一腔室中去除被氧化表面层,从而形成减薄的功函金属层。在一些情况中,减薄的功函金属层具有小于第一厚度的第二厚度。之后,当保持处理系统的真空条件时,在处理系统的第二腔室中,在减薄的功函金属层上方沉积随后的金属层。
在又另一实施例中,讨论的是一种包括具有其上形成的栅极堆叠件的衬底的器件。举例说明,该器件进一步包括在衬底上方设置的栅极介电层、在栅极介电层上方设置的预处理的功函金属层、以及在预处理的功函金属层上方设置随后的金属层。在各个实施例中,预处理的功函层包括基于Cl的前体处理层和基于F的前体处理层中的至少一个。
根据本发明的一些实施例,提供了一种半导体器件制造的方法,包括:在衬底上方形成栅极介电层;在所述栅极介电层上方沉积功函金属层,其中,所述功函金属层具有第一厚度;实施所述功函金属层的预处理工艺,其中,所述预处理工艺从所述功函金属层的顶面去除被氧化层以形成处理的功函金属层,并且其中,所述处理的功函金属层具有小于所述第一厚度的第二厚度;以及在实施所述预处理工艺之后,在所述处理的功函金属层上方沉积另一金属层。
在上述方法中,在所述预处理工艺之后,顺序地实施另一金属层的沉积,同时将所述衬底保持在真空条件下。
在上述方法中,所述预处理工艺包括选自由TiClx、TaClx、TiFx、HfClx、WFx、和WClx组成的组中的前体,其中,x在1至6之间。
在上述方法中,所述功函金属层包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi和TiAlN中的至少一个。
在上述方法中,通过原子层沉积来沉积所述功函金属层和所述另一金属层。
在上述方法中,所述另一金属层包括TiAlC层。
在上述方法中,还包括:在所述TiAlC层上方沉积TiN层。
在上述方法中,所述预处理工艺移动所述功函金属层的带边缘。
根据本发明的另一些实施例,还提供了一种半导体器件制造的方法,包括:在栅极介电层上方形成功函金属层,其中,所述功函金属层具有第一厚度;氧化所述功函金属层的表面层;在处理系统的第一腔室中去除被氧化的所述表面层,从而形成减薄的功函金属层,其中,所述减薄的功函金属层具有小于所述第一厚度的第二厚度;以及当保持所述处理系统的真空条件时,在所述处理系统的第二腔室中,在所述减薄的功函金属层上方沉积随后的金属层。
在上述方法中,去除被氧化的所述表面层还包括通过被氧化的所述表面层和选自由TiClx、TaClx、TiFx、HfClx、WFx和WClx组成的组中的前体之间的热化学反应去除被氧化的所述表面层,其中,x在1至6之间。
在上述方法中,所述功函金属层包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi和TiAlN中的至少一个。
在上述方法中,在从200摄氏度至600摄氏度的温度下,沉积所述功函金属层。
在上述方法中,在从300摄氏度至1000摄氏度的温度下实施所述热化学反应,并且其中,所述前体的流速在100sccm和8000sccm之间。
在上述方法中,所述功函金属层包括N型功函金属层。
在上述方法中,去除被氧化的所述表面层移动所述N型功函金属层的导电带边缘。
根据本发明的又一些实施例,还提供了一种半导体器件,包括:衬底,包括在所述衬底上形成的栅极堆叠件,其中,所述栅极堆叠件包括:在所述衬底上方设置的栅极介电层;在所述栅极介电层上方设置的预处理的功函金属层,其中,所述预处理的功函金属层包括基于Cl前体处理层和基于F前体处理层中的至少一个;以及在所述预处理的功函金属层上方设置随后的金属层。
在上述器件中,所述预处理的功函金属层包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi和TiAlN中的至少一个。
在上述器件中,所述随后的金属层没有空隙。
在上述器件中,所述随后的金属层包括另一功函金属层、阻挡层、覆盖层、或填充层。
在上述器件中,所述随后的金属层包括TiAlC层。
上面概述了若干实施例的部件、使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实现与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围、并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种半导体器件制造的方法,包括:
在衬底上方形成栅极介电层;
在所述栅极介电层上方沉积功函金属层,其中,所述功函金属层具有第一厚度;
实施所述功函金属层的预处理工艺,其中,所述预处理工艺从所述功函金属层的顶面去除被氧化层以形成处理的功函金属层,并且其中,所述处理的功函金属层具有小于所述第一厚度的第二厚度;以及
在实施所述预处理工艺之后,在所述处理的功函金属层上方沉积另一金属层。
2.根据权利要求1所述的方法,其中,在所述预处理工艺之后,顺序地实施另一金属层的沉积,同时将所述衬底保持在真空条件下。
3.根据权利要求1所述的方法,其中,所述预处理工艺包括选自由TiClx、TaClx、TiFx、HfClx、WFx、和WClx组成的组中的前体,其中,x在1至6之间。
4.根据权利要求1所述的方法,其中,所述功函金属层包括TiN、TaN、TiAlC、TiAl、TiSiN、TaSi和TiAlN中的至少一个。
5.根据权利要求1所述的方法,其中,通过原子层沉积来沉积所述功函金属层和所述另一金属层。
6.根据权利要求1所述的方法,其中,所述另一金属层包括TiAlC层。
7.根据权利要求6所述的方法,还包括:在所述TiAlC层上方沉积TiN层。
8.根据权利要求1所述的方法,其中,所述预处理工艺移动所述功函金属层的带边缘。
9.一种半导体器件制造的方法,包括:
在栅极介电层上方形成功函金属层,其中,所述功函金属层具有第一厚度;
氧化所述功函金属层的表面层;
在处理系统的第一腔室中去除被氧化的所述表面层,从而形成减薄的功函金属层,其中,所述减薄的功函金属层具有小于所述第一厚度的第二厚度;以及
当保持所述处理系统的真空条件时,在所述处理系统的第二腔室中,在所述减薄的功函金属层上方沉积随后的金属层。
10.一种半导体器件,包括:
衬底,包括在所述衬底上形成的栅极堆叠件,其中,所述栅极堆叠件包括:
在所述衬底上方设置的栅极介电层;
在所述栅极介电层上方设置的预处理的功函金属层,其中,所述预处理的功函金属层包括基于Cl前体处理层和基于F前体处理层中的至少一个;以及
在所述预处理的功函金属层上方设置随后的金属层。
CN201610903179.0A 2015-10-20 2016-10-17 原子层沉积方法及其结构 Active CN107017157B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562244103P 2015-10-20 2015-10-20
US62/244,103 2015-10-20
US15/178,150 US9972694B2 (en) 2015-10-20 2016-06-09 Atomic layer deposition methods and structures thereof
US15/178,150 2016-06-09

Publications (2)

Publication Number Publication Date
CN107017157A true CN107017157A (zh) 2017-08-04
CN107017157B CN107017157B (zh) 2020-04-07

Family

ID=58524363

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610903179.0A Active CN107017157B (zh) 2015-10-20 2016-10-17 原子层沉积方法及其结构

Country Status (4)

Country Link
US (3) US9972694B2 (zh)
KR (2) KR20170046081A (zh)
CN (1) CN107017157B (zh)
TW (1) TWI644349B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113314523A (zh) * 2020-02-26 2021-08-27 台湾积体电路制造股份有限公司 半导体器件和制造半导体器件的方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
US10396076B2 (en) * 2017-03-21 2019-08-27 International Business Machines Corporation Structure and method for multiple threshold voltage definition in advanced CMOS device technology
US10529833B2 (en) * 2017-08-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with a fin and gate structure and method making the same
KR102295721B1 (ko) 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10790196B2 (en) 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
US10510874B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
KR102495082B1 (ko) 2018-06-12 2023-02-01 삼성전자주식회사 반도체 장치
US11282938B2 (en) * 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
US10770563B2 (en) 2018-10-24 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and patterning method for multiple threshold voltages
US11257921B2 (en) * 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11289578B2 (en) 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
US11387344B2 (en) * 2020-02-27 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device having a doped work-function layer
US11488873B2 (en) * 2020-06-22 2022-11-01 Taiwan Semiconductor Manufacturing Company Metal gates and methods of forming thereby
US11855163B2 (en) * 2020-06-23 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11359282B2 (en) 2020-08-12 2022-06-14 Applied Materials, Inc. Methods for forming impurity free metal alloy films
US20220081759A1 (en) * 2020-09-17 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for manufacturing metal gate structures

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1286495A (zh) * 1999-07-22 2001-03-07 国际商业机器公司 具有可独立调节参数的晶体管的结构与工艺集成
KR20080002602A (ko) * 2006-06-30 2008-01-04 주식회사 하이닉스반도체 듀얼 게이트를 구비하는 반도체 소자의 게이트 형성방법
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
CN101779275A (zh) * 2007-07-25 2010-07-14 3M创新有限公司 用氟化组合物移除杂质的方法
CN102104061A (zh) * 2009-12-21 2011-06-22 台湾积体电路制造股份有限公司 用于场效应晶体管的栅极电极以及场效应晶体管
US20110163452A1 (en) * 2010-01-07 2011-07-07 Hitachi Kokusai Electric Inc. Semiconductor device, method of manufacturing semiconductor device, and substrate processing apparatus
CN102365695A (zh) * 2009-03-26 2012-02-29 班戈大学 染料敏化太阳能电池的低温烧结

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US20020137345A1 (en) * 2001-01-10 2002-09-26 Yue Cheisan J. Gate resistance reduction
US6599831B1 (en) * 2002-04-30 2003-07-29 Advanced Micro Devices, Inc. Metal gate electrode using silicidation and method of formation thereof
FR2856514A1 (fr) * 2003-06-20 2004-12-24 St Microelectronics Sa Procede de formation selective de siliciure sur une plaque de materiau semi-conducteur
DE10335101B4 (de) * 2003-07-31 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Polysiliziumleitung mit einem Metallsilizidgebiet, das eine Linienbreitenreduzierung ermöglicht
US6929992B1 (en) * 2003-12-17 2005-08-16 Advanced Micro Devices, Inc. Strained silicon MOSFETs having NMOS gates with work functions for compensating NMOS threshold voltage shift
DE102009055392B4 (de) * 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
TWI536451B (zh) 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
GB2480280A (en) * 2010-05-11 2011-11-16 Univ Bangor Ultar-Low Temperature sintering of dye-sensitised solar cells
US9166020B2 (en) * 2011-03-01 2015-10-20 United Microelectronics Corp. Metal gate structure and manufacturing method thereof
JP2012231123A (ja) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc 半導体装置、半導体装置の製造方法、基板処理システムおよびプログラム
US20120319179A1 (en) * 2011-06-16 2012-12-20 Hsin-Fu Huang Metal gate and fabrication method thereof
US8802579B2 (en) * 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9190409B2 (en) 2013-02-25 2015-11-17 Renesas Electronics Corporation Replacement metal gate transistor with controlled threshold voltage
US9263275B2 (en) * 2013-03-12 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interface for metal gate integration
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1286495A (zh) * 1999-07-22 2001-03-07 国际商业机器公司 具有可独立调节参数的晶体管的结构与工艺集成
KR20080002602A (ko) * 2006-06-30 2008-01-04 주식회사 하이닉스반도체 듀얼 게이트를 구비하는 반도체 소자의 게이트 형성방법
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
CN101779275A (zh) * 2007-07-25 2010-07-14 3M创新有限公司 用氟化组合物移除杂质的方法
CN102365695A (zh) * 2009-03-26 2012-02-29 班戈大学 染料敏化太阳能电池的低温烧结
CN102104061A (zh) * 2009-12-21 2011-06-22 台湾积体电路制造股份有限公司 用于场效应晶体管的栅极电极以及场效应晶体管
US20110163452A1 (en) * 2010-01-07 2011-07-07 Hitachi Kokusai Electric Inc. Semiconductor device, method of manufacturing semiconductor device, and substrate processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113314523A (zh) * 2020-02-26 2021-08-27 台湾积体电路制造股份有限公司 半导体器件和制造半导体器件的方法

Also Published As

Publication number Publication date
US20180261678A1 (en) 2018-09-13
KR20170046081A (ko) 2017-04-28
US10923576B2 (en) 2021-02-16
US20170110552A1 (en) 2017-04-20
US20200161443A1 (en) 2020-05-21
CN107017157B (zh) 2020-04-07
US9972694B2 (en) 2018-05-15
KR102195673B1 (ko) 2020-12-29
TWI644349B (zh) 2018-12-11
KR20190132615A (ko) 2019-11-28
US10658488B2 (en) 2020-05-19
TW201715590A (zh) 2017-05-01

Similar Documents

Publication Publication Date Title
US10923576B2 (en) Atomic layer deposition methods and structures thereof
KR101928147B1 (ko) 원자층 성막 방법 및 그 구조체
KR102141213B1 (ko) 개선된 신뢰성 성능을 갖는 네거티브 커패시턴스 fet
US10854725B2 (en) Atomic layer deposition methods and structures thereof
CN110783270B (zh) 半导体装置与半导体结构的形成方法、以及半导体装置
CN110875179B (zh) 金属层的形成和原位蚀刻工艺
US20230369450A1 (en) Gate structure and methods thereof
CN109216177B (zh) 栅极结构及其方法
TWI611516B (zh) 形成具有不同閾値電壓的半導體裝置的方法
US11990522B2 (en) Effective work function tuning via silicide induced interface dipole modulation for metal gates
US20230106314A1 (en) Methods for pre-deposition treatment of a work-function metal layer
US20230162983A1 (en) Semiconductor devices with metal intercalated high-k capping

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant