CN106660078B - 用于清洁物体的系统和方法 - Google Patents

用于清洁物体的系统和方法 Download PDF

Info

Publication number
CN106660078B
CN106660078B CN201480080879.3A CN201480080879A CN106660078B CN 106660078 B CN106660078 B CN 106660078B CN 201480080879 A CN201480080879 A CN 201480080879A CN 106660078 B CN106660078 B CN 106660078B
Authority
CN
China
Prior art keywords
roller
cleaner
support
resilient
rotatable
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480080879.3A
Other languages
English (en)
Other versions
CN106660078A (zh
Inventor
罗里·A·沃尔夫
斯蒂芬·弗兰克·米切尔
希拉·汉密尔顿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Illinois Tool Works Inc
Original Assignee
Illinois Tool Works Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Illinois Tool Works Inc filed Critical Illinois Tool Works Inc
Publication of CN106660078A publication Critical patent/CN106660078A/zh
Application granted granted Critical
Publication of CN106660078B publication Critical patent/CN106660078B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B1/143
    • B08B1/50
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0028Cleaning by methods not provided for in a single other subclass or a single group in this subclass by adhesive surfaces
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1316Methods for cleaning the liquid crystal cells, or components thereof, during manufacture: Materials therefor
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1335Structural association of cells with optical devices, e.g. polarisers or reflectors
    • G02F1/133528Polarisers

Abstract

一种用于清洁物体(12)的系统(10)包括辊清洁器(14),所述辊清洁器(14)具有可旋转的弹性辊(20)、可旋转的粘合辊(22)和支持物(24),所述可旋转的弹性辊(20)具有被配置成接触所述物体(12)的第一表面的圆柱形的外表面,所述可旋转的粘合辊(22)具有接触所述弹性辊(20)的所述外表面的一部分的圆柱形的外表面。所述物体(12)在所述弹性辊(20)和所述支持物(24)之间通过,所述支持物(24)接触相对的第二物体表面。常压等离子体清洁器(16)包括密封室(32)和至少一个电极(34),所述至少一个电极(34)被设置在所述室(32)中并且接收高电压以产生施加到第一物体表面的等离子体。所述等离子体清洁器(16)的进口的至少一部分由所述弹性辊(20)和所述支持物(24)形成,并且所述物体(12)的第一表面和第二表面与所述弹性辊(20)和所述支持物(24)的接触密封所述等离子体清洁器(16)的所述进口。

Description

用于清洁物体的系统和方法
技术领域
本发明的实施例总体涉及用于清洁物体的表面的系统,并且更具体地涉及从所述物体的表面清除有机污染物和无机污染物两者的系统。
背景技术
在制造中,出于各种原因,许多部件在组装之前需要进行清洁。例如,可用于电视机、监视器、平板电脑、电话等的液晶显示器(LCD)面板需要光学偏光膜。这些膜必须被完全清洁,从而污染物才不会降低或损害整个LCD面板的图像质量。
膜通常作为连续的卷材(webs)被提供在辊上。存在能够通过将无机污染物或其他污染物清除降至微米水平来清洁卷材的一个或两个表面的系统。然而,这样的系统不能够将污染物清除降至纳米级,例如低聚物,所述低聚物本身不是粒子,而是有机化学聚集物。
在其他领域(例如,商业墨水和涂料)中使用不同类型的技术——常压等离子体清洁器——来消除这样的有机污染物。等离子体清洁器通过空气电离产生等离子体,并且输送材料表面通过所述等离子体,所述等离子体使低聚物或其他有机污染物有效地蒸发或分裂。
符合期望的是提供能够在下降至至少几十纳米级的级别上从物体的表面清除有机污染物和无机污染物两者的集成化系统。
发明内容
简单来说,本发明的实施例包括一种用于清洁物体的系统。所述系统包括被配置成从所述物体的第一表面清除无机污染物的辊清洁器。所述辊清洁器包括至少一个弹性辊、至少一个粘合辊和第一支持物,所述至少一个弹性辊被可旋转地安装到所述辊清洁器并且具有大体上圆柱形的外表面,所述外表面被配置成接触所述物体的所述第一表面以清除所述无机污染物;所述至少一个粘合辊被可旋转地安装到所述辊清洁器并且具有大体上圆柱形的外表面,所述外表面与
所述至少一个弹性辊的外表面的一部分接触。所述物体在所述至少一个弹性辊和所述第一支持物之间通过,以使得所述第一支持物与所述物体的相对的第二表面接触。常压等离子体清洁器被配置成从所述物体的所述第一表面清除有机污染物。所述等离子体清洁器包括密封室和至少一个电极,所述密封室具有分别用于接收和排出所述物体的进口和出口,所述至少一个电极被设置在所述室中并且接收高电压以产生施加到所述物体的所述第一表面的等离子体。所述等离子体清洁器的所述进口的至少一部分由所述至少一个弹性辊和所述第一支持物形成,并且所述物体的第一表面和第二表面与所述弹性辊和所述第一支持物的接触密封所述等离子体清洁器的进口。
本发明的另一个实施例包括一种用于清洁物体的方法。所述方法包括将所述物体接收在辊清洁器中。所述辊清洁器包括至少一个可旋转的弹性辊,与所述至少一个可旋转的弹性辊接触的至少一个可旋转的粘合辊,和第一支持物。所述方法进一步包括使所述物体的第一表面与所述至少一个弹性辊接触,以从所述物体的所述第一表面清除无机污染物,并且使所述物体的第二表面与所述第一支持物接触,使所述物体从所述至少一个弹性辊和所述第一支持物通过进入到其中设置有至少一个电极的常压等离子体清洁器的密封室中,以及向所述至少一个电极施加高电压,以产生从所述物体的所述第一表面清除有机污染物的等离子体。所述物体的第一表面和第二表面与所述至少一个弹性辊和所述第一支持物的接触提供用于所述等离子体清洁器的所述室的密封。
附图说明
当结合附图阅读时,将会更好地理解前述的发明内容以及以下对本发明的优选实施例的详细描述。出于图示地说明本发明的目的,在附图中示出目前优选的实施例。然而,应该理解,本发明不被限制于所示出的明确的布置和机构。
在附图中:
图1是根据本发明的第一优选实施例的膜清洁系统的部分剖开的侧视图;
图2是根据本发明的第二优选实施例的膜清洁系统的示意图;
图3是根据本发明的第三优选实施例的膜清洁系统的示意图;以及
图4是根据本发明的第四优选实施例的膜清洁系统的示意图。
具体实施方式
在以下描述中使用某些术语仅为了方便起见而非限制性的。词语“右”、“左”、“下部的”和“上部的”在所参考的附图中指定方向。词语“向内地”和“向外地”分别指朝向和离开装置及其指定零件的几何中心的方向。除非本文明确陈述,术语“一”、“一个”和“所述”不被限制于一个元件,而是应该理解为意为“至少一个”。所述术语包括上文提到的词语、所述词语的衍生词以及具有类似含义的词语。
参考附图,其中在所有几个附图中,相同的附图标记被用来指定相同的部件,在图1中示出根据本发明的用于清洁物体12的系统10的第一实施例。在图1 中示出的具体实施例中,物体12是连续移动的材料卷或材料膜。例如,卷材12 可以是材料聚合物片等。然而,物体12可以替代地为分离的膜、片材 (sheet)、屏幕(screen)等。
系统10包括被配置成从物体12的一个或多个表面清除无机污染物(例如,粒子)的辊清洁器14,以及被配置成从所述物体12的所述一个或多个表面清除有机污染物(例如,低聚物等)的常压等离子体清洁器16。辊清洁器14和等离子体清洁器16优选地被包含在单个壳体18内,但是壳体18可以具有包含各个部件的不同的区室(未示出)。可替换地,辊清洁器14和等离子体清洁器16的每个可以根据所需的应用被包含在不同的壳体(未示出)中,所述不同的壳体可以被附接到彼此或间隔开。
辊清洁器14优选地包括被可旋转地安装到所述辊清洁器14的至少一个弹性辊20。弹性辊20一般地包括被弹性涂层覆盖的金属枢轴。例如,一个这种类型的弹性辊20可购自TEKNEK公司。弹性辊20具有沿其纵轴线延伸的大体上圆柱形的外表面。当物体12移动通过辊清洁器14时,弹性辊20的外表面被配置成旋转并接触物体12的表面以用于清除无机污染物。如所周知的,由弹性辊20施加到物体12上的污染物的力必须大于将污染物保持在物体12上的力。然而,所施加的力绝不能太大,以致束缚(attach)、扭曲或以其他方式破坏物体12或其移动。
连同弹性辊20一起,还需要被可旋转地安装在辊清洁器14中的至少一个粘合辊22。粘合辊22一般地包括涂覆有粘合材料(如丙烯酸,压敏型等)的聚合物基底。这样的粘合辊22也可购自TEKNEK公司。粘合辊22也具有沿其纵轴线延伸的大体上圆柱形的外表面,并且可相对于至少一个弹性辊20旋转。在工作中,粘合辊22的外表面旋转,并且在弹性辊20和物体12之间的接触点的旋转下游的位置处接触弹性辊20的外表面。以该方式,从物体12清除并且附着到弹性辊20的污染物可以被粘合辊22清除。如已知的,由粘合辊22施加到弹性辊20的力应该大于使污染物维持在弹性辊20上的力。
在优选实施例中,粘合辊22可朝向和远离弹性辊20的外表面移动,从而当辊清洁器14不在工作中时,粘合辊22和弹性辊20的外表面不会彼此接触。这防止在长时间的不活动期间粘合剂到弹性辊20的外表面的任何转移。
优选的是,物体12的与弹性辊20接触的表面相对的表面在清洁期间被支持。因此,第一支持物24被提供以使得物体12在弹性辊20和第一支持物24之间通过。优选的是,第一支持物24是至少一个过程辊26。例如,在图1中示出的实施例中,第一支持物24由两个过程辊26形成,所述两个过程辊26在垂直于每个过程辊26的旋转轴的方向上相对于彼此对齐。两个过程辊26中的每个的旋转轴还各自平行于弹性辊20的旋转轴,并且从所述弹性辊20的旋转轴偏移约 45°。在该实施例中,弹性辊20在两个不同的点处与物体12的表面接触,即,弹性辊20与两个过程辊26中的每个交界的地方。在可替换的实施例(未示出) 中,可以使用两个弹性辊20,每个弹性辊20接触过程辊26中的一个。
物体12从辊清洁器14向下游移动进入到等离子体清洁器16中。等离子体清洁器16包括用于密封室32的进口28和出口30。室32优选地被保持在基本上大气压力下,但是室32被保持密封以维持用于工作的合适的气态混合物。例如,优选的是,等离子体清洁器16内的环境基本上不含氧气。室32容纳有被耦合到电源(未示出)的至少一个电极34,所述至少一个电极34可以是金属、陶瓷或其他类型的导电材料,所述电源向电极34提供高电压以产生等离子体。将等离子体施加到正通过的物体12的表面,以清除设置在其上的、躲过辊清洁的有机污染物。根据电极的形状和周围介质的电介质击穿电压等各种因素,为了产生等离子体,通常需要约10kV或更高的电压。
对于等离子体清洁器16,还需要接地电极。在图1中示出的实施例中,可旋转的接地辊36被提供在室32中并且被耦合到地面。接地辊36将物体12支持在室32内,并且优选地被布置成使得物体12待处理的表面距电极34小于0.1英寸。在物体12的两个表面均需要处理的应用中,可以对应于向物体12的相对的侧面施加等离子体的两个不同的电极34提供两个接地辊36。
优选的是,密封室32的进口28的至少一部分由辊清洁器14的弹性辊20和第一支持物24的组合形成。具体地,物体12与弹性辊20和第一支持物26的接触优选地在进口28侧上提供用于室32的密封。即,由弹性辊20和第一支持物26(图 1中,过程辊26中的一个或两个)施加在物体12上的压力足以包含室32内的大气。
在室32的出口30处,可以提供类似的配置。例如,可以在出口30处提供无载托辊(idler roll)38以从室32排出物体12。无载托辊38可以与另一个支持物协同作用来密封出口30。在图1中,在与相对侧上的弹性辊20对称的配置中,无载托辊38被布置为邻近两个过程辊26。与弹性辊20一样,物体12与无载托辊 38和第一支持物24(即,两个过程辊26)的接触提供必要的密封。
在工作中,物体12进入壳体18并且在弹性辊20和下面的过程辊26之间行进,作为从物体12的第一(例如,顶部)表面清除无机污染物的第一轮。随后,物体在弹性辊20和上面的过程辊26之间通过,作为从顶部表面清除无机污染物的第二轮,并且之后进入等离子体清洁器16的室32。物体12围绕接地辊36 缠绕,在电极34下方通过并且穿过等离子体。物体12在无载托辊38和上面的过程辊26之间行进,并且其后无载托辊38使物体12在下面的过程辊26上方通过,并且离开室32。物体12之后可以离开壳体18并且继续进一步的下游处理。
图2示出系统110的第二实施例。第二实施例类似于上文描述的第一实施例。已针对相同的元件使用相同的附图标记,除了针对第二实施例,使用100 系列的附图标记。因此,省略了对第二实施例的完整描述,而仅描述区别部分。
在图2中示出的实施例中,如之前那样提供弹性辊120和对应的粘合辊 122。然而,第一支持物124由接触物体112的相对表面的第二弹性辊140形成。在该实施例中,由系统110清洁物体112的两个表面。进一步提供与第二弹性辊 140接触的第二粘合辊142。在图2中示出的实施例中,弹性辊120、140和粘合辊122、142的旋转轴在单个平面中对齐。然而,根据空间问题、物体112的进入方向和其他类似的考量,可以进行其他配置。与第一实施例一样,用于密封室132的进口128的密封由物体112的相对的表面与弹性辊120、140的接触提供。
进一步地,等离子体清洁器116提供用于清洁物体112的相对的表面的两个接地辊136和两个电极134。在该实施例中,室132的出口130由无载托辊138和以第二无载托辊144形式的第二支持物提供。因为在第二实施例中要处理物体 112的两个表面,重要的是无载托辊138、144两者在等离子体清洁器116操作之后都是干净的并且不会污染物体。
图3示出系统210的第三实施例。第三实施例类似于上文描述的第一和第二实施例。已针对相同的元件使用相同的附图标记,除了针对第三实施例,使用 200系列的附图标记。因此,省略了对第三实施例的完整描述,而仅描述区别部分。
在图3中示出的实施例中,如之前那样提供弹性辊220和对应的粘合辊 222。然而,第一支持物224由接触物体212的相对表面的单个过程辊226形成。弹性辊220、粘合辊222和支持辊226的旋转轴优选地在单个平面中对齐。然而,取决于空间问题、物体212的进入方向和其他类似的考量,可以进行其他配置。如与第一实施例一样,用于密封室232的进口228的密封由物体212的相对的表面与弹性辊220和过程辊226的接触提供。如与第二实施例一样,第二无载托辊244被提供在室232的出口230处。
图4示出系统310的第四实施例。第四实施例类似于上文描述的第一至第三实施例。已针对相同的元件使用相同的附图标记,除了针对第四实施例,使用300系列的附图标记。因此,省略了对第四实施例的完整描述,而仅描述区别部分。
在图4示出的实施例中,物体312是呈分离的膜或片的形式,并且被这样安装:所述物体312的一个表面在分度盘346上。如之前那样提供弹性辊320和对应的粘合辊322。第一支持物324由分度盘346和输送机348的组合形成。进口 328的密封可以由弹性辊320和第一支持物324提供,但是因为在该实施例中物体312不是连续的卷材,可能有必要提供用于室332的附加的密封结构(未示出)。而且在该实施例中,在室332中不提供接地辊。而是,物体312由输送机 348携带通过电极334。在该实施例中,输送机348和/或分度盘346可以用作地面。
本领域技术人员将领会到,可以在不背离上文描述的实施例的宽的发明构思的情况下对所述实施例进行改变。因此,要理解,本发明不被限制于所公开的具体实施例,而是旨在覆盖由所附的权利要求书所限定的本发明的精神和范围内的修改。

Claims (16)

1.一种用于清洁物体的系统,所述系统包括:
(a)辊清洁器,所述辊清洁器被配置成从所述物体的第一表面清除无机污染物,所述辊清洁器包括:
(i)至少一个弹性辊,所述至少一个弹性辊被可旋转地安装到所述辊清洁器并且具有大体上圆柱形的外表面,所述外表面被配置成接触所述物体的所述第一表面以清除所述无机污染物,
(ii)至少一个粘合辊,所述至少一个粘合辊被可旋转地安装到所述辊清洁器并且具有与所述至少一个弹性辊的所述外表面的一部分接触的大体上圆柱形的外表面,和
(iii)第一支持物,所述物体在所述至少一个弹性辊和所述第一支持物之间通过,从而所述第一支持物与所述物体的相对的第二表面接触;以及
(b)常压等离子体清洁器,所述常压等离子体清洁器被配置成从所述物体的所述第一表面清除有机污染物,所述常压等离子体清洁器包括:
(i)密封室,所述密封室具有分别用于接收和排出所述物体的进口和出口,和
(ii)至少一个电极,所述至少一个电极被设置在所述密封室中并且接收高电压以产生施加到所述物体的所述第一表面的等离子体,
其中所述常压等离子体清洁器的所述进口的至少一部分由所述辊清洁器的所述至少一个弹性辊和所述辊清洁器的所述第一支持物形成,并且其中所述物体的所述第一表面和所述第二表面与所述辊清洁器的所述弹性辊和所述辊清洁器的所述第一支持物的接触密封所述常压等离子体清洁器的所述进口。
2.如权利要求1所述的系统,其中所述第一支持物是至少一个过程辊。
3.如权利要求2所述的系统,其中所述第一支持物是两个过程辊,所述两个过程辊在垂直于所述两个过程辊中的每个过程辊的旋转轴的方向上相对于彼此对齐,所述两个过程辊中的每个过程辊的所述旋转轴平行于所述至少一个弹性辊的旋转轴。
4.如权利要求3所述的系统,其中所述常压等离子体清洁器的所述出口的至少一部分由无载托辊和所述第一支持物或第二支持物中的一个支持物形成,所述物体在所述无载托辊和所述第一支持物或所述第二支持物中的所述一个支持物之间通过,从而所述无载托辊接触所述物体的所述第一表面,并且所述第一支持物或所述第二支持物中的所述一个支持物接触所述物体的所述第二表面,并且其中所述物体的所述第一表面和所述第二表面与所述无载托辊和所述第一支持物或所述第二支持物中的所述一个支持物的接触密封所述常压等离子体清洁器的所述出口。
5.如权利要求4所述的系统,其中所述无载托辊被定向成使得所述无载托辊的旋转轴平行于所述两个过程辊中的每个过程辊的所述旋转轴。
6.如权利要求1所述的系统,其中所述至少一个弹性辊是第一弹性辊,并且所述第一支持物包括被配置成从所述物体的所述第二表面清除无机污染物的可旋转的第二弹性辊。
7.如权利要求6所述的系统,所述系统进一步包括与所述第二弹性辊接触的第二可旋转的粘合辊。
8.如权利要求1所述的系统,所述系统进一步包括:
(c)可旋转的接地辊,所述可旋转的接地辊被布置在所述常压等离子体清洁器的所述密封室中并且被耦合到地面,当所述至少一个电极产生所述等离子体以清洁所述物体的所述第一表面时,所述可旋转的接地辊与所述物体的所述第二表面接触。
9.如权利要求1所述的系统,其中所述至少一个电极由金属材料或陶瓷材料中的一种制成。
10.如权利要求1所述的系统,其中所述物体是连续的卷材。
11.如权利要求1所述的系统,其中所述常压等离子体清洁器的所述密封室被保持在基本上大气压力下。
12.一种用于清洁物体的方法,所述方法包括:
(a)在辊清洁器中接收所述物体,所述辊清洁器包括至少一个可旋转的弹性辊,与所述至少一个可旋转的弹性辊接触的至少一个可旋转的粘合辊,和第一支持物;
(b)使所述物体的第一表面与所述至少一个可旋转的弹性辊接触,以从所述物体的所述第一表面清除无机污染物,并且使所述物体的第二表面与所述第一支持物接触;
(c)使所述物体从所述辊清洁器的所述至少一个可旋转的弹性辊和所述辊清洁器的所述第一支持物之间通过并进入到常压等离子体清洁器的密封室中,所述常压等离子体清洁器的密封室中设置有至少一个电极;以及
(d)向所述至少一个电极施加高电压以产生等离子体,所述等离子体用于从所述物体的所述第一表面清除有机污染物,
其中所述物体的所述第一表面和所述第二表面与所述辊清洁器的所述至少一个可旋转的弹性辊和所述辊清洁器的所述第一支持物的接触提供用于所述常压等离子体清洁器的所述密封室的密封。
13.如权利要求12所述的方法,所述方法进一步包括:
(e)在清除有机污染物之后,使所述物体的所述第一表面与无载托辊接触,并且使所述物体的所述第二表面与所述第一支持物或第二支持物中的一个支持物接触,以输出所述物体并且创建用于所述密封室的密封。
14.如权利要求12所述的方法,其中所述至少一个可旋转的弹性辊是第一弹性辊,并且所述第一支持物包括可旋转的第二弹性辊,所述方法进一步包括:
(e)使所述物体的所述第二表面与所述第二弹性辊接触,以从所述物体的所述第二表面清除无机污染物。
15.如权利要求12所述的方法,其中所述常压等离子体清洁器具有可旋转的接地辊,所述接地辊被布置在所述密封室中且邻近所述至少一个电极,所述方法进一步包括:
(e)使所述接地辊与所述物体的所述第二表面接触。
16.如权利要求12所述的方法,所述方法进一步包括:
(e)将所述密封室维持在基本上大气压力下。
CN201480080879.3A 2014-06-05 2014-06-05 用于清洁物体的系统和方法 Active CN106660078B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2014/041008 WO2015187161A1 (en) 2014-06-05 2014-06-05 System and method for cleaning an object

Publications (2)

Publication Number Publication Date
CN106660078A CN106660078A (zh) 2017-05-10
CN106660078B true CN106660078B (zh) 2021-01-29

Family

ID=51134326

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480080879.3A Active CN106660078B (zh) 2014-06-05 2014-06-05 用于清洁物体的系统和方法

Country Status (7)

Country Link
US (1) US10399128B2 (zh)
EP (1) EP3151981B1 (zh)
JP (1) JP6560258B2 (zh)
KR (1) KR102218298B1 (zh)
CN (1) CN106660078B (zh)
DK (1) DK3151981T3 (zh)
WO (1) WO2015187161A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109550718B (zh) * 2018-12-29 2022-01-11 大族激光科技产业集团股份有限公司 一种擦拭装置
GB202019613D0 (en) * 2020-12-11 2021-01-27 Illinois Tool Works System and method for cleaning an object
US20240042499A1 (en) * 2020-12-11 2024-02-08 Illinois Tool Works Inc. System and method for cleaning an object

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0214741A2 (en) * 1985-07-31 1987-03-18 Teknek Electronics Limited Apparatus for treating sheet articles
US6082292A (en) * 1999-01-05 2000-07-04 Wisconsin Alumni Research Foundation Sealing roller system for surface treatment gas reactors
JP2003027234A (ja) * 2001-07-19 2003-01-29 Hirano Koon Kk 連続シート状材料の表面処理装置及びそのガスシール構造
CN102224287A (zh) * 2008-11-25 2011-10-19 3M创新有限公司 用于清洁柔性幅材的设备和方法
CN102361703A (zh) * 2009-03-23 2012-02-22 阪东化学株式会社 清洁系统
CN202683514U (zh) * 2012-06-11 2013-01-23 特新电子机械设备(东莞)有限公司 一种板面清洁机的除尘机构
CN103222086A (zh) * 2010-11-17 2013-07-24 锂电池科技有限公司 用于清洁片状或板状物体的方法和系统
CN103402768A (zh) * 2010-12-16 2013-11-20 卡尔W.涅曼有限两合公司 用于以塑料薄膜覆膜基底板的方法和装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2811820B2 (ja) * 1989-10-30 1998-10-15 株式会社ブリヂストン シート状物の連続表面処理方法及び装置
JP2003523053A (ja) * 2000-02-11 2003-07-29 ダウ・コーニング・アイルランド・リミテッド 大気圧プラズマシステム
FR2836157B1 (fr) * 2002-02-19 2004-04-09 Usinor Procede de nettoyage de la surface d'un materiau enduit d'une susbstance organique, generateur et dispositif de mise en oeuvre
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
US7553440B2 (en) * 2005-05-12 2009-06-30 Leonard William K Method and apparatus for electric treatment of substrates
US20070154650A1 (en) * 2005-12-30 2007-07-05 Atomic Energy Council - Institute Of Nuclear Energy Research Method and apparatus for glow discharge plasma treatment of flexible material at atmospheric pressure
JP2008168188A (ja) * 2007-01-10 2008-07-24 Mitsuma Giken Kk クリーニング装置
CN101641195B (zh) * 2007-03-31 2012-10-10 柯尼卡美能达精密光学株式会社 制造光学膜的方法、光学膜、偏振片以及显示装置
JP3142185U (ja) * 2008-03-25 2008-06-05 沛▲きん▼科技有限公司 押圧金型洗浄機
KR101296659B1 (ko) * 2008-11-14 2013-08-14 엘지디스플레이 주식회사 세정 장치
JP5455539B2 (ja) * 2009-10-13 2014-03-26 藤森工業株式会社 積層体の製造方法及び積層体、それを用いた包装容器
WO2011081440A2 (ko) * 2009-12-30 2011-07-07 성균관대학교산학협력단 그래핀 필름의 롤투롤 도핑 방법 및 도핑된 그래핀 필름
EP2590802B1 (en) * 2010-07-09 2014-07-02 Vito NV Method and device for atmospheric pressure plasma treatment
JP5626899B2 (ja) * 2011-05-17 2014-11-19 株式会社日立製作所 大気圧プラズマ処理装置
KR20130095119A (ko) * 2012-02-17 2013-08-27 김일욱 대기압 플라스마 발생 장치
US20140123854A1 (en) * 2012-11-05 2014-05-08 William K. Leonard Method and apparatus for electric treatment of substrates
US20150268383A1 (en) * 2013-03-15 2015-09-24 Triton Systems, Inc. Systems and methods for forming a large-scale motheye film coating on a substrate
US20160329193A1 (en) * 2015-05-05 2016-11-10 Eastman Kodak Company Atmospheric-pressure plasma treatment system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0214741A2 (en) * 1985-07-31 1987-03-18 Teknek Electronics Limited Apparatus for treating sheet articles
US6082292A (en) * 1999-01-05 2000-07-04 Wisconsin Alumni Research Foundation Sealing roller system for surface treatment gas reactors
JP2003027234A (ja) * 2001-07-19 2003-01-29 Hirano Koon Kk 連続シート状材料の表面処理装置及びそのガスシール構造
CN102224287A (zh) * 2008-11-25 2011-10-19 3M创新有限公司 用于清洁柔性幅材的设备和方法
CN102361703A (zh) * 2009-03-23 2012-02-22 阪东化学株式会社 清洁系统
CN103222086A (zh) * 2010-11-17 2013-07-24 锂电池科技有限公司 用于清洁片状或板状物体的方法和系统
CN103402768A (zh) * 2010-12-16 2013-11-20 卡尔W.涅曼有限两合公司 用于以塑料薄膜覆膜基底板的方法和装置
CN202683514U (zh) * 2012-06-11 2013-01-23 特新电子机械设备(东莞)有限公司 一种板面清洁机的除尘机构

Also Published As

Publication number Publication date
EP3151981B1 (en) 2021-11-17
US10399128B2 (en) 2019-09-03
CN106660078A (zh) 2017-05-10
KR102218298B1 (ko) 2021-02-23
JP6560258B2 (ja) 2019-08-14
JP2017516651A (ja) 2017-06-22
DK3151981T3 (da) 2022-02-07
US20170113254A1 (en) 2017-04-27
EP3151981A1 (en) 2017-04-12
KR20170013942A (ko) 2017-02-07
WO2015187161A1 (en) 2015-12-10

Similar Documents

Publication Publication Date Title
CN106660078B (zh) 用于清洁物体的系统和方法
CN102224287B (zh) 用于清洁柔性幅材的设备和方法
KR101071368B1 (ko) 클리닝장치 및 클리닝방법
TWI637076B (zh) 基板處理設備和使用其於真空腔室中除去可撓性基板之電荷的方法
CN104280940A (zh) 一种掩膜板及取向膜摩擦方法
KR102137918B1 (ko) 코로나 처리 방법
JP3121520B2 (ja) 局所清浄空間
JP2017516651A5 (zh)
EP1690840A1 (en) Production method of hardly-electrifiable glass substrate and hardly-electrifiable glass substrate obtained by it
JP4860295B2 (ja) プラズマ処理方法
KR200385609Y1 (ko) 압력 방폭 구조의 제전바가 장착된 제진장치
JP3508183B2 (ja) 基板搬送装置
KR102160935B1 (ko) 반송 유닛 및 기판 처리 장치
JPH0478478A (ja) 半導体製造装置およびその清浄化方法
CN110215759B (zh) 过滤装置及过滤在半导体制造中使用的流体的方法
JP4498895B2 (ja) 静電吸着システム及びそれを用いたアライメント方法
KR20230118163A (ko) 물체 세정용 시스템 및 물체 세정 방법
TWI420616B (zh) 基板清洗機台與基板清洗方法
EP3706515A1 (en) Web manufacturing method, charge control method, and charge control device
JP6076667B2 (ja) プラズマ処理装置
KR100687009B1 (ko) 기판 반송을 위한 샤프트 장치
KR101550503B1 (ko) 대면적 소재의 대기압 플라즈마 처리장치
JP2007185640A (ja) 異物付着防止装置
JP2004097966A (ja) 洗浄処理方法
JP2004097965A (ja) 放電プラズマ処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant