CN106233436A - 通过蚀刻腔预处理来提高硅蚀刻工艺的蚀刻速率的方法 - Google Patents

通过蚀刻腔预处理来提高硅蚀刻工艺的蚀刻速率的方法 Download PDF

Info

Publication number
CN106233436A
CN106233436A CN201580022239.1A CN201580022239A CN106233436A CN 106233436 A CN106233436 A CN 106233436A CN 201580022239 A CN201580022239 A CN 201580022239A CN 106233436 A CN106233436 A CN 106233436A
Authority
CN
China
Prior art keywords
etch process
silicon
etch
pretreatment
bosch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580022239.1A
Other languages
English (en)
Other versions
CN106233436B (zh
Inventor
E.A.约瑟夫
苏玉冰
E.西科尔斯基
中村昌洋
豪松浦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zeon Corp
International Business Machines Corp
Original Assignee
Nippon Zeon Co Ltd
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Zeon Co Ltd, International Business Machines Corp filed Critical Nippon Zeon Co Ltd
Publication of CN106233436A publication Critical patent/CN106233436A/zh
Application granted granted Critical
Publication of CN106233436B publication Critical patent/CN106233436B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

可以通过运行采用C5HF7的沉积工艺,或通过运行采用C5H2F6和SF6的交替的沉积和蚀刻工艺,来实现用于进行硅蚀刻工艺以及玻什法的蚀刻腔的预处理。已经发现,在预处理之后的第一各自工艺期间,对用于硅蚀刻工艺的蚀刻腔的预处理可以在对蚀刻轮廓没有副作用的情况下,将硅的蚀刻速率提高至少50%,同时蚀刻速率的提高系数随时间减小。通过在蚀刻腔中周期性地进行预处理,可以在对被处理的基板的蚀刻轮廓没有不利影响的情况下,提高蚀刻腔的吞吐量。

Description

通过蚀刻腔预处理来提高硅蚀刻工艺的蚀刻速率的方法
技术领域
本公开涉及一种半导体处理方法,并且特别地涉及一种通过蚀刻腔预处理来提高硅蚀刻工艺的蚀刻速率的方法。
背景技术
高深宽比的深硅蚀刻技术是能够实施贯通硅通孔结构以及多个半导体基板的三维集成的关键使能技术。现有技术水平的深硅蚀刻利用时间调制的蚀刻工艺,其典型地被称为“波什(Bosch)”法。
玻什法利用采用SF6气体的蚀刻与采用钝化气体的聚合物沉积的交替循环,钝化气体比如是C4F8,其是不包含氢的氟烃气体。玻什法提供形成高深宽比结构所需的适当水平的各项异性。然而,本领域已知的玻什法具有若干局限性。由于钝化层厚度的减小,提高玻什法的蚀刻速率的尝试造成沟槽的侧壁和底部表面的粗糙化。此外,伴随着提高玻什法的蚀刻速率的尝试是沟槽结构中侧壁的扇贝化和底切(undercut)的增加。
在玻什法的替代方案中,提出了深冷工艺(Cryogenic process)或非切换工艺(Non switching process),称为非玻什法。非玻什法的特征在于蚀刻和钝化以连续的工艺进行,直到整个硅特征被蚀刻,其使得能够在没有扇贝化的侧壁的情况下蚀刻硅特征。用于非玻什法的蚀刻气体是SF6,并且钝化气体通常是O2或HBr,或氟烃气体(比如C4F8),或氢氟烃气体(比如CH3F、CHF3)。例如,当使用O2作为钝化气体时,在大约-100摄氏度下使SiOxFy(其中x和y是正整数)层形成在硅特征的侧壁上,其比硅基板更耐受SF6的蚀刻。
与玻什法相比,非玻什法的缺点是低蚀刻速率、温度控制问题以及窄工艺控制余地。由于同玻什法一样的钝化层厚度的减小,提高非玻什法的蚀刻速率的尝试造成沟槽的侧壁和底部表面的粗糙化。
从而,无法在对玻什法或非玻什法形成的沟槽的蚀刻轮廓不造成有害影响的情况下,提高本领域已知的玻什法或非玻什法的蚀刻速率。对沟槽的轮廓中的光滑侧壁和底部表面以及受控的底切的要求限制了玻什法的蚀刻速率,并且进而限制了进行玻什法或非玻什法的蚀刻腔的吞吐量。进行玻什法或非玻什法的蚀刻腔的生产率因此被玻什法的蚀刻速率限制。
因此,在本领域中存在解决上述问题的需求。
发明内容
从第一方面看,本发明提供一种操作蚀刻工艺装置的方法,其包括:在蚀刻工艺装置中进行预处理工艺,所述预处理工艺包含通过沉积氢氟烃聚合物材料涂覆所述蚀刻工艺装置的内壁,所述氢氟烃聚合物材料由氢氟烃气体的等离子体产生,所述氢氟烃气体具有CxHyFz的组分,其中x是选自3、4、5、6和7的整数,y和z是不大于15的正整数,并且其中所述氢氟烃聚合物材料的涂层形成在所述蚀刻工艺装置的所述内壁上;以及在所述蚀刻工艺装置中在至少一个基板上进行至少一个硅蚀刻工艺,所述至少一个基板中的每一个包含图案化的掩模层,通过其物理地暴露至少一个半导体材料部分。可以通过运行采用C5HF7的沉积工艺,或通过运行采用C5H2F6和SF6的交替的沉积工艺和蚀刻工艺,来实现采用SF6作为蚀刻剂气体的用于进行硅蚀刻的蚀刻腔的预处理。已经发现对用于硅蚀刻工艺的蚀刻腔的预处理可以在预处理之后的第一硅蚀刻工艺期间对各向同性轮廓没有副作用的情况下,将蚀刻速率提高至少50%。
如本公开的另一方面,可以通过运行采用C5HF7的沉积工艺,或通过运行采用C5H2F6和SF6的交替的沉积工艺和蚀刻工艺,来实现用于进行玻什法的蚀刻腔的预处理。已经发现用于玻什法的蚀刻腔的预处理可以在预处理之后的第一玻什法期间对蚀刻轮廓没有副作用的情况下,将蚀刻速率提高至少50%,同时蚀刻速率提高系数随时间减小。通过在蚀刻腔中周期性地进行预处理,可以在不对被处理的基板的蚀刻轮廓造成不利影响的情况下,提高蚀刻腔的吞吐量。
根据本公开的一方面,提供了一种操作蚀刻工艺装置的方法。在蚀刻工艺装置中进行预处理工艺。预处理工艺包含通过沉积氢氟烃聚合物材料来预处理蚀刻工艺装置的内壁,所述氢氟烃聚合物材料由具有CxHyFz组分的氢氟烃气体的等离子体产生,其中x是选自3、4、5、6和7的整数,y是正整数,并且z大于x与y的和。氢氟烃聚合物材料的涂层在预处理工艺期间形成在蚀刻工艺装置的内壁上。随后可以在蚀刻工艺装置内的至少一个基板上进行采用SF6作为蚀刻剂气体的硅蚀刻工艺或玻什法。至少一个基板中的每一个包含图案化的掩模层,通过其物理地暴露至少一个半导体材料部分。
附图说明
现在将仅以示例的方式,参考优选的实施例来描述本发明,如下面的附图中图示的:
图1是根据本公开的实施例的示例性蚀刻工艺装置的垂直截面图;
图2是图示了根据本公开的第一实施例的氢氟烃气体进入示例性蚀刻工艺装置中的气体流速的示意图;
图3是图示了根据本公开的第二实施例的氢氟烃气体和蚀刻剂气体进入示例性蚀刻工艺装置中的气体流速的示意图;
图4A-图4L是示例性结构的连续的示意垂直截面图,在所述示例性结构中通过填充以一方法形成的深沟槽来形成贯通基板通孔结构;
图5是基板的示意垂直截面图,所述基板包含通过根据本公开的实施例的采用SF6作为蚀刻剂气体的硅蚀刻工艺或玻什法形成的深沟槽;
图6是将根据本公开的实施例的玻什法的表现与参比玻什法的表现相比较的曲线图,其中玻什法在采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中进行,参比玻什法在未经任何预处理的参比示例性蚀刻工艺装置中进行;
图7是将根据本公开的实施例的玻什法的表现与参比玻什法的表现相比较的另一曲线图,其中玻什法在采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中进行,参比玻什法在未经任何预处理的参比示例性蚀刻工艺装置中进行;
图8是图示了根据本公开的实施例的玻什法的蚀刻速率对在蚀刻工艺装置中的总累积玻什法时间的相关性的曲线图,其中玻什法在采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中进行;
图9是将根据本公开的实施例的玻什法的表现与参比玻什法的表现相比较的曲线图,其中玻什法在采用C5H2F6气体作为氢氟烃气体并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中进行,参比玻什法在未经任何预处理的参比示例性蚀刻工艺装置中进行;
图10是将根据本公开的实施例的玻什法的表现与参比玻什法的表现相比较的另一曲线图,其中玻什法在采用C5H2F6气体作为氢氟烃气体并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中进行,参比玻什法在未经任何预处理的参比示例性蚀刻工艺装置中进行;
图11是图示了根据本公开的实施例的玻什法的蚀刻速率对在蚀刻工艺装置中的总累积玻什法时间的相关性的曲线图,其中玻什法在采用C5H2F6气体作为氢氟烃气体并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中进行;
图12是将根据本公开的实施例的采用SF6的硅蚀刻工艺的表现与参比硅蚀刻工艺的表现相比较的曲线图,其中采用SF6的硅蚀刻工艺在采用C5H2F6气体作为氢氟烃气体并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中进行,参比硅蚀刻工艺在未经任何预处理的参比示例性蚀刻工艺装置中进行;
图13是将根据本公开的实施例的采用SF6的硅蚀刻工艺的表现与参比硅蚀刻工艺的表现相比较的另一曲线图,其中采用SF6的硅蚀刻工艺在采用C5H2F6气体作为氢氟烃气体并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置进行,参比硅蚀刻工艺在未经任何预处理的参比示例性蚀刻工艺装置中进行;以及
图14是将各种操作模式下的蚀刻工艺装置的工艺吞吐量相比较的柱状图。
具体实施方式
如上所述,本公开涉及一种方法,其用于在半导体基板中各向异性地蚀刻高深宽比沟槽,同时最小化底切,以及用于实现该方法的结构,现在将随附图详细描述该结构。贯穿所有附图,相同的参考数字或字母用来指代相似或等效的元素。附图未必按比例绘制。
参考图1,图示了可以用来实践本公开的实施例的示例性蚀刻工艺装置。示例性蚀刻工艺装置包含真空外壳(102,103,104),其限定通过真空泵管线114能够实现真空环境的封闭空间。真空外壳(102,103,104)可以包含腔壁102、腔狭缝门103,以及腔狭缝门可以围绕其旋转的腔门铰链104。可以采用任意其他用于移动腔狭缝门103的机构来替代腔门铰链104。
示例性蚀刻工艺装置包含被等离子体区域101间隔开的上部电极120和下部电极130,在等离子体区域101中,可以按需产生气体等离子体。下部电极130可以通过被绝缘结构133电隔离的电连接结构132,电接地到真空外壳(102,103,104)。上部电极120可以通过被另一绝缘体123从外壳(102,103,104)隔离的馈通(feedthrough)引线122,电连接到射频(RF)信号发生器124。
可以将气体分配集合管(112A,112B)和气体馈通管(114A,114B)设置为本领域已知的任意配置,以将工艺气体提供到蚀刻工艺装置中。可以提供基板(140,142),其包含位于半导体层140上的掩模层142。掩模层142可以是选自掺杂的硅氧化物、无掺杂的硅氧化物、硅氮化物、电介质金属氧化物,或其组合的电介质材料。基板(140,142)可以是基板,其随后在其他处理工具中被处理,以在其上或其中形成半导体结构,或可以是虚设(dummy)基板,其不被后续处理以形成半导体装置。
在一个实施例中,示例性蚀刻工艺装置可以是配置为进行采用SF6作为蚀刻剂气体的硅蚀刻工艺的、并且配置为使本公开的实施例的预处理工艺所必需的至少一种工艺气体流动的任意蚀刻腔。
在另一实施例中,示例性蚀刻工艺装置可以是配置为进行玻什法、并且配置为使本公开的实施例的预处理工艺所必需的至少一种工艺气体流动的任意蚀刻腔。如本文中使用的,玻什法是指一种蚀刻工艺,其中蚀刻剂气体和钝化气体循环地流入到工艺腔中持续多个循环,以在基板中蚀刻沟槽。
在进行采用SF6作为蚀刻剂的硅蚀刻工艺或玻什法中的至少一个之前,在示例性蚀刻工艺装置内进行本公开的实施例的预处理工艺。预处理工艺包含通过沉积氢氟烃聚合物材料来预处理示例性蚀刻工艺装置的内壁,所述氢氟烃聚合物材料由氢氟烃气体的等离子体产生。氢氟烃气体具有CxHyFz的组分,其中x是选自3、4、5、6和7的整数,y和z是不大于15的正整数。例如,氢氟烃气体可以包含[C3]1,1-二氟丙烯、1,2-二氟丙烯、1,3-二氟丙烯、2,3-二氟丙烯、3,3-二氟丙烯、1,1-二氟环丙烷、1,2-二氟环丙烷、1,1,2-三氟丙烯、1,1,3-三氟丙烯、1,2,3-三氟丙烯、1,3,3-三氟丙烯、2,3,3-三氟丙烯、3,3,3-三氟丙烯、1,1,2-三氟环丙烷、1,2,3-三氟环丙烷、1,1,2,3-四氟丙烯、1,1,3,3-四氟丙烯、1,2,3,3-四氟丙烯、1,3,3,3-四氟丙烯、2,3,3,3-四氟丙烯、1,1,2,2-四氟环丙烷、1,1,2,3-四氟环丙烷、1,3-二氟丙炔、3,3-二氟丙炔、1,2-二氟丙二烯、1,3-二氟丙二烯、1,3,3-三氟丙炔、3,3,3-三氟丙炔、1,1,3-三氟丙二烯、[C4]3,3,4,4-四氟环丁烯、1,3,3,4,4-五氟环丁烯、1,1,2,2,3,4-六氟环丁烷、1,1,2,2,3-五氟环丁烷、1,1,2,2,3,3,4-七氟环丁烷、1,1,1,4,4,4-六氟-2-丁烯、3,3,3-三氟-2-三氟甲基丙烯、1,1,2,3,4-五氟-1,3-丁二烯、1,1,2,4,4-五氟-1,3-丁二烯、1,1,2,3-四氟-1,3-丁二烯、1,1,2,4-四氟-1,3-丁二烯、1,1,3,4-四氟-1,3-丁二烯、1,2,3,4-四氟-1,3-丁二烯、1,1,4,4-四氟-1,3-丁二烯、1,1,2-三氟-1,3-丁二烯、1,1,3-三氟-1,3-丁二烯、1,1,4-三氟-1,3-丁二烯、1,2,3-三氟-1,3-丁二烯、1,2,4-三氟-1,3-丁二烯、1,1,2,3-四氟-1-丁烯、1,1,2,4-四氟-l-丁烯、1,1,3,3-四氟-l-丁烯、1,1,3,4-四氟-l-丁烯、1,1,4,4-四氟-l-丁烯、1,2,3,3-四氟-l-丁烯、1,2,3,4-四氟-l-丁烯、1,2,4,4-四氟-l-丁烯、1,3,3,4-四氟-l-丁烯、1,3,4,4-四氟-l-丁烯、1,4,4,4-四氟-l-丁烯、2,3,3,4-四氟-l-丁烯、2,3,4,4-四氟-l-丁烯、2,4,4,4-四氟-l-丁烯、3,3,4,4-四氟-l-丁烯、3,4,4,4-四氟-l-丁烯、1,1,1,2-四氟-2-丁烯、1,1,1,3-四氟-2-丁烯、1,1,1,4-四氟-2-丁烯、1,1,2,3-四氟-2-丁烯、1,1,2,4-四氟-2-丁烯、1,1,3,4-四氟-2-丁烯、1,1,4,4-四氟-2-丁烯、1,2,3,4-四氟-2-丁烯、1,1,3,3-四氟-2-甲基丙烷、1,1,3-三氟-2-氟甲基丙烷、1,3,3-三氟-2-氟甲基丙烷、3,3-二氟-2-二氟甲基丙烷、1,1,2,2-四氟环丁烷、1,1,2,3-四氟环丁烷、1,1,3,3-四氟环丁烷、1,2,3,4-四氟环丁烷、1-氟-1-三氟甲基环丙烷、2-氟-1-三氟甲基环丙烷、1,1-二氟-1-二氟甲基环丙烷、1,2-二氟-1-二氟甲基环丙烷、2,2-二氟-l-二氟甲基环丙烷、2,3-二氟-1-二氟甲基环丙烷、1,2,2-三氟-1-氟甲基环丙烷、1,2,3-三氟-1-氟甲基环丙烷、2,2,3-三氟-l-氟甲基环丙烷、1,2,2,3-四氟-1-甲基环丙烷、2,2,3,3-四氟-l-甲基环丙烷、[C5]1,3,3,4,4,5,5-七氟环戊烯、3,3,4,4,5,5-六氟环戊烯、1,1,2,2,5,5-六氟环戊烷、1,1,1,3,4,4,5,5,5-九氟-2-戊烯、1,1,1,2,4,4,5,5,5-九氟-2-戊烯、2,3,4,5,5,5-六氟-1,3-戊二烯、1,3,4,5,5,5-六氟-1,3-戊二烯、1,2,4,5,5,5-六氟-1,3-戊二烯、1,2,3,5,5,5-六氟-1,3-戊二烯、1,2,3,4,5,5-六氟-1,3-戊二烯、1,2,4,5,5,5-六氟-1,3-戊二烯、1,1,2,3,4-五氟-1,3-戊二烯、3,4,5,5,5-五氟-1,3-戊二烯、1,2,3,3,4-五氟-1,4-戊二烯、1,1,2,3,3-五氟-1,4-戊二烯、1,3,3,4,4-五氟-2-甲基环丁烯、2-氟-1-三氟甲基环丁烯、3,3,4,4-四氟-l-三氟甲基环丁烯、[C6]1,3,3,4,4,5,5,6,6-九氟环己烯、3,3,4,4,5,5,6,6-八氟环己烯、1,1,3,3-四氟-2-三氟甲基环戊烷、4,5,5,5-四氟-3-三氟甲基1-1,3-戊二烯、1,1,2,4,5,5,5-七氟-3-甲基-1,3-戊二烯、1,2-双(三氟甲基)环丁烷、1,2-双(三氟甲基)环丁烯、3,4-双(三氟甲基)环丁烯、1,1,1-三氟-2-三氟甲基-3-甲基-2-丁烯、1,1,1-三氟-3-三氟甲基-2-甲基-2-丁烯、1,1,1-三氟-3-三氟甲基-2-戊烯、4,4,5,5,5-五氟-3-甲基-2-戊烯、[C7]1,3,3,4,4,5,5,6,6,7,7-十一氟环庚烯、3,3,4,4,5,5,6,6,7,7-十氟环庚烯、1,3,3,4,4,5,5-七氟-2-乙基环戊烯、3,3,4,4,5,5-六氟-1,2-二甲基环戊烯以及1,2-双(氟甲基)环戊烯,但这些是本公开的特定实施例的非限制性的示例。在一个实施例中,氢氟烃气体是作为C5HF7的1,3,3,4,4,5,5-七氟环戊烯,并且3,3,4,4,5,5-六氟环戊烯作为C5H2F6。氢氟烃聚合物材料的涂层形成在示例性蚀刻工艺装置的内壁上。涂层的厚度可以是例如在从1nm至10微米的范围内。
在一个实施例中,可以在预处理工艺期间将虚设基板载入到示例性蚀刻工艺装置中。随后可以在进行采用SF6作为蚀刻剂的硅蚀刻工艺或玻什法中的至少一个之前,将虚设基板移除。在一个实施例中,虚设基板可以是图1所示的基板(140,142),其包含位于半导体层140上的掩模层142。如上面讨论的,掩模层142可以包含选自掺杂的硅氧化物、无掺杂的硅氧化物、硅氮化物、电介质金属氧化物,或其组合的电介质材料。
参考图2,气流示意图图示了根据本公开的第一实施例的流入到示例性蚀刻工艺装置中的氢氟烃气体的气体流速。氢氟烃气体以流速曲线520所表示的流速流入到示例性蚀刻工艺装置中。预处理包含沉积步骤,在沉积步骤期间,氢氟烃气体的流速从零渐增到目标流速,保持在目标流速,并且随后从目标流速渐减到零。沉积步骤的持续时间在本文中称为预涂覆时间t_pc。在氢氟烃气体的流动的持续时间内,在示例性蚀刻工艺装置产生氢氟烃气体的等离子体,持续时间可以在从2分钟到60分钟的范围内。在一个实施例中,沉积步骤的持续时间可以在从3分钟到30分钟的范围内。
在一个实施例中,氢氟烃气体是C5HF7,并且预处理工艺不采用任何蚀刻虚设基板上的半导体材料部分的气体。从而,预处理工艺的持续时间可以与沉积步骤的持续时间相同。氢氟烃气体的目标流速取决于示例性蚀刻工艺装置的容积。对于配置为处理具有300mm直径的圆形基板的蚀刻工艺装置,氢氟烃气体的目标流速可以在从100标准立方厘米每分钟(sccm)到200sccm的范围内,但是也可以采用更低或更高的目标流速。施加到示例性蚀刻工艺腔以产生氢氟烃气体的等离子体的RF功率取决于示例性蚀刻工艺装置的容积。对于配置为加工具有300mm直径的圆形基板的蚀刻工艺装置,射频功率可以在从500瓦到2000瓦的范围内,但是也可以采用更低或更高的RF功率。在采用氢氟烃气体的等离子体的沉积期间的示例性蚀刻工艺腔的压强可以在从1mTorr到20mTorr的范围内,但是也可以采用更低或更高的压强。
C5HF7的等离子体的光谱发射的性质在富勒等人于2013年5月2日发表的题为“用于半导体基板的高深宽比且减小的底切沟槽蚀刻工艺(High Aspect Ratio and ReducedUndercut Trench Etch Process for a Semiconductor Substrate)”的美国专利中描述(申请号2013/0105947A1)。
参考图3,另一气流示意图图示了根据本公开的第一实施例的流入到示例性蚀刻工艺装置中的氢氟烃气体和蚀刻剂气体的气体流速。蚀刻剂气体和氢氟烃气体可以交替地流入到示例性蚀刻工艺装置中。蚀刻剂气体可以以由第一流速曲线610表示的流速流入到示例性蚀刻工艺装置中。氢氟烃气体可以以由第二流速曲线620表示的流速交替地流入到示例性蚀刻工艺装置中。
预处理包含蚀刻步骤和沉积步骤的多个循环。在每个蚀刻步骤期间,蚀刻剂气体的流速从零渐增到目标蚀刻剂流速,保持在目标蚀刻剂流速,并且随后从目标蚀刻剂流速渐减到零。在每个沉积步骤期间,氢氟烃气体的流速从零渐增到目标流速,保持在目标流速,并且随后从目标流速渐减到零。在蚀刻剂气体的流动的持续时间内,在示例性蚀刻工艺装置中产生蚀刻剂气体的等离子体。在氢氟烃气体的流动的持续时间内,在示例性蚀刻工艺装置中产生氢氟烃气体的等离子体。每个沉积步骤或每个蚀刻步骤的持续时间可以在从1秒到1分钟的范围内。循环的总次数可以在从1到1800的范围内。在预处理期间,可以进行沉积氢氟烃聚合物材料的沉积步骤以及蚀刻工艺步骤的多个循环。
在一个实施例中,氢氟烃气体是C5H2F6,并且蚀刻剂气体可以是蚀刻虚设基板上的半导体材料部分的SF6。对于配置为加工具有300mm直径的圆形基板的蚀刻工艺装置,氢氟烃气体的目标流速可以在从100标准立方厘米每分钟(sccm)到200sccm的范围内,但是也可以采用更低或更高的目标流速。对于配置为加工具有300mm直径的圆形基板的蚀刻工艺装置,蚀刻剂气体的目标流速可以在从200标准立方厘米每分钟(sccm)到400sccm的范围内,但是也可以采用更低或更高的目标流速。
施加到示例性蚀刻工艺腔以产生氢氟烃气体的等离子体的RF功率取决于示例性蚀刻工艺装置的容积。对于配置为加工具有300mm直径的圆形基板的蚀刻工艺装置,用于产生氢氟烃气体的等离子体的RF功率可以在从1000瓦到3000瓦的范围内,但是也可以采用更低或更高的RF功率。施加到示例性蚀刻工艺腔以产生蚀刻剂气体的等离子体的RF功率取决于示例性蚀刻工艺装置的容积。对于配置为加工具有300mm直径的圆形基板的蚀刻工艺装置,用于产生蚀刻剂气体的等离子体的RF功率可以在从1000瓦到3000瓦的范围内,但是也可以采用更低或更高的RF功率。
在采用氢氟烃气体的等离子体的沉积步骤期间,示例性蚀刻工艺腔的压强可以在从1mTorr到20mTorr的范围内,但也可以采用更低或更高的压强。在采用蚀刻剂气体等离子体的蚀刻步骤期间,示例性蚀刻工艺腔的压强可以在从1mTorr到20mTorr的范围内,但也可以采用更低或更高的压强。
根据本公开的各种实施例(其在预处理工艺期间可能采用或不采用一个或多个蚀刻工艺),用于示例性蚀刻工艺装置的内壁的预处理工艺将随后进行的至少一个玻什法的蚀刻速率提高正的百分比。在至少一个玻什法之中的第一蚀刻工艺(即,在预处理工艺之后进行的、并且是玻什法的第一蚀刻工艺)期间,所述正的百分比可以是至少10%。
在一个实施例中,沉积在示例性蚀刻工艺装置的侧壁上的氢氟烃聚合物材料包含碳,其原子浓度在从35%到100%的范围内,以及氟,其原子浓度在0%到65%的范围内。
在一个实施例中,在预处理工艺之后进行的采用SF6作为蚀刻剂的至少一个硅蚀刻工艺之中的第一蚀刻工艺期间,将要随后进行的采用SF6作为蚀刻剂气体的至少一个硅蚀刻工艺的蚀刻速率与参比硅蚀刻工艺相比增长至少50%,所述参比硅蚀刻工艺采用与第一硅蚀刻工艺相同的工艺条件,并且在未经任何预处理的蚀刻工艺装置中进行。
在另一实施例中,在预处理工艺之后进行的至少一个玻什法之中的第一蚀刻工艺期间,将要随后进行的至少一个玻什法的蚀刻速率与参比玻什法相比增长至少50%,所述参比玻什法采用与第一玻什法相同的工艺条件,并且在未经任何预处理的蚀刻工艺装置中进行。如本文中使用的,相同的工艺条件包含贯穿相比较的整个工艺的相同的工艺腔配置、相同的作为时间的函数的气体流速、相同的作为时间的函数的温度条件,以及相同的作为时间的函数的压强条件。蚀刻速率的提高的效果随着至少一个玻什法的总运行时间逐渐降低。在一个实施例中,至少一个玻什法的蚀刻速率提高的百分比随着在示例性蚀刻工艺装置中至少一个玻什法的总运行时间单调地降低。
一经在示例性蚀刻工艺腔上进行预处理工艺,在示例性蚀刻工艺腔中进行采用SF6作为蚀刻剂气体的至少一个硅蚀刻工艺和玻什法,以处理用于制造半导体结构的半导体基板,即,制造包含在导体基板中的半导体产品。如上面讨论的,预处理提高了至少一个采用SF6的硅蚀刻工艺和一个玻什法的蚀刻速率。
图4A-图4G图示了在玻什法中所处理的基板的连续的变化。参考图4A,根据本公开的实施例的示例性结构包含半导体基板10,其包含半导体材料。半导体材料可以是元素半导体材料、III族-V族化合物半导体材料、II族-VI族化合物半导体材料,或其组合。在一个实施例中,半导体材料可以是包含硅的材料。例如,半导体基板10的半导体材料可以包含硅、硅-锗合金、硅-碳合金,或硅-锗-碳合金。在一个实施例中,半导体材料可以是硅。半导体基板10的半导体材料可以是无掺杂的或掺杂有电子掺杂剂,比如B、Ga、In、P、As,和/或Sb。半导体装置(未示出)和/或金属互连结构(未示出)可以包含在半导体基板的上部部分中。半导体基板10的厚度可以在从30微米到2mm的范围内,但也可以采用更薄或更厚的厚度。
掩模层20形成在半导体基板10的顶部表面上,并且被图案化以在其中形成开口。应当理解的是,尽管在图4A-图4L中图示了单个开口,可以在掩模层20中形成多个开口。掩模层20可以是包含电介质材料或金属材料的硬掩模层。可以用于掩模层20的电介质材料包含但不限于选自掺杂的硅氧化物、无掺杂的硅氧化物、硅氮化物、硅氮氧化物、电介质金属氧化物,及其组合中的电介质材料。可以用于掩模层20的金属材料包含但不限于TiN、TaN、WN、WC、TiC、TaC、W、Ti、Ta、Cu、Al,及其组合或合金。将掩模层20的图案化可以例如是,通过在其上施加光致抗蚀剂(未示出),以光刻曝光和显影来图案化光致抗蚀剂,以及采用蚀刻将光致抗蚀剂中的图案转印到掩模层20中,采用的蚀刻可以是各向异性蚀刻,比如反应离子蚀刻,或各向同性蚀刻,比如湿法蚀刻。可替代地,掩模层20可以包含有机材料,比如本领域已知的图案化的光致抗蚀剂材料或光学平坦化材料。掩模层20的厚度可以在从0.2微米到10微米的范围内,但也可以采用更薄或更厚的厚度。
掩模层20中的开口的宽度w可以在从1微米到50微米的范围内,但也可以采用更小或更大的宽度。开口可以具有圆形、椭圆形或矩形的形状,所述矩形中两对侧边之间较短的距离对应于宽度w。
参考图4B,示例性结构设置于工艺腔中,所述工艺腔配置为在其中产生等离子体。工艺腔可以是例如反应离子蚀刻腔,其配置为通过将射频(RF)电磁场耦合到其中的气体,来产生等离子体。
例如,工艺腔可以是包含下部电极的真空腔,在所述下部电极之上安装示例性结构,并且上部电极与示例性结构被间隔垂直地间隔开。通过RF电磁场耦合到等离子体的功率在本文中称为等离子体功率。此外,可以将不变的电压偏压施加在下部电极与上部电极之间,以诱导等离子体中的离子撞击与下部电极接触的基板。通过不变的电压偏压耦合到等离子体的功率在本文中称为偏压功率。振荡电场通过从气体分子剥夺电子,将气体分子离子化,从而产生等离子体。
一经将示例性结构载入到工艺腔中,使得半导体基板10的底部表面接触下部电极,并且上部电极比半导体基板10的底部表面更加接近掩模层20时,将蚀刻剂气体流入到工艺腔中,例如,采用质量流量控制器。蚀刻剂气体的非限制性的示例是六氟化硫(SF6)。
通过产生蚀刻剂气体的等离子体,进行蚀刻工艺。可以通过施加偏压电压以及RF电磁场,并且从而将等离子体功率以及偏压功率耦合到蚀刻剂气体,来在工艺腔中产生蚀刻剂气体的等离子体。蚀刻剂气体被离子化,以形成包含蚀刻剂离子的等离子体。将蚀刻剂离子朝向示例性结构加速,以蚀刻半导体基板10的半导体材料。由于等离子体中的离子的速度的统计学分布,横向蚀刻伴随着半导体基板10中的半导体材料的垂直蚀刻。从而,掩模层中的每个开口之下形成的沟槽11包含外围的底切区域。沟槽11的底切区域是沟槽11的一部分,从垂直于半导体基板10与掩模层20之间的界面的方向上的俯视视角看,所述部分与掩模层20中的叠盖的开口区域不重叠。底切区域直接形成在掩模层20的围绕掩模层20中相对应的开口的部分的下方。
蚀刻剂离子的等离子体的压强可以从1mTorr到30mTorr,但也可以采用更低或更高的压强。在蚀刻工艺期间,半导体材料的蚀刻速率可以从1微米每分钟到10微米每分钟,但也可以采用更低或更高的温度。蚀刻工艺的温度可以从-30摄氏度到60摄氏度,但也可以采用更低或更高的温度。蚀刻工艺的持续时间可以从1秒到1分钟,但也可以采用更短或更长的持续时间。
参考图4C,在进行了一定时间周期的蚀刻工艺之后,在相同的工艺腔中进行沉积工艺。具体地,将工艺腔中的蚀刻剂气体泵出到工艺腔外,并且将沉积气体流入到工艺腔中,例如,采用质量流量控制器。沉积气体可以是例如C4F8、或在玻什法中作用为产生聚合物的气体的已知的任意其他气体。
通过产生沉积气体的等离子体,进行沉积工艺。可以通过施加偏压电压以及RF电磁场,并且从而将等离子体功率以及偏压功率耦合到沉积气体,来在工艺腔中产生沉积气体的等离子体。沉积气体被离子化,以形成氟烃离子的等离子体。将氟烃离子朝向示例性结构加速,以在掩模层20的顶部表面和侧壁上,以及半导体基板10中的沟槽11的侧壁和底部表面上沉积氟烃聚合物层30。由于等离子体中的离子的速度的统计学分布,氟烃聚合物层30沉积为连续层,其接触掩模层20的整个顶部表面和侧壁,以及半导体基板10中的沟槽11的侧壁和底部表面。
由于等离子体中存在的每种离子的不同的各向异性,氟烃聚合物层30的各个部分可以具有不同的组分和不同的厚度。此外,氟烃聚合物层30的各个部分可以具有不同的厚度。例如,氟烃聚合物层30位于沟槽11的底部的部分可以具有底部聚合物厚度tp_b,氟烃聚合物层30位于沟槽11的侧壁以及掩模层20的侧壁的部分可以具有侧壁聚合物厚度tp_s,并且氟烃聚合物层30位于掩模层20的顶部表面的部分可以具有顶部聚合物厚度tp_t。
等离子体的压强可以从1mTorr到30mTorr,但也可以采用更低或更高的压强。在各个位置的氟烃聚合物层30的氟烃聚合物材料的沉积速率可以从50nm每分钟到500nm每分钟,但也可以采用更低或更高的沉积速率。沉积工艺的温度可以从-30摄氏度到60摄氏度,但也可以采用更低或更高的温度。沉积工艺的持续时间可以从0.5秒到30秒,但也可以采用更短或更长的持续时间。
参考图4D,再次进行图4B的蚀刻工艺。选择产生蚀刻剂离子的等离子体的参数,使得撞击到示例性结构上的蚀刻剂离子主要地沿半导体基板10与掩模层20之间的界面的表面法线对齐。各向异性地蚀刻氟烃聚合物层30,使得氟烃聚合物层30位于沟槽11的底部表面以及掩模层20的顶部表面上的水平部分被蚀刻,而氟烃聚合物层30位于掩模层20的侧壁上以及沟槽11的侧壁上的部分不被移除。蚀刻剂气体的种类以及产生蚀刻剂离子的等离子体的其他参数可以与图4B的蚀刻工艺中相同。在一个实施例中,蚀刻工艺采用含氟蚀刻剂(比如SF6)的等离子体,并且在移除氟增强的氟烃材料之前,用氟原子取代氟烃聚合物层30中的氟烃聚合物材料中的一部分氢原子。
参考图4E,选择在图4D的步骤起始的蚀刻工艺的持续时间,使得在氟烃聚合物层30的底部部分被移除并且半导体表面在沟槽30的底部处被物理地暴露之后,继续蚀刻工艺。蚀刻剂等离子体开始蚀刻半导体基板10的半导体材料,使得半导体材料的垂直蚀刻和横向蚀刻同时发生,同时氟烃聚合物层30在沟槽11的侧壁处的剩余部分保护氟烃聚合物层30的剩余部分周围的半导体材料。沟槽11向下延伸,以增加新增的空腔容积。新增的空腔容积的横向范围垂直地调制,使得在图4D的工艺步骤的末尾,横向范围作为自沟槽11的底部表面的水平的深度的函数,逐渐增加并然后逐渐缩小。可以选择此蚀刻工艺的持续时间,使得在蚀刻工艺的末尾,完全移除或仅最低程度地呈现氟烃聚合物层30。
参考图4F,一经完成图4D和图4E的蚀刻工艺,可以重复图4C的沉积工艺,以沉积相同类型的氟烃聚合物材料,并且形成另一氟烃聚合物层30。此沉积步骤的工艺参数可以实质上与图4C的步骤的工艺参数相同。
通常,在半导体基板10和包含开口的掩模层20的堆叠体上重复上述蚀刻工艺以及上述沉积工艺的序列。每个蚀刻工艺移除开口之下的沟槽11的底部表面处的半导体材料,并且沉积工艺将氟烃聚合物层30沉积在沟槽11的底部表面和侧壁上,以及掩模层20的顶部表面和侧壁上。氟烃聚合物层30的氟烃聚合物材料由包含氟烃气体的离子的等离子体产生。
在每个沉积步骤的末尾,将氟烃聚合物层30连续地沉积在掩模层20的顶部表面和侧壁上,以及半导体基板10中的沟槽11的侧壁和底部表面上。氟烃聚合物层30包含第一氟烃聚合物部分30A,其沉积在沟槽11的底部表面上,并且具有第一组分和第一厚度,第二氟烃聚合物部分30B,其沉积在沟槽11的侧壁以及掩模层20的侧壁上,以及第三氟烃聚合物部分30C,其沉积在掩模层20的顶部表面上,并且具有第三组分和第三厚度。
在每个沉积步骤的末尾,示例性结构是半导体结构,其包含半导体基板10以及其中具有开口的掩模层20的堆叠体,沟槽11位于半导体基板10中并在开口之下,且其具有垂直地调制的宽度,以及氟烃聚合物层30,其从掩模层20的顶部表面和侧壁延伸,穿过沟槽11的侧壁,并且到达沟槽11的底部表面。
蚀刻工艺和沉积工艺的序列的重复进行在沟槽11中的横向尺寸(即,宽度)上形成调制,作为自半导体基板11的顶部表面与掩模层20之间的界面的深度的函数。蚀刻工艺和沉积工艺的序列的重复的总次数可以是从2到1000次,并且典型地从30到200次,但也可以采用更多或更少的重复次数。蚀刻工艺和沉积工艺的序列的每次重复使沟槽11向下延伸,以增加新增的空腔容积。新增的空腔容积的横向范围垂直地调制,使得在图4D的工艺步骤末尾,横向范围作为自沟槽11的底部表面的水平的深度的函数,逐渐增大并然后逐渐缩小。可以选择此蚀刻工艺的持续时间,使得在每个蚀刻工艺的末尾,完全移除或仅最低程度地呈现氟烃聚合物层30。
参考图4G,蚀刻工艺和沉积工艺的最后一个序列之后可以接着另一蚀刻工艺,其将沟槽11最后一次向下延伸。最后一次蚀刻工艺之后提供的沟槽11具有垂直地调制的宽度,其在本文中称为“扇贝化(scalloping)”。沟槽11的宽度的调制的一个循环对应于序列中的蚀刻工艺。沟槽11的宽度的调制中的循环的总次数与蚀刻工艺的序列的重复的次数与数字1的加和相同,其对应于随后没有沉积工艺的最后一次蚀刻工艺。
沟槽的深度d可以是从20微米到200微米,但也可以采用更小或更大的深度。在沟槽11的宽度方向上的垂直截面图中,沟槽11的侧壁的最外的横向范围与掩模层20的最接近沟槽11的该侧壁的侧壁之间的横向距离在本文中称为沟槽11的“底切尺寸”u。如本文中使用的,沟槽11的宽度方向是这样的方向,两个相对的侧壁之间的横向间隔距离沿该方向最小化。如果掩模层20中的开口的形状是圆形,则穿过沟槽11的垂直中心轴线的任何垂直线是宽度方向。如果掩模层20中的开口的形状是矩形,则宽度方向是矩形的较短的一对侧边的方向,所述矩形从俯视角度限定开口的周边。
在非限制性的示例性范例中,如果开口的宽度w是从3微米到5微米,并且沟槽的深度d近似于50微米,则沟槽11的底切尺寸u可以近似于300nm,而如果开口的宽度w近似于50微米,并且沟槽11的深度d近似于100微米,则沟槽11的底切尺寸u逐渐增加到近似于600nm。如宽度方向上的垂直截面图中所见,沟槽11的侧壁的横向调制可以是从底切尺寸的5%到30%。
至少一个玻什法中的每一个采用含氟蚀刻剂的等离子体。在示例性蚀刻工艺腔中,至少一个玻什法将氢氟烃聚合物材料中的一部分氢原子取代为氟原子,从而在至少一个玻什法的总工艺时间中逐渐降低至少一个玻什法的蚀刻速率。为保持高的平均蚀刻速率,可以重复进行预处理工艺以及至少一个玻什法。换而言之,在示例性蚀刻工艺装置中进行的工艺的序列可以包含第一预处理工艺、至少一个玻什法的第一集合、第二预处理、至少一个玻什法的第二集合,等等。
在至少一个基板上进行的至少一个玻什法可以是在多个基板上依次进行的多个玻什法。在进行每个玻什法之前,可以将新基板载入到示例性蚀刻工艺装置中,并且在完成每个玻什法之后,可以将其从示例性蚀刻工艺装置卸载。
在一个实施例中,玻什法可以采用SF6作为蚀刻剂。在一个实施例中,至少一个玻什法可以采用C4F8作为钝化气体。
每个玻什法在“生产基板”上进行,所述“生产基板”是包含半导体材料的基板,在所述半导体材料中已经形成或将后续形成半导体装置。如图1所示,载入到示例性蚀刻工艺腔中的每个基板包含位于半导体层140上的掩模层142。掩模层可以包含选自掺杂的硅氧化物、无掺杂的硅氧化物、硅氮化物、硅氮氧化物、电介质金属氧化物,或其组合的电介质材料。半导体层140可以包含硅或含硅的半导体合金。
一经完成玻什法,可以将基板从示例性蚀刻工艺装置移除,并且可以随后进行附加的工艺步骤。参考图4H,沟槽11的表面可以被清洁,例如,通过湿法清洁。可选地,可以或可以不移除掩模层20。通过共形沉积工艺(比如化学气相沉积或原子层沉积)将电介质衬垫40沉积在沟槽11的侧壁上。电介质衬垫40包含电介质材料,比如硅氧化物、硅氮化物、硅氮氧化物、电介质金属氧化物,或其组合。电介质衬垫40的厚度可以是从50nm到1000nm,但也可以采用更薄或更厚的厚度。
参考图4I,可以沉积导电材料层50L以填充沟槽11。可以通过例如化学气相沉积、电镀、无电镀,或其组合来沉积导电材料层50L。导电材料层50L可以包含金属材料,比如Cu,Al,W,TaN,TiN,WN,或其组合。
参考图4J,可以通过例如化学机械平坦化,将导电材料层50L平坦化,以形成贯通基板通孔结构50。电介质衬垫40和/或掩模层20可以用作平坦化工艺的终止层。
参考图4K,可以通过例如研磨或化学机械平坦化,将半导体基板10的底部部分移除,以物理地暴露贯通基板通孔结构50的底部部分。在贯通基板通孔结构50的底部表面周围,电介质衬垫40的表面也被物理地暴露。
参考图4L,可以通过例如C4球70,将半导体基板10接合到基板60。基板60可以是另一半导体基板、转置器(transposer),或封装基板。
图5是包含深沟槽的示例性基板的示意垂直截面图,所述深沟槽通过图4G的工艺步骤的末尾的玻什法形成。沟槽11的侧壁上的扇贝化未在图5示出。图5中的沟槽11的每个侧壁代表近似(例如,通过最小均方根逼近法)沟槽11的扇贝化的侧壁的垂直表面。图5中的沟槽11的底部表面代表水平表面,其代表沟槽11的机械压凹(例如,通过最小均方根逼近法)的表面。
沟槽11的深度d定义为半导体层10与掩模层20之间的界面之间的垂直距离。沟槽11的宽度w定义为近似于沟槽11的物理侧壁的一对垂直侧壁之间的水平距离。沟槽11的底切u定义为掩模层20的垂直侧壁与沟槽11的侧壁的最接近的部分之间的横向距离。沟槽的深宽比定义为沟槽11的深度d与宽度w的比值。
图6-图11将采用本公开的方法来预处理蚀刻工艺装置的侧壁之后的玻什法的表现与采用和玻什法相同的工艺条件、在未经任何预处理的参比玻什法的表现相比较。
参考图6,对于3微米宽的沟槽的蚀刻速率,将采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中的玻什法的表现与在未经任何预处理的参比示例性蚀刻工艺装置中的参比玻什法的表现相比较。玻什法具有相同的工艺参数。玻什法的蚀刻剂是SF6,并且玻什法的钝化气体是C4F8。采用相同的蚀刻工艺装置进行玻什法,并且蚀刻工艺装置未经任何预处理。预处理工艺包含使用由C5HF7产生的等离子体的5分钟的沉积步骤,不伴随任何蚀刻步骤。从而,预处理工艺的持续时间是5分钟。
对于在采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中的玻什法,作为玻什法时间的函数的第一蚀刻深度曲线906A代表蚀刻深度数据(以菱形表示)的拟合。对于在未经任何预处理的蚀刻工艺装置中的玻什法,作为玻什法时间的函数的第二蚀刻深度曲线906B代表蚀刻深度数据(以方块表示)的拟合。第一蚀刻深度曲线906A与第二蚀刻深度曲线906B的比较示出了在腔预处理之后,根据本公开的第一实施例的对蚀刻工艺装置的预处理将第一玻什法的蚀刻速率提高至少50%。
图7将采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中的玻什法的表现与在未经任何预处理的蚀刻工艺装置中的玻什法的表现之间的不同的度量标准相比较。如图5中定义的,对于具有不同深度的各个3微米宽的沟槽,测量底切u。
对于采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中的玻什法,作为蚀刻深度的函数的第一底切曲线907A代表测量的底切数据(以菱形表示)的拟合。对于在未经任何预处理的蚀刻工艺装置中的玻什法,作为蚀刻深度的函数的第二底切曲线907B代表底切数据(以方块表示)的拟合。第一底切曲线907A与第二底切曲线907B的比较示出了对于具有可比的深度和宽度的沟槽,根据本公开第一实施例的对蚀刻工艺装置的预处理将底切减小至少30%。
参考图8,曲线图示了对于具有约3微米宽度,并且深宽比约为23的沟槽,在采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中的玻什法的蚀刻速率与蚀刻工艺装置中的总累积玻什法时间的相关性。
对于采用C5HF7气体作为氢氟烃气体进行预处理的蚀刻工艺装置中的玻什法,作为累积玻什法时间的函数的第一蚀刻速率曲线908A代表测量的蚀刻速率数据(以菱形表示)的拟合。作为累积玻什法时间的函数的第二蚀刻速率曲线908B代表在未经任何预处理的蚀刻工艺装置中的玻什法的蚀刻速率。第一蚀刻速率曲线908A与第二蚀刻速率曲线908B的比较示出根据本公开第一实施例的对蚀刻工艺装置的预处理的效果在对蚀刻工艺装置进行预处理之后,随着累积玻什法时间降低。重复预处理工艺将后续的玻什法的蚀刻速率重置为最大值。
参考图9,对于各种具有不同深度的3微米到50微米宽的圆形沟槽的蚀刻速率,将采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的玻什法的表现与未经任何预处理的参比示例性蚀刻工艺装置中的参比玻什法的表现相比较。玻什法具有相同的工艺参数。玻什法的蚀刻剂是SF6,并且玻什法的钝化气体是C4F8。采用相同的蚀刻工艺装置进行玻什法,并且蚀刻工艺装置未经任何预处理。预处理工艺包含沉积步骤与蚀刻步骤的多个循环,所述沉积步骤采用由C5H2F6产生的等离子体,并且蚀刻步骤采用由SF6产生的等离子体。预处理工艺的持续时间为约40分钟。
对于采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的玻什法,作为玻什法时间的函数的第一蚀刻深度曲线909A代表蚀刻深度数据(以菱形表示)的拟合。对于未经任何预处理的蚀刻工艺装置中的玻什法,作为玻什法时间的函数的第二蚀刻深度曲线909B代表蚀刻深度数据(以方块表示)的拟合。第一蚀刻深度曲线909A与第二蚀刻深度曲线909B的比较示出了在腔预处理之后,根据本公开第二实施例的对蚀刻工艺装置的预处理将第一玻什法的蚀刻速率提高至少50%。
参考图10,如图5中定义的,对于具有不同深度的各个3微米到50微米宽的圆形沟槽,对于采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的玻什法以及未经任何预处理的蚀刻工艺装置中的玻什法,测量底切u。
对于采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的玻什法,作为蚀刻深度的函数的第一底切曲线910A代表测量的底切数据(以菱形表示)的拟合。对于未经任何预处理的蚀刻工艺装置中的玻什法,作为蚀刻深度的函数的第二底切曲线910B代表底切数据(以方块表示)的拟合。第一底切曲线910A与第二底切曲线910B的比较示出了对于具有可比深度和宽度的沟槽,根据本公开第一实施例的对蚀刻工艺装置的预处理将底切减小至少10%。
参考图11,曲线图示了对于具有约50微米的宽度以及约为3的深宽比的沟槽,采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的玻什法的蚀刻速率对蚀刻工艺装置中的总累积玻什法时间的相关性。
对于采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的玻什法,作为累积玻什法时间的函数的蚀刻速率曲线911代表测量的蚀刻速率数据(以菱形表示)的拟合。蚀刻速率曲线911A示出了在蚀刻工艺装置的预处理之后,根据本公开第二实施例的对蚀刻工艺装置预处理的效果随着累积玻什法时间降低。对于未经任何预处理的蚀刻工艺装置中的玻什法,作为累积玻什法时间的函数的第二蚀刻速率曲线911B代表蚀刻速率。重复预处理工艺将后续的玻什法的蚀刻速率重置为最大值。
参考图12,对于3微米宽的圆形沟槽的蚀刻速率,将采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的硅蚀刻工艺的表现与未经任何预处理的参比示例性蚀刻工艺装置中的参比硅蚀刻工艺的表现相比较。工艺具有相同的工艺参数。硅蚀刻工艺的蚀刻剂是SF6,不含任何钝化气体。采用相同的蚀刻工艺装置进行硅蚀刻工艺,并且蚀刻工艺装置未经任何预处理。预处理工艺包含40分钟的沉积步骤和蚀刻步骤,所述沉积步骤采用由C5H2F6产生的等离子体,并且所述蚀刻步骤采用由SF6产生的等离子体。
对于采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的硅蚀刻工艺,作为硅蚀刻工艺时间的函数的第一蚀刻深度曲线912A代表蚀刻深度数据(以菱形表示)的拟合。对于未经任何预处理的蚀刻工艺装置中的硅蚀刻工艺,作为硅蚀刻工艺时间的函数的第二蚀刻深度曲线912B代表蚀刻深度数据(以方块表示)的拟合。第一蚀刻深度曲线912A与第二蚀刻深度曲线912B的比较示出了在腔预处理之后,根据本公开第一实施例的对蚀刻工艺装置的预处理将第一硅蚀刻工艺蚀刻速率提高至少50%。
图13将采用C5H2F6气体作为氢氟烃气体、并且采用SF6作为蚀刻剂气体进行预处理的蚀刻工艺装置中的硅蚀刻工艺的表现与未经任何预处理的参比示例性蚀刻工艺装置中的参比硅蚀刻工艺的表现的不同度量标准相比较。如图5中所限定的,对于具有不同深度的各种3微米宽的圆形沟槽,测量底切u。
参考图14,图示了通过预处理工艺的工艺吞吐量的提高。如果蚀刻工艺装置在未经任何预处理工艺的情况下被用于15分钟的玻什法(采用C4F8和SF6),蚀刻工艺装置的期望的吞吐量约为96个晶片每天。如果进行包含采用C5HF7的等离子体的单个沉积步骤的5分钟的预处理工艺(陈化工艺),并且如果随后运行蚀刻工艺装置30分钟(以处理两个晶片),则期望的蚀刻工艺吞吐量约为122个晶片每天。如果进行包含采用C5HF7的等离子体的单个沉积步骤的5分钟的预处理工艺(陈化工艺),并且如果随后运行蚀刻工艺装置60分钟(以处理四个晶片),则期望的蚀刻工艺吞吐量约为126个晶片每天。从而,与在不采用任何预处理工艺的情况下连续地进行玻什法的参比方法相比,通过使用氢氟烃气体的等离子体预处理蚀刻工艺装置,从而周期性地在蚀刻工艺装置的内壁上沉积氢氟烃聚合物材料,可以将玻什法的蚀刻工艺装置的总吞吐量提高。
尽管已经依据具体的实施例描述了本公开,但很清楚的是,根据前面的说明书,许多替代、变动和变化对于本领域技术人员将是显而易见的。相应地,本公开意图包含全部这样的替代、变动和变化,其落入本公开以及所附的权利要求的范围之中。

Claims (20)

1.一种操作蚀刻工艺装置的方法,包括:
在蚀刻工艺装置中进行预处理工艺,所述预处理工艺包含通过沉积氢氟烃聚合物材料涂覆所述蚀刻工艺装置的内壁,所述氢氟烃聚合物材料由具有CxHyFz组分的氢氟烃气体的等离子体产生,其中x是选自3、4、5、6和7的整数,y和z是不大于15的正整数,并且其中所述氢氟烃聚合物材料的涂层形成在所述蚀刻工艺装置的所述内壁上;以及
在所述蚀刻工艺装置中,在至少一个基板上进行至少一个硅蚀刻工艺,所述至少一个基板中的每一个包含图案化的掩模层,通过其物理地暴露至少一个半导体材料部分。
2.如权利要求1所述的方法,其中在所述预处理工艺期间,将虚设基板载入到所述蚀刻工艺装置中,并且随后在进行所述至少一个硅蚀刻工艺之前,将其移除。
3.如权利要求2所述的方法,其中所述虚设基板包括位于半导体层上的掩模层,所述掩模层包含电介质材料,所述电介质材料选自掺杂的硅氧化物、无掺杂的硅氧化物、硅氮化物、硅氮氧化物、电介质金属氧化物,或其组合。
4.如前述权利要求中任一项所述的方法,其中所述蚀刻工艺装置的所述内壁的所述预处理工艺将所述至少一个硅蚀刻的工艺蚀刻速率提高正的百分比,在所述预处理工艺之后进行的第一硅蚀刻工艺期间,与参比硅蚀刻工艺相比,所述正的百分比是至少10%,所述参比硅蚀刻工艺与所述第一硅蚀刻工艺采用相同的工艺条件,并且在未经任何预处理的蚀刻工艺装置中进行。
5.如权利要求4所述的方法,其中所述第一硅蚀刻工艺的所述蚀刻速率与所述参比硅蚀刻工艺相比提高至少50%。
6.如权利要求4或5所述的方法,其中所述百分比随着在所述蚀刻工艺装置中的所述硅蚀刻工艺的总运行时间单调地降低。
7.如前述权利要求中任一项所述的方法,其中所述氢氟烃聚合物材料包含原子浓度在从35%到100%的范围内的碳,以及原子浓度从0%到65%的氟。
8.如前述权利要求中任一项所述的方法,还包括重复进行所述预处理工艺和所述至少一个硅蚀刻工艺。
9.如前述权利要求中任一项所述的方法,其中在所述至少一个基板上进行的所述至少一个硅蚀刻工艺是在多个基板上依次进行的多个硅蚀刻工艺。
10.如前述权利要求中任一项所述的方法,其中所述硅蚀刻工艺采用含氟蚀刻剂的等离子体。
11.如权利要求10所述的方法,其中所述硅蚀刻工艺采用SF6作为所述蚀刻剂。
12.如权利要求10所述的方法,其中所述硅蚀刻工艺是采用SF6作为蚀刻剂,并且采用C4F8作为钝化气体的玻什法。
13.如前述权利要求中任一项所述的方法,其中所述氢氟烃气体选自C5H2F6和C5HF7
14.如权利要求13所述的方法,其中所述氢氟烃气体是C5HF7,并且所述预处理工艺不采用任何蚀刻半导体材料的气体。
15.如权利要求1所述的方法,其中在采用氢氟烃气体的所述预处理工艺期间,将虚设基板载入到所述蚀刻工艺装置中,并且所述预处理工艺还包括进行采用蚀刻气体的蚀刻工艺,所述蚀刻气体蚀刻所述虚设基板的半导体材料部分。
16.如权利要求15所述的方法,还包括在所述预处理工艺期间,进行采用所述氢氟烃气体的所述工艺和所述蚀刻工艺的多个循环。
17.如权利要求15所述的方法,其中所述氢氟烃气体是C5H2F6
18.如权利要求15所述的方法,其中所述蚀刻气体是SF6
19.如权利要求15所述的方法,其中所述氢氟烃气体是C5H2F6,并且所述蚀刻气体是SF6
20.如前述权利要求中任一项所述的方法,其中所述至少一个基板中的每一个包括位于半导体层上的掩模层,所述掩模层包含电介质材料,所述电介质材料选自掺杂的硅氧化物、无掺杂的硅氧化物、硅氮化物、硅氮氧化物、电介质金属氧化物,或其组合,并且其中所述半导体层包括硅或含硅的半导体合金。
CN201580022239.1A 2014-05-02 2015-04-15 通过蚀刻腔预处理来提高硅蚀刻工艺的蚀刻速率的方法 Active CN106233436B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/268,098 2014-05-02
US14/268,098 US9711365B2 (en) 2014-05-02 2014-05-02 Etch rate enhancement for a silicon etch process through etch chamber pretreatment
PCT/IB2015/052736 WO2015166368A1 (en) 2014-05-02 2015-04-15 Etch rate enhancement for a silicon etch process through etch chamber pretreatment

Publications (2)

Publication Number Publication Date
CN106233436A true CN106233436A (zh) 2016-12-14
CN106233436B CN106233436B (zh) 2020-01-07

Family

ID=54355746

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580022239.1A Active CN106233436B (zh) 2014-05-02 2015-04-15 通过蚀刻腔预处理来提高硅蚀刻工艺的蚀刻速率的方法

Country Status (7)

Country Link
US (1) US9711365B2 (zh)
JP (1) JP2017516318A (zh)
CN (1) CN106233436B (zh)
DE (1) DE112015001462B4 (zh)
GB (1) GB2540314A (zh)
TW (1) TW201604956A (zh)
WO (1) WO2015166368A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109786237A (zh) * 2017-11-12 2019-05-21 台湾积体电路制造股份有限公司 制造半导体结构的方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015100686A1 (de) * 2015-01-19 2016-07-21 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Mehrzahl von Halbleiterchips und Halbleiterchip
CN106847689B (zh) * 2015-12-03 2020-02-11 北京北方华创微电子装备有限公司 一种深硅刻蚀工艺
JP6859088B2 (ja) * 2016-12-14 2021-04-14 エイブリック株式会社 半導体装置の製造方法
WO2018230373A1 (ja) * 2017-06-16 2018-12-20 日本ゼオン株式会社 プラズマ処理装置のシーズニング方法及びプラズマエッチング方法
US10950454B2 (en) * 2017-08-04 2021-03-16 Lam Research Corporation Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
CN110137097B (zh) * 2018-02-02 2020-06-19 北京北方华创微电子装备有限公司 获得刻蚀深度极限值的方法
JP2018137483A (ja) * 2018-05-23 2018-08-30 Sppテクノロジーズ株式会社 プラズマ加工方法及びこの方法を用いて製造された基板
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
DE102019116019A1 (de) * 2019-06-12 2020-12-17 X-Fab Semiconductor Foundries Gmbh Herstellung von Bauelementen in Substraten über einen mehrstufigen Ätzprozess
US20230230810A1 (en) * 2020-10-05 2023-07-20 Spp Technologies Co., Ltd. Plasma processing gas, plasma processing method, and plasma processing apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US20040084409A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
JP2008270348A (ja) * 2007-04-17 2008-11-06 Seiko Epson Corp ドライエッチング装置及び被加工物の加工方法
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法
CN101461072A (zh) * 2006-05-30 2009-06-17 朗姆研究公司 用于等离子处理系统的最小化掩模的底切及刻痕的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684851A (ja) 1992-09-01 1994-03-25 Mitsubishi Electric Corp プラズマエッチング方法およびプラズマ処理装置
US5908320A (en) 1996-06-26 1999-06-01 Lam Research Corporation High selectivity BPSG:TiSi2 contact etch process
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US6227211B1 (en) 1998-12-07 2001-05-08 Taiwan Semiconductor Manufacturing Company Uniformity improvement of high aspect ratio contact by stop layer
US6808647B1 (en) 1999-07-12 2004-10-26 Applied Materials Inc Methodologies to reduce process sensitivity to the chamber condition
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
DE10209763A1 (de) 2002-03-05 2003-10-02 Bosch Gmbh Robert Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers
US7053003B2 (en) 2004-10-27 2006-05-30 Lam Research Corporation Photoresist conditioning with hydrogen ramping
US7416676B2 (en) 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
JP4978512B2 (ja) 2008-02-29 2012-07-18 日本ゼオン株式会社 プラズマエッチング方法
US8148264B2 (en) 2009-02-25 2012-04-03 California Institue Of Technology Methods for fabrication of high aspect ratio micropillars and nanopillars
US8652969B2 (en) 2011-10-26 2014-02-18 International Business Machines Corporation High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
US8916054B2 (en) 2011-10-26 2014-12-23 International Business Machines Corporation High fidelity patterning employing a fluorohydrocarbon-containing polymer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US20040084409A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
CN101461072A (zh) * 2006-05-30 2009-06-17 朗姆研究公司 用于等离子处理系统的最小化掩模的底切及刻痕的方法
JP2008270348A (ja) * 2007-04-17 2008-11-06 Seiko Epson Corp ドライエッチング装置及び被加工物の加工方法
JP2008300616A (ja) * 2007-05-31 2008-12-11 Nippon Zeon Co Ltd エッチング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109786237A (zh) * 2017-11-12 2019-05-21 台湾积体电路制造股份有限公司 制造半导体结构的方法
CN109786237B (zh) * 2017-11-12 2020-12-11 台湾积体电路制造股份有限公司 制造半导体结构的方法

Also Published As

Publication number Publication date
WO2015166368A1 (en) 2015-11-05
US20150318182A1 (en) 2015-11-05
TW201604956A (zh) 2016-02-01
JP2017516318A (ja) 2017-06-15
DE112015001462T5 (de) 2017-01-12
GB2540314A (en) 2017-01-11
CN106233436B (zh) 2020-01-07
US9711365B2 (en) 2017-07-18
DE112015001462B4 (de) 2019-01-24

Similar Documents

Publication Publication Date Title
CN106233436A (zh) 通过蚀刻腔预处理来提高硅蚀刻工艺的蚀刻速率的方法
US8652969B2 (en) High aspect ratio and reduced undercut trench etch process for a semiconductor substrate
US20190096694A1 (en) Methods for high precision plasma etching of substrates
EP3035369B1 (en) Plasma treatment method
US20110223750A1 (en) Method for manufacturing semiconductor device and semiconductor manufacturing apparatus
CN103390581A (zh) 硅通孔刻蚀方法
US20090243120A1 (en) Semiconductor element and semiconductor element fabrication method
JP2013080909A (ja) 3dフラッシュ構造用のエッチングプロセス
CN103094095A (zh) 制造半导体器件的方法
CN104658962B (zh) 通孔的形成方法
Ham et al. Dual etch processes of via and metal paste filling for through silicon via process
Kamto et al. Cryogenic inductively coupled plasma etching for fabrication of tapered through-silicon vias
WO2016062614A1 (en) Method of producing an opening with smooth vertical sidewall in a semiconductor substrate
CN102117738A (zh) 使用含碳氟化合物的聚合物使硅片顶角圆化的方法
CN106504982B (zh) 一种基片的刻蚀方法
TW201530654A (zh) 蝕刻的方法
US9355891B2 (en) Method for exposing a layer
CN110211870A (zh) 晶圆减薄方法
CN105679700A (zh) 硅深孔刻蚀方法
CN108573867A (zh) 硅深孔刻蚀方法
US20220293430A1 (en) Isotropic silicon nitride removal
CN108133888B (zh) 一种深硅刻蚀方法
Wang et al. Si etching for TSV formation
US20170154830A1 (en) Method of manufacturing semiconductor device
US20190244827A1 (en) Apparatus and method for anisotropic drie etching with fluorine gas mixture

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant