CN106057871A - 半导体结构及其形成方法 - Google Patents

半导体结构及其形成方法 Download PDF

Info

Publication number
CN106057871A
CN106057871A CN201510760358.9A CN201510760358A CN106057871A CN 106057871 A CN106057871 A CN 106057871A CN 201510760358 A CN201510760358 A CN 201510760358A CN 106057871 A CN106057871 A CN 106057871A
Authority
CN
China
Prior art keywords
layer
semiconductor structure
grid
substrate
silicon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510760358.9A
Other languages
English (en)
Other versions
CN106057871B (zh
Inventor
吴政达
李奕贤
游伟明
王廷君
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106057871A publication Critical patent/CN106057871A/zh
Application granted granted Critical
Publication of CN106057871B publication Critical patent/CN106057871B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供了一种半导体结构及其形成方法。半导体结构包括:衬底;鳍结构,从衬底突出,鳍结构沿着第一方向延伸;隔离部件,设置在鳍结构的两侧上;栅极结构,位于鳍结构上方并且沿着垂直于第一方向的第二方向在隔离部件上延伸;以及其中,栅极结构包括第一部分和第二部分,第二部分位于第一部分上方并且第二部分在第一方向上的尺寸比第一部分在第一方向上的尺寸大。本发明实施例涉及半导体结构及其形成方法。

Description

半导体结构及其形成方法
技术领域
本发明实施例大体地涉及半导体结构,并且更具体地涉及三维晶体管。
背景技术
对集成电路制造商而言,为提高集成电路的集成度和降低集成电路的制造成本而采用的若干策略之一是引入多栅极器件(例如,在单晶体管内并入多于一个栅极的多栅极场效应晶体管)。由于减小传统的平面MOSFET的物理尺寸变得越来越困难,所以提出以诸如鳍式场效应晶体管(FinFET)的多栅极器件来代替传统的平面MOSFET。
然而,根据传统的制造技术,随着IC的尺寸变得越来越小,FinFET的栅极结构将很有可能直接地相互接触。因此,需要能够防止邻近的金属栅极短路的FinFET结构。
发明内容
根据本发明的一些实施例,提供了一种半导体结构,包括:衬底;鳍结构,从所述衬底突出,所述鳍结构沿着第一方向延伸;隔离部件,设置在所述鳍结构的两侧上;栅极结构,位于所述鳍结构上方并且沿着垂直于所述第一方向的第二方向在所述隔离部件上延伸;以及其中,所述栅极结构包括第一部分和第二部分,所述第二部分位于所述第一部分上方并且所述第二部分在所述第一方向上的尺寸比所述第一部分在所述第一方向上的尺寸大。
根据本发明的另一些实施例,还提供了一种半导体结构,包括:半导体衬底;栅极结构,在所述半导体衬底上方延伸,其中,所述栅极结构包括第一部分和第二部分,所述第二部分位于所述第一部分上方并且所述第二部分在与所述栅极结构延伸的方向垂直的方向上的尺寸比所述第一部分在与所述栅极结构延伸的方向垂直的方向上的尺寸大。
根据本发明的又一些实施例,还提供了一种用于形成半导体结构的方法,包括:在半导体衬底上沿着第一方向形成鳍结构;在所述半导体衬底和所述鳍结构上方沉积第一层;以及在所述第一层上方沉积第二层,其中,沉积所述第一层包括原位生长掺杂有III族或V族元素的硅层。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。应该强调,根据工业中的标准实践,各种部件未按比例绘制。实际上,为了清楚讨论,各个部件的尺寸可以任意地增大或缩小。
图1是根据本发明的一个实施例的示出了半导体结构的示意图。
图2是根据本发明的图1的示出了半导体结构的截面图。
图3是根据本发明的图1的示出了半导体结构的截面图。
图4A是根据本发明的一个实施例的示出了半导体结构的示意图。
图4B是根据本发明的一个实施例的示出了半导体结构的示意图。
图5A至图5J示意性地示出了根据本发明的一个实施例的形成半导体结构的方法。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件形成为直接接触的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以便于描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而在此使用的空间相对描述符可以同样地作相应的解释。
下面详细讨论本实施例的制作和使用。然而,应当理解,本发明提供了可以体现在各种具体环境中的许多可应用的发明概念。讨论的具体实施例仅仅说明了制造和使用本发明的具体方式,并且不限制本发明的范围。
根据在制造MOSFET、FinFET或其他类型的场效应晶体管中使用的现有的金属栅极制造技术,由于多晶硅栅极结构的均匀蚀刻的难度,不容易获得金属栅极结构的均匀的底切轮廓(例如,具有垂直侧壁而不是向外倾斜的侧壁的金属栅极结构)。因此,金属栅极基脚经常存在导致邻近的金属栅极短路的问题。此外,对FinFET器件而言,传统的金属栅极制造技术往往会导致由一些蚀刻工艺引起的不期望的鳍顶部损坏。因此,需要能够防止邻近的金属栅极的短路并且也防止不期望的鳍顶部损坏的FinFET结构。
为了解决上述问题,本发明提供了在与衬底/鳍的界面处(例如,在界面处存在均匀底切)具有缩进的栅极部分的半导体结构(及其形成方法)以防止邻近的金属栅极的短路。此外,根据本发明,也可以通过具有相对高导电性的额外的层,解决不期望的鳍顶部破坏的问题。
参照附图,图1是根据本发明的一个实施例的示出了半导体结构100的示意图。半导体结构100可以是多栅极的非平面场效应晶体管(例如,FinFET)。如图1所示,半导体结构100包括:衬底101、鳍结构102、栅极结构103、高k介电层104、介电侧壁105a和105b、间层介电(ILD)106和隔离部件107。
衬底101是向半导体结构100提供支撑的下面的层。衬底101可以是块状硅衬底、外延硅衬底、硅锗衬底、碳化硅衬底、硅锗衬底或其他III-V族化合物衬底。
鳍结构102形成为从衬底101突出的薄的、平面结构并且沿着第一方向(图1中的x方向)延伸,以及可以由与衬底101相同的材料形成。鳍结构102可以包括源极区、漏极区和沟道区(未示出)。源极区和漏极区通过沟道区分隔开,沟道区由栅极结构103包裹围绕。栅极结构103的宽度(在图1中以x方向测量)确定了半导体结构100的有效沟道长度。包裹围绕的栅极结构103提供更好的电控制并且因此有助于减少泄漏电流和克服其他短沟道效应。
设置在鳍结构102的两侧上的隔离部件107可以是浅沟槽隔离(STI)部件,并且隔离部件107可以防止邻近的鳍结构102(或邻近的半导体结构100)之间的电流泄漏。隔离部件107可通过下列步骤形成:在衬底101中蚀刻沟槽的图案,沉积一种或多种介电材料(诸如二氧化硅)以填充沟槽,并且去除过量的电介质,从而暴露出鳍结构102的顶部。可以通过湿或干热氧化、物理汽相沉积(PVD)、原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、其他合适的方法和/或它们的组合来形成隔离部件107。在一个实施例中,可以由二氧化硅形成隔离部件107。隔离部件107可以具有多层结构,例如,形成在衬垫上方的具有氧化硅或氮化硅的热氧化物衬垫层。
栅极结构(栅电极)103布置在隔离部件107上以跨越鳍结构102并且在基本上垂直于第一方向的第二方向(图1中的y方向)上延伸,鳍结构102沿着第一方向(图1中的x方向)延伸。栅极结构103可以由任何合适的栅电极材料形成。在一个示例性实施例中,栅极结构103可以是通过由诸如但不限于铜、钌、钯、铂、钴、镍、氧化钌、钨、铝、钛、钽、氮化钛、氮化钽、铪、锆、金属碳化物或导电金属氧化物形成的金属栅电极。应当理解,栅极结构103不必是单一的材料,但是也可以包括薄膜的复合堆叠件。
高K介电层104设置在鳍结构102和栅极结构103之间并且设置在隔离部件107和栅极结构103之间。高K介电层104可以由任何的栅极介电材料形成。在一个实施例中,高K介电层104包括二氧化硅介电层、氮氧化硅介电层或氮化硅介电层。高K介电层104的厚度可以在约至约之间。高K介电层104的k值可以大于约7.0,并且可以包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb以及它们的组合的氧化物或硅酸盐。高K介电层104的示例性材料包括MgOx、BaTixOy、BaSrxTiyOz、PbTixOy、PbZrxTiyOz等,其中,值X、Y、Z介于0和1之间。可以通过分子束沉积(MBD)、原子层沉积(ALD)、物理汽相沉积(PVD)等形成高k介电层104。
ILD层106用于电分离,并且具有低介电常数K的特征以最小化电容耦合。可以通过化学汽相沉积(CVD)、高密度等离子体CVD(HDP-CVD)、旋涂沉积、物理汽相沉积(PVD或溅射)或其他合适的方法形成ILD层106。ILD层106可以包括氧化硅、氮氧化硅、低k材料和/或其他合适的电介质。ILD层106可以形成在栅极结构103和鳍结构102上并且围绕栅极结构103和鳍结构102。
根据本发明的一个实施例,栅极结构103包括第一部分103a和第二部分103b。第二部分103b位于第一部分103a上方并且通过第一部分103a与下面的鳍结构102和隔离部件107分隔开。可以使用相同的材料或相同制造工艺来形成栅极结构103的第一部分103a和第二部分103b。在一个实施例中,第一部分103a夹在介电侧壁105a之间并且第二部分103b夹在介电侧壁105b之间。在一个实施例中,介电侧壁105a可以是掺杂有III族或V族元素(III族元素/掺杂剂可以包括砷(As)、磷(P)或锑(Sb)而III族掺杂剂可以包括硼(B))的氧化硅侧壁。介电侧壁105a中III族或V族元素的浓度在从约1E19至约1E22原子/cm3的范围内。在一个实施例中,介电侧壁105b可以是掺杂有碳或氮的氧化硅侧壁,其中,碳或氮的浓度在从约5E18至约1E21原子/cm3的范围内。
根据本发明的一个实施例,第一部分103a和第二部分103b被配置为使得第二部分103b在第一方向(图1中的x方向)上测量的尺寸大于第一部分103a在第一方向上测量的尺寸,或者,换言之,第一部分103a的宽度小于第二部分103b的宽度。这样的配置/布置可以有效地防止栅极基脚轮廓,而栅极基脚轮廓引起邻近的栅极结构(例如,跨越相同的鳍结构的邻近的栅极)之间的短路。栅极基脚是金属栅极制造工艺中的常见缺陷。
图2是示出了从图1中的平面交叉线A-A截取的图1中的半导体结构100的截面图,图2详细地示出了第一部分103a和第二部分103b的几何配置。在图2中,参数W103a代表第一部分103a的宽度并且参数W103b代表第二部分103b的宽度。由于参数W103b选择为大于参数W103a,底切区域(未示出)将呈现在第一部分103a的两侧上。如上所述,底切区域可以防止邻近的栅极结构之间的短路。在一个实施例中,参数W103a和参数W103b选择为使得W103b比W103a大约2nm至约6nm。在一个实施例中,参数W103a和参数W103b选择为使得W103b比W103a大约3nm至约5nm。在一个实施例中,参数W103a和参数W103b选择为使得W103b比W103a大约4nm。参数W代表在第一部分103a的一侧上的第一部分103a和第二部分103b之间的宽度差(即,底切区域的宽度)。在一个实施例中,参数W在从约1nm至约3nm的范围内。在一个实施例中,参数W为约2nm。此外,参数H103a代表第一部分103a的高度和参数H103b代表第二部分103b的高度。在一个实施例中,参数H103a在从约5nm至约50nm的范围内。在一个实施例中,参数H103a在从约5nm至约20nm的范围内。在一个实施例中,参数H103a在从约5nm至约10nm的范围内。在一个实施例中,H103b与H103a的比率在从约2至约6的范围内。在一个实施例中,H103b与H103a的比率在从约3至约5的范围内。在一个实施例中,H103b与H103a的比率是约4。
图3是示出了从图1中的平面交叉线B-B截取的图1的半导体结构100的截面图(注意,图1中的线B-B延伸穿过恰好位于右侧上的介电侧壁105b之上的区域)。图3示出了介电侧壁105a基本上覆盖鳍结构102。
图4A是根据本发明的一个实施例的示出了半导体结构400A的示意图。半导体结构400A包括:衬底101、鳍结构102、栅极结构103、高K介电层104、介电侧壁105a和105b以及ILD层106。
衬底101可以是至少包括掩埋氧化物(BOX)层101a和基底衬底层101b的绝缘体上半导体(SOI)衬底。BOX层101a的材料可以是SiO2。BOX层101a的厚度可以大于100nm。基底衬底层101b可以由硅、锗或III-V族化合物(例如,碳化硅、镓、砷化铟或磷化铟)形成。
鳍结构102是在衬底101的BOX层101a上形成的薄的平面结构并且在第一方向上延伸(图4中的x方向)。栅极结构103形成在衬底101的BOX层101a上并且布置为跨越鳍结构102。栅极结构103在基本上垂直于第一方向(图4中的x方向)的第二方向(图4中的y方向)上延伸。高K介电层104设置在鳍结构102和栅极结构103之间并且设置在BOX层101a和栅极结构103之间。栅极结构103包括第一部分103a和位于第一部分103a上方的第二部分103b。第一部分103a夹在介电侧壁105a之间并且第二部分103b夹在介电侧壁105b之间。在一个实施例中,介电侧壁105a可以是掺杂有III族或V族元素的硅侧壁并且介电侧壁105b可以是掺杂有碳或氮的硅侧壁。半导体结构400A的ILD层106可以形成在栅极结构103和鳍结构102上并且围绕栅极结构103和鳍结构102。
半导体结构400A的鳍结构102与半导体结构100的鳍结构102的区别主要在于前者是形成在半导体结构400A的衬底101上方的BOX层101a上而后者穿透隔离结构107并且连接至半导体结构100的衬底101。
对半导体结构400A而言,第一部分103a的宽度选择为小于第二部分103b的宽度以防止邻近的栅极结构之间的短路。由于半导体结构400A的截面图与半导体结构100的截面图基本上相同,所以下文提到的半导体结构400A的尺寸参数将参照图2(即,示出了从图1中的平面交叉线A-A截取的图1的半导体结构100的截面图)中示出的尺寸参数进行论述。对半导体结构400A而言,第二部分W103b的宽度比第一部分W103a的宽度大约2nm至约6nm。在一个实施例中,W103b比W103a大约3nm至约5nm。在一个实施例中,W103b比W103a大约4nm。在一个实施例中,第一部分103a和第二部分103b之间的在第一部分103a的一侧上的宽度差(W)在从约1nm至约3nm的范围内。在一个实施例中,参数W为约2nm。在一个实施例中,第一部分103a的高度H103a在从约5nm至约50nm的范围内。在一个实施例中,H103a在从约5nm至约20nm的范围内。在一个实施例中,H103b(第二部分103b的高度)与H103a的比率在从约2至约6的范围内。在一个实施例中,H103b与H103a的比率在从约3至约5的范围内。在一个实施例中,H103b与H103a的比率为约4。
图4B是根据本发明的一个实施例的示出了半导体结构400B的示意图。半导体结构400B包括:衬底101、鳍结构103、高K介电层104、介电侧壁105a和介电侧壁105b以及ILD层106。在一个实施例中,半导体结构400B是平面MOSFET,其中,在衬底101内形成源极区、漏极区和沟道区(未示出)。
对半导体结构400B而言,第一部分103a的宽度选择为小于第二部分103b的宽度以防止邻近的栅极结构之间的短路。同样地,由于半导体结构400B的截面图与半导体结构100的截面图基本上相同,半导体结构400B的尺寸参数与图2中示出的那些尺寸参数基本上相同。
图5A至图5J示意性地示出了根据本发明的一个实施例的形成半导体结构(例如,FinFET)的方法。在图5A(操作5A)中,提供衬底101。衬底101可以是至少包括BOX层和基底衬底层(未示出)的SOI衬底。BOX层的材料可以是SiO2并且基底衬底层可以由硅、锗或III-V族化合物形成。在其他实施例中,衬底101可以是硅衬底。
在图5B(操作5B)中,在衬底101的表面上形成平面鳍结构102。沿着第一方向(x方向)在衬底101上形成鳍结构102。在一个实施例中,衬底101是包括顶部硅层、BOX层和基底衬底层(未示出)的SOI衬底,其中,在衬底101上形成鳍结构102包括蚀刻掉顶部硅层的部分以限定BOX层上的鳍结构102(即,顶部硅层的剩余部分)。在一个实施例中,衬底101是块状硅衬底并且在衬底101上形成鳍结构102包括蚀刻掉衬底101的部分以在衬底101上形成平行的沟槽以便在衬底101上限定鳍结构102。
在图5C(操作5C)中,在鳍结构102上方形成第一硅层105a’。在一个实施例中,在形成第一硅层105a’之前,在鳍结构102上方形成栅极氧化物层(未示出)。即,首先在鳍结构102上方形成栅极氧化物层和然后在栅极氧化物层上方形成第一硅层105a’。在一个实施例中,在从约450摄氏度至约650摄氏度的温度下,例如,从约480摄氏度至约620摄氏度的温度下形成第一硅层105a’。在一个实施例中,在从约0.2托至约5.0托的压力下形成第一硅层105a’。在一个实施例中,形成第一硅层105a’包括在原位生长掺杂有III族或V族元素的硅层,其中,原位掺杂操作包括生长硅层,同时引入掺杂剂气体。在一个实施例中,掺杂剂气体包括III族或V族元素。例如,在用于原位形成第一硅层105a’的CVD操作中,生长气体包括硅烷(SiH4)、乙硼烷(B2H6)和H2,其中,SiH4用于生长第一硅层而B2H6提供用于第一硅层的掺杂剂。在一个实施例中,第一硅层105a’中的III族或V族元素的浓度在从约1E18至约5E22原子/cm3的范围内。在一个实施例中,第一硅层105a’中的III族或V族元素的浓度在从约1E19至约5E22原子/cm3的范围内。在一个实施例中,第一硅层105a’中的III族或V族元素的浓度在从约1E19至约1E22原子/cm3的范围内。在第一硅层105a’中的III族或V族元素的浓度为在从约1E19至约1E22原子/cm3的范围内的情况下,与未引入掺杂剂的硅层相比,第一硅层105a’是导电性相对更强的层。
在一个实施例中,在鳍结构102上方形成第一硅层105a’包括:在非原位形成掺杂有III族或V族元素的第一硅层105a’,即,在掺杂III族或V族元素之前,形成第一硅层105a’。
在图5D(操作5D)中,在第一硅层105a’上方形成第二硅层105b’。在一些实施例中,第二硅层105b’是不具有典型的III族和/或V族掺杂剂的掺杂的硅层。例如,第二硅层105b’可以包括碳或氮。在其他实施例中,第二硅层105b’是具有典型的III族和/或V族掺杂剂的掺杂的硅层,但是在第二硅层105b’中测量的掺杂剂浓度低于第一硅层105a’中的掺杂剂浓度。在一个实施例中,用于沉积第一硅层105a’和第二硅层105b’的温度和压力基本上相同。在一个实施例中,在从约450摄氏度至约650摄氏度的温度下,例如,从约480摄氏度至约620摄氏度的温度下形成第二硅层105b’。在一个实施例中,在从约0.2托至约5.0托的压力下形成第二硅层105b’。在形成第二硅层105b’期间,也引入包括SiH4、C2H4和/或H2的气体。在一个实施例中,形成第二硅层105b’包括在原位生长(或者在非原位,即,在形成硅层之后实施碳和/或氮的注入操作)掺杂有碳或氮的硅层。在一个实施例中,在第二硅层105b’中的碳和/或氮的浓度在从约1E18至约5E22原子/cm3的范围内。在一个实施例中,在第二硅层105b’中的碳和/或氮的浓度在从约1E19至约5E22原子/cm3的范围内。在一个实施例中,在第二硅层105b’中的碳和/或氮的浓度在从约5E18至约1E21原子/cm3的范围内。第一硅层105a’和第二硅层105b’形成为使得第一硅层105a’的氧化速率基本上大于第二硅层105b’的氧化速率。
在图5E(操作5E)中,图案化第一硅层105a’和第二硅层105b’以在鳍结构102上方形成伪栅极堆叠件(图5E中的105a’/105b’堆叠件)并且伪栅极堆叠件沿着垂直于第一方向的第二方向延伸,其中,鳍结构102沿着第一方向延伸。图案化第一硅层105a’和第二硅层105b’包括蚀刻掉第一硅层105a’和第二硅层105b’的部分,从而使得剩余部分在鳍结构102上方形成伪栅极堆叠件(图5E中的105a’/105b’堆叠件)。蚀刻工艺可以包括湿蚀刻和干蚀刻。对湿蚀刻工艺而言,当浸没在液相(“湿”)蚀刻剂的溶池中时,将被蚀刻的层的暴露表面溶解,必须搅拌溶池以实现良好的工艺控制,其中,湿蚀刻剂通常是各向同性的。对干蚀刻工艺而言,通过离子轰击衬底的暴露表面(通常为反应气体的等离子体,诸如碳氟化合物、氧、氯、三氯化硼;有时添加氮、氩、氦和其他气体)。与在湿蚀刻中使用的许多湿化学蚀刻剂不同,干蚀刻工艺通常定向地蚀刻或各向异性地蚀刻。干蚀刻工艺包括离子铣削(溅射蚀刻)、反应离子蚀刻(RIE)、深反应离子蚀刻(DRIE)等。在一些实施例中,在干蚀刻操作之后进行湿蚀刻操作以清洗图案之间的底角。
在图5F(操作5F)中,进一步氧化伪栅极堆叠件(105a’/105b’堆叠件)。在一个实施例中,在从约400摄氏度至约1000摄氏度的温度下,优选地从500摄氏度至950摄氏度的温度下,氧化伪栅极堆叠件(105a’/105b’堆叠件)。在一个实施例中,在从约1托至约120托的压力下,优选地从约2托至约100托的压力下,氧化伪栅极堆叠件(105a’/105b’堆叠件)。在引入具有从约0.4%至约40%的H2的百分比,优选地,具有从约0.5%至约33%的的H2的百分比的H2/O2的条件下,实施氧化工艺。由于第一硅层105a’的氧化速率基本上大于第二硅层105b’的氧化速率,所以第一硅层105a’的氧化部分(即,氧化部分105a,对应于图1中的介电侧壁105a)将比第二硅层105b’的氧化部分(即,氧化部分105b,对应于图1中的介电侧壁105b)更厚/更宽(在x方向上测量)。换句话说,第一硅层105a’的未氧化部分(对应于图1和图2中的第一部分103a)将比第二硅层105b’的未氧化部分(对应于图1和图2中的第二部分103b)更窄。由于图5F的配置与图2的配置基本上相同,下文提到的图5F的尺寸参数将参照图2中示出的尺寸参数进行论述。在图5F中,第二硅层105b’的未氧化部分(对应于图2中的第二部分103b)的宽度比第一硅层105a’的未氧化部分(对应于图2中的第一部分103a)大约2nm至约6nm。在一个实施例中,第二硅层105b’的未氧化部分的宽度比第一硅层105a’的未氧化部分的宽度大约3nm至约5nm。在一个实施例中,第二硅层105b’的未氧化部分的宽度比第一硅层105a’的未氧化部分的宽度大约4nm。在一个实施例中,第一硅层105a’的未氧化部分的宽度与第二硅层105b’的未氧化部分的宽度在一侧上(第一硅层105a’的未氧化部分的)的差在从约1nm至约3nm的范围内,优选地,宽度差为约2nm。在一个实施例中,第一硅层105a’的高度在从约5nm至约50nm的范围内。在一个实施例中,第一硅层105a’的高度在从约5nm至约20nm的范围内。在一个实施例中,第二硅层105b’的高度与第一硅层105a’的高度的比率在从约2至约6的范围内。在一个实施例中,第二硅层105b’的高度与第一硅层105a’的高度的比率在从约3至约5的范围内。在一个实施例中,第二硅层105b’的高度与第一硅层105a’的高度的比率为约4。
在图5G(操作5G)中,在鳍结构102上方并且在伪栅极堆叠件(105a’/105b’堆叠件)周围形成ILD层。ILD层106用于电分离,并且具有低介电常数K的特征以最小化电容耦合。ILD层106可以包括氧化硅、氮氧化硅、低k材料和/或其他合适的电介质。在一个实施例中,沉积ILD层还包括使用CMP工艺以暴露出伪栅极堆叠件(105a’/105b’堆叠件)。
在图5H(操作5H)中,蚀刻掉第一硅层105a’的未氧化部分和第二硅层105b’的未氧化部分以限定开口。需要注意的是,由于蚀刻工艺的高选择性,氧化部分105a和氧化部分105b基本上保持完整,即,将仅蚀刻掉未氧化部分或多晶硅。由于在这个操作中可以去除初始栅极氧化物,所以鳍顶部直接暴露于干/湿蚀刻剂。然而,由于第一硅层105a’是导电层,所以下面的鳍结构102可以受到保护而免受干蚀刻工艺(等离子体蚀刻)或湿蚀刻期间的旋涂工艺引起的损坏(例如,静电电荷的积累引起的损害)。
在图5I(操作5I)中,在开口中和在鳍结构102上设置薄的高K介电层104。可以由任何栅极介电材料形成高K介电层104。在一个实施例中,高K介电层104包括二氧化硅介电层、氮氧化硅介电层或氮化硅介电层。高K介电层104的厚度可以在约至约之间。高K介电层104的K值可以大于约7.0。
在图5J(操作5J)中,在开口内沉积金属以形成栅极结构103。诸如CVD、PVD、ALD、溅射、电镀或化学镀的金属沉积工艺可以用于沉积栅极结构103。栅极结构103可以是金属栅电极,诸如,但不限制于铜、钌、钯、铂、钴、镍、氧化钌、钨、铝、钛、钽、氮化钛、氮化钽、铪、锆、金属碳化物或导电金属氧化物。应当理解,栅极结构103不必是单一的材料,但是可以包括薄膜的复合堆叠件。在一个实施例中,在开口内沉积金属还包括:使用CMP工艺以平坦化沉积的金属。
因此,基于在图5A至图5J中示出的方法制造的半导体结构将有利地具有金属栅极结构103,金属栅极结构103在与衬底/鳍结构的界面处具有缩进的栅极部分(例如,在界面处的均匀的底切)。因此,可以有效地防止邻近的金属栅极的短路。相反,对于传统的制造工艺,由于多晶硅栅极结构的非均匀蚀刻,金属栅极结构将具有向外倾斜的侧壁(基脚轮廓)。
本发明的一个实施例提供了半导体结构,包括:衬底;鳍结构,从衬底突出,鳍结构,沿着第一方向延伸;隔离部件,设置在鳍结构的两侧上;栅极结构,位于鳍结构上方并且沿着垂直于第一方向的第二方向在隔离部件上延伸;以及其中,栅极结构包括第一部分和第二部分,第二部分位于第一部分上方并且第二部分在第一方向上的尺寸比第一部分在第一方向上的尺寸大。
在一个实施例中,第一部分的尺寸和第二部分的尺寸之间的差在从约2nm至约6mnm的范围内。
在一个实施例中,半导体结构还包括:高K介电层,位于鳍结构和栅极结构的第一部分之间。
在一个实施例中,栅极结构包括金属栅极。
在一个实施例中,第一部分的高度在从约5nm至约50nm的范围内。
在一个实施例中,第二部分的高度与第一部分的高度的比在从约2至约6的范围内。
在一个实施例中,第一部分夹在掺杂有III族或V族元素的介电侧壁之间。
本发明的一个实施例提供了一种半导体结构,包括:半导体衬底;栅极结构,在半导体衬底上方延伸,其中,栅极结构包括第一部分和第二部分,第二部分位于第一部分上方并且第二部分在与栅极结构延伸的方向垂直的方向上的尺寸比第一部分在与栅极结构延伸的方向垂直的方向上的尺寸大。
在一个实施例中,第一部分的尺寸和第二部分的尺寸之间的在一侧上的差在从约1nm至约3nm的范围内。
在一个实施例中,第二部分的高度与第一部分的高度的比在从约2至约6的范围内。
本发明的一个实施例提供了一种用于形成半导体结构的方法,包括:在半导体衬底上沿着第一方向形成鳍结构;在半导体衬底和鳍结构上方沉积第一层;以及在第一层上方沉积第二层,其中,沉积第一层包括在原位生长掺杂有III族或V族元素的硅层。
在一个实施例中,III族元素包括硼。
在一个实施例中,第一硅层中的III族或V族元素的浓度在从约1E19至约1E22原子/cm3的范围内。
在一个实施例中,沉积第二层包括:生长掺杂有碳或氮的硅层。
在一个实施例中,用于沉积第一层和第二层的温度和压力基本上相同。
在一个实施例中,该方法还包括图案化第一层和第二层以在鳍结构上方形成伪栅极堆叠件,并且伪栅极堆叠件沿着与第一方向垂直的第二方向延伸。
在一个实施例中,该方法还包括氧化伪栅极堆叠件的第一层和第二层。
在一个实施例中,在从约2托至约100托的范围内的压力下实施氧化。
在一个实施例中,第一层的氧化速率大于第二层的氧化速率。
在一个实施例中,该方法还包括:去除伪栅极堆叠件的未氧化部分。
在上面的实例和说明书中已经充分地描述了本发明的方法和特征。应当理解,不背离本发明的精神的任何修改或变化将被涵盖在本发明的保护范围中。
根据本发明的一些实施例,提供了一种半导体结构,包括:衬底;鳍结构,从所述衬底突出,所述鳍结构沿着第一方向延伸;隔离部件,设置在所述鳍结构的两侧上;栅极结构,位于所述鳍结构上方并且沿着垂直于所述第一方向的第二方向在所述隔离部件上延伸;以及其中,所述栅极结构包括第一部分和第二部分,所述第二部分位于所述第一部分上方并且所述第二部分在所述第一方向上的尺寸比所述第一部分在所述第一方向上的尺寸大。
在上述半导体结构中,所述第一部分的尺寸和所述第二部分的尺寸之间的差在从约2nm至约6nm的范围内。
在上述半导体结构中,还包括:高K介电层,位于所述鳍结构和所述栅极结构的所述第一部分之间。
在上述半导体结构中,所述栅极结构包括金属栅极。
在上述半导体结构中,所述第一部分的高度在从约5nm至约50nm的范围内。
在上述半导体结构中,所述第二部分的高度与所述第一部分的高度的比在从约2至约6的范围内。
在上述半导体结构中,所述第一部分夹在掺杂有III族或V族元素的介电侧壁之间。
根据本发明的另一些实施例,还提供了一种半导体结构,包括:半导体衬底;栅极结构,在所述半导体衬底上方延伸,其中,所述栅极结构包括第一部分和第二部分,所述第二部分位于所述第一部分上方并且所述第二部分在与所述栅极结构延伸的方向垂直的方向上的尺寸比所述第一部分在与所述栅极结构延伸的方向垂直的方向上的尺寸大。
在上述半导体结构中,所述第一部分的尺寸和所述第二部分的尺寸之间的在一侧上的差在从约1nm至约3nm的范围内。
在上述半导体结构中,所述第二部分的高度与所述第一部分的高度的比在从约2至约6的范围内。
根据本发明的又一些实施例,还提供了一种用于形成半导体结构的方法,包括:在半导体衬底上沿着第一方向形成鳍结构;在所述半导体衬底和所述鳍结构上方沉积第一层;以及在所述第一层上方沉积第二层,其中,沉积所述第一层包括原位生长掺杂有III族或V族元素的硅层。
在上述方法中,所述III族元素包括硼。
在上述方法中,所述第一硅层中的所述III族或所述V族元素的浓度在从约1E19至约1E22原子/cm3的范围内。
在上述方法中,沉积所述第二层包括:生长掺杂有碳或氮的硅层。
在上述方法中,用于沉积所述第一层和所述第二层的温度和压力基本上相同。
在上述方法中,还包括图案化所述第一层和所述第二层以在所述鳍结构上方形成伪栅极堆叠件,并且所述伪栅极堆叠件沿着与所述第一方向垂直的第二方向延伸。
在上述方法中,还包括:氧化所述伪栅极堆叠件的所述第一层和所述第二层。
在上述方法中,在从约2托至约100托的范围内的压力下实施所述氧化。
在上述方法中,所述第一层的氧化速率大于所述第二层的氧化速率。
在上述方法中,还包括:去除所述伪栅极堆叠件的未氧化部分。
此外,本申请的范围并不仅限于本说明书中描述的工艺、机器、制造、材料组分、装置、方法和步骤的特定实施例。作为本领域普通技术人员根据本发明应很容易理解,通过本发明,现有的或今后开发的用于执行与根据本发明所采用的所述相应实施例基本相同的功能或获得基本相同结果的工艺、机器、制造,材料组分、装置、方法或步骤根据本发明可以被使用。因此,所附权利要求预期在其范围内包括这样的工艺、机器、制造、材料组分、装置、方法或步骤。此外,每条权利要求构成单独的实施例,并且多个权利要求和实施例的组合在本发明的范围内。

Claims (10)

1.一种半导体结构,包括:
衬底;
鳍结构,从所述衬底突出,所述鳍结构沿着第一方向延伸;
隔离部件,设置在所述鳍结构的两侧上;
栅极结构,位于所述鳍结构上方并且沿着垂直于所述第一方向的第二方向在所述隔离部件上延伸;以及
其中,所述栅极结构包括第一部分和第二部分,所述第二部分位于所述第一部分上方并且所述第二部分在所述第一方向上的尺寸比所述第一部分在所述第一方向上的尺寸大。
2.根据权利要求1所述的半导体结构,其中,所述第一部分的尺寸和所述第二部分的尺寸之间的差在从约2nm至约6nm的范围内。
3.根据权利要求1所述的半导体结构,还包括:高K介电层,位于所述鳍结构和所述栅极结构的所述第一部分之间。
4.根据权利要求1所述的半导体结构,其中,所述栅极结构包括金属栅极。
5.根据权利要求1所述的半导体结构,其中,所述第一部分的高度在从约5nm至约50nm的范围内。
6.根据权利要求1所述的半导体结构,其中,所述第二部分的高度与所述第一部分的高度的比在从约2至约6的范围内。
7.根据权利要求1所述的半导体结构,其中,所述第一部分夹在掺杂有III族或V族元素的介电侧壁之间。
8.一种半导体结构,包括:
半导体衬底;
栅极结构,在所述半导体衬底上方延伸,
其中,所述栅极结构包括第一部分和第二部分,所述第二部分位于所述第一部分上方并且所述第二部分在与所述栅极结构延伸的方向垂直的方向上的尺寸比所述第一部分在与所述栅极结构延伸的方向垂直的方向上的尺寸大。
9.根据权利要求8所述的半导体结构,其中,所述第一部分的尺寸和所述第二部分的尺寸之间的在一侧上的差在从约1nm至约3nm的范围内。
10.一种用于形成半导体结构的方法,包括:
在半导体衬底上沿着第一方向形成鳍结构;
在所述半导体衬底和所述鳍结构上方沉积第一层;以及
在所述第一层上方沉积第二层,
其中,沉积所述第一层包括原位生长掺杂有III族或V族元素的硅层。
CN201510760358.9A 2015-04-17 2015-11-10 半导体结构及其形成方法 Active CN106057871B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/689,786 2015-04-17
US14/689,786 US9768261B2 (en) 2015-04-17 2015-04-17 Semiconductor structure and method of forming the same

Publications (2)

Publication Number Publication Date
CN106057871A true CN106057871A (zh) 2016-10-26
CN106057871B CN106057871B (zh) 2019-04-26

Family

ID=57128412

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510760358.9A Active CN106057871B (zh) 2015-04-17 2015-11-10 半导体结构及其形成方法

Country Status (3)

Country Link
US (3) US9768261B2 (zh)
CN (1) CN106057871B (zh)
TW (1) TWI625772B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1475031A (zh) * 2000-11-15 2004-02-11 �Ҵ���˾ 具有开凹槽的栅极的fet及其制造方法
US6716689B2 (en) * 2001-11-21 2004-04-06 Samsung Electronics Co., Ltd. MOS transistor having a T-shaped gate electrode and method for fabricating the same
US20070040223A1 (en) * 2005-08-17 2007-02-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20090206406A1 (en) * 2008-02-15 2009-08-20 Willy Rachmady Multi-gate device having a t-shaped gate structure
CN103943678A (zh) * 2013-01-22 2014-07-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291313B1 (en) * 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
JP4447128B2 (ja) * 2000-07-12 2010-04-07 富士通マイクロエレクトロニクス株式会社 絶縁ゲート型半導体装置の製造方法
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100600380B1 (ko) * 2004-12-29 2006-07-18 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
KR101236427B1 (ko) * 2006-05-10 2013-02-22 삼성디스플레이 주식회사 박막 트랜지스터용 게이트 절연막의 제조방법 및 이를이용한 박막 트랜지스터의 제조방법
US8202773B2 (en) * 2008-08-29 2012-06-19 Texas Instruments Incorporated Engineered oxygen profile in metal gate electrode and nitrided high-k gate dielectrics structure for high performance PMOS devices
JP5305969B2 (ja) * 2009-02-17 2013-10-02 株式会社東芝 半導体装置
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8263451B2 (en) * 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
KR20120004842A (ko) * 2010-07-07 2012-01-13 삼성전자주식회사 반도체 소자 및 이를 제조하는 방법
US8450221B2 (en) * 2010-08-04 2013-05-28 Texas Instruments Incorporated Method of forming MOS transistors including SiON gate dielectric with enhanced nitrogen concentration at its sidewalls
US8633522B2 (en) * 2010-08-31 2014-01-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for fabricating the same
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8435846B2 (en) * 2011-10-03 2013-05-07 International Business Machines Corporation Semiconductor devices with raised extensions
US20130175579A1 (en) * 2012-01-10 2013-07-11 International Business Machines Corporation Transistor with recessed channel and raised source/drain
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8759172B2 (en) * 2012-04-18 2014-06-24 International Business Machines Corporation Etch stop layer formation in metal gate process
WO2013171892A1 (ja) * 2012-05-18 2013-11-21 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9105490B2 (en) * 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9029225B2 (en) * 2012-11-30 2015-05-12 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing N-type MOSFET
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US9219062B2 (en) * 2013-05-24 2015-12-22 GlobalFoundries, Inc. Integrated circuits with improved source/drain contacts and methods for fabricating such integrated circuits
US9209274B2 (en) 2013-07-19 2015-12-08 Globalfoundries Inc. Highly conformal extension doping in advanced multi-gate devices
KR102055379B1 (ko) * 2013-08-08 2019-12-13 삼성전자 주식회사 트라이-게이트를 포함하는 반도체 소자 및 그 제조 방법
US8900951B1 (en) 2013-09-24 2014-12-02 International Business Machines Corporation Gate-all-around nanowire MOSFET and method of formation
KR20150054497A (ko) * 2013-11-12 2015-05-20 삼성전자주식회사 반도체 장치 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9484463B2 (en) * 2014-03-05 2016-11-01 International Business Machines Corporation Fabrication process for mitigating external resistance of a multigate device
US9196711B2 (en) * 2014-03-07 2015-11-24 International Business Machines Corporation Fin field effect transistor including self-aligned raised active regions
CN105261645B (zh) * 2014-07-16 2020-02-21 联华电子股份有限公司 半导体装置及其制作方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1475031A (zh) * 2000-11-15 2004-02-11 �Ҵ���˾ 具有开凹槽的栅极的fet及其制造方法
US6716689B2 (en) * 2001-11-21 2004-04-06 Samsung Electronics Co., Ltd. MOS transistor having a T-shaped gate electrode and method for fabricating the same
US20070040223A1 (en) * 2005-08-17 2007-02-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20090206406A1 (en) * 2008-02-15 2009-08-20 Willy Rachmady Multi-gate device having a t-shaped gate structure
CN103943678A (zh) * 2013-01-22 2014-07-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法

Also Published As

Publication number Publication date
US9768261B2 (en) 2017-09-19
US20180012963A1 (en) 2018-01-11
US20160308059A1 (en) 2016-10-20
CN106057871B (zh) 2019-04-26
US11024716B2 (en) 2021-06-01
US10504998B2 (en) 2019-12-10
US20200119146A1 (en) 2020-04-16
TW201639013A (zh) 2016-11-01
TWI625772B (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
TWI693700B (zh) 記憶裝置
CN109690775B (zh) 三维存储器件及其制造方法
US9881929B1 (en) Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9799671B2 (en) Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9478558B2 (en) Semiconductor structure with concave blocking dielectric sidewall and method of making thereof by isotropically etching the blocking dielectric layer
US9437543B2 (en) Composite contact via structure containing an upper portion which fills a cavity within a lower portion
TWI685950B (zh) 記憶體元件以及形成記憶體元件的方法
CN104335349B (zh) 具有纳米线存取晶体管的dram
US9379177B2 (en) Deep trench capacitor
TWI524466B (zh) 半導體結構與其形成方法
US20160372567A1 (en) Semiconductor devices and methods of manufacturing the same
CN108695336A (zh) 三维半导体存储器件及制造其的方法
US9484296B2 (en) Self-aligned integrated line and via structure for a three-dimensional semiconductor device
CN108124495A (zh) 具有金属和硅化物控制栅极的三维存储器装置
TW201913938A (zh) 積體電路及其製造方法
CN108093656A (zh) 具有该具有套环部分的接合电极的多级存储器堆叠结构及其制造方法
US9385201B2 (en) Buried source-drain contact for integrated circuit transistor devices and method of making same
CN109155313A (zh) 用于形成三维存储器器件中的结构增强型半导体插塞的方法
TWI442550B (zh) 位元線結構、半導體元件及其形成方法
US11844216B2 (en) Three-dimensional memory devices and fabricating methods thereof
TWI458050B (zh) 分離式字元線之製程
CN110429086A (zh) 集成电路及其形成方法
US8652933B2 (en) Semiconductor structure having wide and narrow deep trenches with different materials
CN111162079A (zh) 选择性外延结构的形成方法及3d存储器件制造方法
CN106057871A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant