CN105976858B - 一种可在较低电压下稳定工作的sram存储单元 - Google Patents

一种可在较低电压下稳定工作的sram存储单元 Download PDF

Info

Publication number
CN105976858B
CN105976858B CN201610521366.2A CN201610521366A CN105976858B CN 105976858 B CN105976858 B CN 105976858B CN 201610521366 A CN201610521366 A CN 201610521366A CN 105976858 B CN105976858 B CN 105976858B
Authority
CN
China
Prior art keywords
nmos tube
phase inverter
data
transmission gate
connect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610521366.2A
Other languages
English (en)
Other versions
CN105976858A (zh
Inventor
张建杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SUZHOU WULI INFORMATION TECHNOLOGY Co Ltd
Original Assignee
SUZHOU WULI INFORMATION TECHNOLOGY Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SUZHOU WULI INFORMATION TECHNOLOGY Co Ltd filed Critical SUZHOU WULI INFORMATION TECHNOLOGY Co Ltd
Priority to CN201610521366.2A priority Critical patent/CN105976858B/zh
Publication of CN105976858A publication Critical patent/CN105976858A/zh
Application granted granted Critical
Publication of CN105976858B publication Critical patent/CN105976858B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Static Random-Access Memory (AREA)

Abstract

本发明公开了一种可在较低电压下稳定工作的SRAM存储单元,包括两个传输门、两个反相器以及串联在一起的两个NMOS管;其中,两个反相器和第二个传输门组成锁存器;锁存器的第一存储端经第一个传输门与写入数据位线接连,锁存器的第二存储端与第一个NMOS管的栅极连接,第二个NMOS管的栅极与读取数据字线连接,第二个NMOS管的漏极与读取数据位线连接。本发明采用读、写数据的字线各自分开以及读、写数据的位线各自分开的电路架构,并且在第二个反相器的输出端与第一个反相器的输入端之间插入一个传输门用来控制写入数据动作,解决了在电源电压较低的条件下实现正确的数据写入,减少读取数据时对所存储数据的干扰,提升存储单元的静态噪声容限。

Description

一种可在较低电压下稳定工作的SRAM存储单元
技术领域
本发明属于半导体电路技术领域,具体涉及一种新型的可以在较低电压下稳定工作的SRAM存储单元。
背景技术
制造成本、运行速度、功耗与可靠性已成为当今社会电子通讯产品性能的最重要的几个指标。随着半导体工艺水平的不断进步,半导体器件的尺寸不断缩小,如何通过降低电路的工作电压而达到降低功耗的目的成为集成电路设计的一大挑战。随机静态存储器(SRAM)是SoC系统中非常重要的一部分,传统的6管SRAM存储单元由于读写操作的可靠性问题决定了其最低工作电压很难跟随着制造工艺的前进而继续缩减下去。
如图1所示,表示传统的6管SRAM存储单元,其中MP3、MN5构成一个反相器,MP4、MN6构成另一个反相器,这两个反相器组成锁存器,外部写入的数据存储在该锁存器的Q端或QB端,MN7、MN8为开关管,WL为字线,BL、BLB为位线。写操作时:如果对Q(原状态为“1”)写入“0”,首先位线BL与BLB预充电至“1”,然后根据要写入的数据类型将BL与BLB分别被置为“0”与“1”;再将字线WL置为“1”,使得MN7、MN8两个开关管导通;Q端从“1”变“0”,QB从“0”变为“1”,写操作结束。读操作时(假设Q端存“0”):首先BL、BLB被预充电到“1”;字线WL拉高为“1”,MN7、MN8两个开关管导通,BLB保持“1”态,BL慢慢被拉低至“0”,灵敏放大器将BL、BLB之间电压差放大并将存储单元的“0”态读出。
稳定性与面积是SRAM存储单元设计中最重要的两个方面,器件尺寸不断缩小与器件工作电压的不断降低,使得传统的6管SRAM存储单元在稳定性上面临更大的挑战。随着工作电压的降低,传统SRAM存储单元的静态噪声容限(SNM)与写入数据的能力下降。
如图1所示,假设所有MOS管尺寸一定,MP3/MN5(或MP4/MN6)组成的反相器的开关阈值电压:
VM≈rVDD/(1+r);
其中r为MP3与MN5的宽长比(或MP4与MN6的宽长比)。
可见,如果工作电压(VDD)降低,VM也随之降低,Q端(写“0”)必须降到更低的电位才能达到MP4/MN6的阈值开关电压,使得QB状态翻转,实现数据成功写入。
如图2所示,当MN5与MN7的宽长比(β)一定时,静态噪声容限(SNM)与工作电压(VDD)的关系为:随着VDD的下降,SNM下降。静态噪声容限的降低意味着存储单元的存储信息在数据读取时很容易被干扰,从而导致原先所存储的信息被改写掉。
传统的6管SRAM存储单元在工作电压较高的情况下稳定性与面积成本都能兼顾得到,由于降低功耗的要求驱使,随着最低工作电压逐步减小,存储单元难以被写入数据或写入数据失败,而且随着VDD的下降,静态噪声容限也跟着下降,存储单元的稳定性在低电压条件下受到威胁。
发明内容
为了解决低工作电压下存储器写入数据与读取时所存储数据的可靠性问题,本发明旨在提供一种可在较低电压下稳定工作的SRAM存储单元,该存储单元既能在较低的工作电压下实现数据成功写入,又能保证良好的静态噪声容限。
为实现上述技术目的,达到上述技术效果,本发明通过以下技术方案实现:
一种可在较低电压下稳定工作的SRAM存储单元,采用读、写数据的字线各自分开以及读、写数据的位线各自分开的电路架构,包括第一传输门、第二传输门、第一反相器、第二反相器、第三NMOS管和第四NMOS管;
所述第一反相器、所述第二反相器和所述第二传输门组成锁存器,所述第二传输门连接在所述第一反相器的输入端与所述第二反相器的输出端之间,用来控制写入数据动作;所述第二反相器的输出端经所述第二传输门与所述第一反相器的输入端连接后构成所述锁存器的第一存储端,所述第二反相器的输入端与所述第一反相器的输出端连接后构成所述锁存器的第二存储端;
所述锁存器的第一存储端通过所述第一传输门与写入数据位线接连,所述锁存器的第二存储端与所述第三NMOS管的栅极连接,所述第三NMOS管的源极接地,所述第三NMOS管的漏极与所述第四NMOS管的源极连接,所述第四NMOS管的栅极与读取数据字线连接,所述第四NMOS管的漏极与读取数据位线连接;所述第三NMOS管用于探测存储信息,所述第四NMOS管用于字线选择;
所述第一传输门的一个信号输入端和所述第二传输门的一个信号输入端均与第一写入数据字线连接,所述第一传输门的另一个信号输入端和所述第二传输门的另一个信号输入端均与第二写入数据字线连接。
本发明的各个器件工作原理如下:
第一传输门:接收来自控制写入数据的字线信号WWL/WWLB,当需要写入数据时,WWL=1,WWLB=0,所述第一传输门导通,这时将写入数据位线的数据写入所述锁存器的第一存储端;
第二传输门:接收来自控制写入数据的字线信号WWL/WWLB,当需要写入数据时,WWL=1,WWLB=0,所述第二传输门PS2关闭,当WWL=0,WWLB=1时,所述第二传输门导通,数据锁存住;
第一反相器:用来锁存数据,由第一PMOS管和第一NMOS管组成,所述第一PMOS管的源极接电源,所述第一NMOS管的源极接地,所述第一PMOS管的栅极与所述第一NMOS管的栅极连接,构成所述第一反相器的输入端,所述第一PMOS管的漏极与所述第一NMOS管的漏极连接,构成所述第一反相器的输出端;
第二反相器:用来锁存数据,由第二PMOS管和第二NMOS管组成,所述第二PMOS管的源极接电源,所述第二NMOS管的源极接地,所述第二PMOS管的栅极与所述第二NMOS管的栅极连接,构成所述第二反相器的输入端,所述第二PMOS管的漏极与所述第二NMOS管的漏极连接,构成所述第二反相器的输出端;
第三NMOS管:用于读取数据,其栅极连接所述锁存器的第二存储端,如果所述第二存储端为“1”,则所述第三NMOS管导通,如果所述第二存储端为“0”,则所述第三NMOS管关断;
第四NMOS管:用于读取数据,其栅极连接所述读取数据字线,读取数据时,所述读取数据字线为“1”,则第三NMOS管导通,所述读取数据位线将本存储单元存储的信息送至灵敏放大器。
本发明的的基本读写操作方法如下:
写操作:写入数据时,写入数据位线先预充电至高电平,如果从Q端写“0”(原存“1”)进入存储单元(锁存器),写入数据位线被外部输入电路置为“0”;第一写入数据字线选中拉为高电平(第二写入数据字线为“0”),第一传输门导通,数据写入存储单元(锁存器),第二传输门此时不导通,Q’不会与Q发生竞争,Q端更容易被写入;当写入动作结束后,WWL=0,WWLB=1,第二传输门导通使得第一反相器与第二反相器互锁;由于数据写入时Q’不会与Q发生竞争,即使VDD最低工作电压下降,外部数据也可以成功写入;
读操作:读数据时,第一写入数据字线为“0”,读取数据位线首先被预充电至“1”,如果存储单元(锁存器)所存状态为“0”,那么QB=1,当读取数据字线拉高后,第三NMOS管与第四NMOS管处于导通状态,读取数据位线被拉低,这时数据输出端读到的数据为“0”;如果存储单元(锁存器)所存状态为“1”,那么QB=0,当读取数据字线读取数据字线拉高后,第三NMOS管不导通,第四NMOS管处于导通状态,读取数据位线保持为高电平状态,这时数据输出端读到的数据为“1”;
由于读、写数据的字线不共用,读、写数据的位线也各自分开,因而本发明的新型SRAM存储单元,不像传统6管SRAM存储单元那样读数据时对存储数据的干扰,具有更大的噪声容限。
与现有技术相比,本发明的有益效果是:
本发明采用读、写数据的字线各自分开以及读、写数据的位线各自分开的电路架构,并且在第二个反相器的输出端与第一个反相器的输入端之间插入一个传输门用来控制写入数据动作,解决了在电源电压较低的条件下实现正确的数据写入,减少读取数据时对所存储数据的干扰,提升存储单元的静态噪声容限,解决了低工作电压下存储器写入数据与读取时所存储数据的可靠性问题。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,并可依照说明书的内容予以实施,以下以本发明的较佳实施例并配合附图详细说明。本发明的具体实施方式由以下实施例及其附图详细给出。
附图说明
此处所说明的附图用来提供对本发明的进一步理解,构成本申请的一部分,本发明的示意性实施例及其说明用于解释本发明,并不构成对本发明的不当限定。在附图中:
图1为现有技术6管SRAM存储单元的电路图;
图2为现有技术6管SRAM存储单元的静态噪声容限(SNM)与工作电压(VDD)的关系曲线图;
图3为本发明的SRAM存储单元的电路图;
图4为本发明的SRAM存储单元的写操作波形图;
图5为本发明的SRAM存储单元的读操作波形图。
具体实施方式
下面将参考附图并结合实施例,来详细说明本发明。
参见图3所示,一种可在较低电压下稳定工作的SRAM存储单元,采用读、写数据的字线各自分开以及读、写数据的位线各自分开的电路架构,包括第一传输门PS1、第二传输门PS2、第一反相器INV1、第二反相器INV2、第三NMOS管MN3和第四NMOS管MN4;
所述第一反相器INV1、所述第二反相器INV2和所述第二传输门PS2组成锁存器,所述第二传输门PS2连接在所述第一反相器INV1的输入端与所述第二反相器INV2的输出端之间,用来控制写入数据动作;所述第二反相器INV2的输出端经所述第二传输门PS2与所述第一反相器INV1的输入端连接后构成所述锁存器的第一存储端Q,所述第二反相器INV2的输入端与所述第一反相器INV1的输出端连接后构成所述锁存器的第二存储端QB;
所述锁存器的第一存储端Q通过所述第一传输门PS1与写入数据位线WBL接连,所述锁存器的第二存储端QB与所述第三NMOS管MN3的栅极连接,所述第三NMOS管MN3的源极接地,所述第三NMOS管MN3的漏极与所述第四NMOS管MN4的源极连接,所述第四NMOS管MN4的栅极与读取数据字线RWL连接,所述第四NMOS管MN4的漏极与读取数据位线RBL连接;所述第三NMOS管MN3用于探测存储信息,所述第四NMOS管MN4用于字线选择;
所述第一传输门PS1的一个信号输入端和所述第二传输门PS2的一个信号输入端均与第一写入数据字线WWL连接,所述第一传输门PS1的另一个信号输入端和所述第二传输门PS2的另一个信号输入端均与第二写入数据字线WWLB连接。
本发明的各个器件工作原理如下:
第一传输门PS1:接收来自控制写入数据的字线信号WWL/WWLB,当需要写入数据时,WWL=1,WWLB=0,所述第一传输门PS1导通,这时将写入数据位线WBL的数据写入所述锁存器的第一存储端Q;
第二传输门PS2:接收来自控制写入数据的字线信号WWL/WWLB,当需要写入数据时,WWL=1,WWLB=0,所述第二传输门PS2关闭,当WWL=0,WWLB=1时,所述第二传输门PS2导通,数据锁存住;
第一反相器INV1:用来锁存数据,由第一PMOS管MP1和第一NMOS管MN1组成,所述第一PMOS管MP1的源极接电源,所述第一NMOS管MN1的源极接地,所述第一PMOS管MP1的栅极与所述第一NMOS管MN1的栅极连接,构成所述第一反相器INV1的输入端,所述第一PMOS管MP1的漏极与所述第一NMOS管MN1的漏极连接,构成所述第一反相器INV1的输出端;
第二反相器INV2:用来锁存数据,由第二PMOS管MP2和第二NMOS管MN2组成,所述第二PMOS管MP2的源极接电源,所述第二NMOS管MN2的源极接地,所述第二PMOS管MP2的栅极与所述第二NMOS管MN2的栅极连接,构成所述第二反相器INV2的输入端,所述第二PMOS管MP2的漏极与所述第二NMOS管MN2的漏极连接,构成所述第二反相器INV2的输出端;
第三NMOS管MN3:用于读取数据,其栅极连接所述锁存器的第二存储端QB,如果所述第二存储端QB为“1”,则所述第三NMOS管MN3导通,如果所述第二存储端QB为“0”,则所述第三NMOS管MN3关断;
第四NMOS管MN4:用于读取数据,其栅极连接所述读取数据字线RWL,读取数据时,所述读取数据字线RWL为“1”,则第三NMOS管MN3导通,所述读取数据位线RBL将本存储单元存储的信息送至灵敏放大器。
本发明的的基本读写操作方法如下:
写操作:参见图4所示,表示数据写入(假设Q端从“1”变为“0”)的波形图,写入数据位线WBL先预充电至高电平,如果从Q端写“0”(原存“1”)进入存储单元(锁存器),写入数据位线WBL被外部输入电路置为“0”;第一写入数据字线WWL选中拉为高电平(第二写入数据字线WWLB为“0”),第一传输门PS1导通,数据写入存储单元(锁存器),第二传输门PS2此时不导通,Q’不会与Q发生竞争,Q端更容易被写入;当写入动作结束后,WWL=0,WWLB=1,第二传输门PS2导通使得第一反相器INV1与第二反相器INV2互锁;由于数据写入时Q’不会与Q发生竞争,即使VDD最低工作电压下降,外部数据也可以成功写入;
读操作:如参见图4所示,表示数据读取的波形图,读数据时,第一写入数据字线WWL为“0”,读取数据位线RBL首先被预充电至“1”,如果存储单元(锁存器)所存状态为“0”,那么QB=1,当读取数据字线RWL拉高后,第三NMOS管MN3与第四NMOS管MN4处于导通状态,读取数据位线RBL被拉低,这时数据输出端读到的数据为“0”;如果存储单元(锁存器)所存状态为“1”,那么QB=0,当读取数据字线读取数据字线RWL拉高后,第三NMOS管MN3不导通,第四NMOS管MN4处于导通状态,读取数据位线RBL保持为高电平状态,这时数据输出端读到的数据为“1”;
由于读、写数据的字线不共用,读、写数据的位线也各自分开,因而本发明的新型SRAM存储单元,不像传统6管SRAM存储单元那样读数据时对存储数据的干扰,具有更大的噪声容限。
上述实施例只是为了说明本发明的技术构思及特点,其目的是在于让本领域内的普通技术人员能够了解本发明的内容并据以实施,并不能以此限制本发明的保护范围。凡是根据本发明内容的实质所作出的等效的变化或修饰,都应涵盖在本发明的保护范围内。

Claims (1)

1.一种可在较低电压下稳定工作的SRAM存储单元,其特征在于:包括第一传输门(PS1)、第二传输门(PS2)、第一反相器(INV1)、第二反相器(INV2)、第三NMOS管(MN3)和第四NMOS管(MN4);
所述第一反相器(INV1)、所述第二反相器(INV2)和所述第二传输门(PS2)组成锁存器,所述第二传输门(PS2)连接在所述第一反相器(INV1)的输入端与所述第二反相器(INV2)的输出端之间;所述第二反相器(INV2)的输出端经所述第二传输门(PS2)与所述第一反相器(INV1)的输入端连接后构成所述锁存器的第一存储端(Q),所述第二反相器(INV2)的输入端与所述第一反相器(INV1)的输出端连接后构成所述锁存器的第二存储端(QB);
所述锁存器的第一存储端(Q)通过所述第一传输门(PS1)与写入数据位线(WBL)接连,所述锁存器的第二存储端(QB)与所述第三NMOS管(MN3)的栅极连接,所述第三NMOS管(MN3)的源极接地,所述第三NMOS管(MN3)的漏极与所述第四NMOS管(MN4)的源极连接,所述第四NMOS管(MN4)的栅极与读取数据字线(RWL)连接,所述第四NMOS管(MN4)的漏极与读取数据位线(RBL)连接;
所述第一传输门(PS1)的一个信号输入端和所述第二传输门(PS2)的一个信号输入端均与第一写入数据字线(WWL)连接,所述第一传输门(PS1)的另一个信号输入端和所述第二传输门(PS2)的另一个信号输入端均与第二写入数据字线(WWLB)连接;
所述第一反相器(INV1)由第一PMOS管(MP1)和第一NMOS管(MN1)组成,所述第一PMOS管(MP1)的源极接电源,所述第一NMOS管(MN1)的源极接地,所述第一PMOS管(MP1)的栅极与所述第一NMOS管(MN1)的栅极连接,构成所述第一反相器(INV1)的输入端,所述第一PMOS管(MP1)的漏极与所述第一NMOS管(MN1)的漏极连接,构成所述第一反相器(INV1)的输出端;
所述第二反相器(INV2)由第二PMOS管(MP2)和第二NMOS管(MN2)组成,所述第二PMOS管(MP2)的源极接电源,所述第二NMOS管(MN2)的源极接地,所述第二PMOS管(MP2)的栅极与所述第二NMOS管(MN2)的栅极连接,构成所述第二反相器(INV2)的输入端,所述第二PMOS管(MP2)的漏极与所述第二NMOS管(MN2)的漏极连接,构成所述第二反相器(INV2)的输出端。
CN201610521366.2A 2016-07-05 2016-07-05 一种可在较低电压下稳定工作的sram存储单元 Active CN105976858B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610521366.2A CN105976858B (zh) 2016-07-05 2016-07-05 一种可在较低电压下稳定工作的sram存储单元

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610521366.2A CN105976858B (zh) 2016-07-05 2016-07-05 一种可在较低电压下稳定工作的sram存储单元

Publications (2)

Publication Number Publication Date
CN105976858A CN105976858A (zh) 2016-09-28
CN105976858B true CN105976858B (zh) 2018-11-02

Family

ID=56954806

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610521366.2A Active CN105976858B (zh) 2016-07-05 2016-07-05 一种可在较低电压下稳定工作的sram存储单元

Country Status (1)

Country Link
CN (1) CN105976858B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107123440A (zh) * 2017-04-27 2017-09-01 苏州无离信息技术有限公司 一种新型9tsram单元电路系统
CN107103928A (zh) * 2017-04-27 2017-08-29 苏州无离信息技术有限公司 一种新型8tsram单元电路系统
CN107437430B (zh) * 2017-08-03 2019-07-19 电子科技大学 一种提高读噪声容限和写裕度的亚阈值sram存储单元电路
CN109427388B (zh) * 2017-09-04 2020-09-25 华为技术有限公司 一种存储单元和静态随机存储器
CN112712842B (zh) * 2019-10-25 2024-07-26 长鑫存储技术(上海)有限公司 读操作电路、半导体存储器和读操作方法
CN112750476A (zh) * 2019-10-29 2021-05-04 深圳市国微电子有限公司 配置存储器位线控制电路以及fpga位线控制系统
CN112582002A (zh) * 2020-11-04 2021-03-30 北京大学(天津滨海)新一代信息技术研究院 一种静态随机存取存储器单元电路和存储器
CN112382325B (zh) * 2020-12-11 2024-03-05 北京中科芯蕊科技有限公司 一种亚阈值sram读写辅助电路
CN116206642B (zh) * 2022-11-03 2024-03-29 北京超弦存储器研究院 半导体存储器件

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5870408A (en) * 1996-04-30 1999-02-09 Sun Microsystems, Inc. Method and apparatus for on die testing
US6046942A (en) * 1997-09-26 2000-04-04 Advanced Micro Devices, Inc. Output buffer including an application-specific SRAM memory cell for low voltage, high speed operation
TW463373B (en) * 1999-02-19 2001-11-11 Taiwan Semiconductor Mfg SRAM cell using two single transistor inverters
CN102394102A (zh) * 2011-11-30 2012-03-28 无锡芯响电子科技有限公司 一种采用虚拟地结构实现的近阈值电源电压sram单元
CN104183269A (zh) * 2014-08-29 2014-12-03 东南大学 一种低电压单端读写sram存储单元及控制方法
CN104821180A (zh) * 2015-05-25 2015-08-05 苏州无离信息技术有限公司 一种适合低电压工作的8管sram位单元电路及其阵列

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5870408A (en) * 1996-04-30 1999-02-09 Sun Microsystems, Inc. Method and apparatus for on die testing
US6046942A (en) * 1997-09-26 2000-04-04 Advanced Micro Devices, Inc. Output buffer including an application-specific SRAM memory cell for low voltage, high speed operation
TW463373B (en) * 1999-02-19 2001-11-11 Taiwan Semiconductor Mfg SRAM cell using two single transistor inverters
CN102394102A (zh) * 2011-11-30 2012-03-28 无锡芯响电子科技有限公司 一种采用虚拟地结构实现的近阈值电源电压sram单元
CN104183269A (zh) * 2014-08-29 2014-12-03 东南大学 一种低电压单端读写sram存储单元及控制方法
CN104821180A (zh) * 2015-05-25 2015-08-05 苏州无离信息技术有限公司 一种适合低电压工作的8管sram位单元电路及其阵列

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
极低电源电压和极低功耗的亚阈值SRAM存储单元设计;柏娜;《东南大学学报》;20130331;全文 *

Also Published As

Publication number Publication date
CN105976858A (zh) 2016-09-28

Similar Documents

Publication Publication Date Title
CN105976858B (zh) 一种可在较低电压下稳定工作的sram存储单元
CN102314937B (zh) 具有由数据控制的电源供应的静态随机存取存储器
KR101564340B1 (ko) 개선된 안정성 및 감소된 비트셀 사이즈를 갖는 저전력 5t sram
US8531873B2 (en) Ultra low power SRAM cell circuit with a supply feedback loop for near and sub threshold operation
CN107886986B (zh) 一种解决半选问题的亚阈值sram存储单元电路
US7609541B2 (en) Memory cells with lower power consumption during a write operation
US9653150B1 (en) Static random access memory (SRAM) bitcell and memory architecture without a write bitline
CN104157303B (zh) 静态随机存储器单元的抗干扰电路和存储元件
CN110415748A (zh) 存储器及信号处理方法
CN106847333B (zh) 一种新型抗单粒子sram位单元
CN103971733B (zh) 低功耗sram单元电路结构
CN101877243B (zh) 静态随机存取存储器
CN102290097B (zh) 一种sram存储器
JP2012203927A (ja) 半導体記憶装置
TW201820334A (zh) 7t雙埠靜態隨機存取記憶體
TWI556238B (zh) 7t雙埠靜態隨機存取記憶體(二)
CN101840728B (zh) 一种双端sram单元
CN111916125A (zh) 一种低压下提升读写速度和稳定性的sram存储单元电路
CN109119112B (zh) 一种提高读写稳定性的存储单元电路与存储装置
US10020049B1 (en) Six-transistor static random access memory cell and operation method thereof
TWI579863B (zh) 7t雙埠靜態隨機存取記憶體
CN105989874B (zh) 用于sram的存储单元及其读写方法
CN105448328B (zh) 一种sram存储单元、sram存储器及其控制方法
CN107123440A (zh) 一种新型9tsram单元电路系统
CN107103928A (zh) 一种新型8tsram单元电路系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant