CN105551960B - 形成三栅极鳍式场效晶体管装置的方法及该生成的装置 - Google Patents

形成三栅极鳍式场效晶体管装置的方法及该生成的装置 Download PDF

Info

Publication number
CN105551960B
CN105551960B CN201510712671.5A CN201510712671A CN105551960B CN 105551960 B CN105551960 B CN 105551960B CN 201510712671 A CN201510712671 A CN 201510712671A CN 105551960 B CN105551960 B CN 105551960B
Authority
CN
China
Prior art keywords
fin
gate
semiconductor substrate
effect transistor
field effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510712671.5A
Other languages
English (en)
Other versions
CN105551960A (zh
Inventor
谢瑞龙
A·克诺尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN105551960A publication Critical patent/CN105551960A/zh
Application granted granted Critical
Publication of CN105551960B publication Critical patent/CN105551960B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明涉及一种形成三栅极鳍式场效晶体管装置的方法及该生成的装置。本发明,除了其它方法之外,在所公开的其中一个说明的方法包含,形成位在半导体衬底的上表面上方及由该半导体衬底的上表面而垂直地间隔开的鳍状物、该鳍状物具有上表面、下表面及第一与第二侧表面,其中该鳍状物的轴线于该鳍状物的高度方向上为朝向实质上平行于该衬底的该上表面,并且其中该鳍状物的第一侧表面接触第一绝缘材料、形成围绕该鳍状物的该上表面、该第二侧表面及该下表面的栅极结构,以及形成传导耦接至该栅极结构的栅极接触结构。

Description

形成三栅极鳍式场效晶体管装置的方法及该生成的装置
技术领域
一般而言,本发明揭露涉及半导体装置的制造,并且尤其涉及形成三栅极鯺式场效晶体管装置的各种新颖的方法及该生成的装置。
背景技术
在现代的集成电路中,诸如微处理器、储存装置及类似装置,非常大量的电路元件,尤其是晶体管,被提供于有限的晶片面积上。晶体管以各种形状及形式而产生,例如平面晶体管、鯺式场效晶体管、纳米线装置等等。该晶体管通常是N型金氧半场效晶体管(NMOS)或P型金氧半场效晶体管(PMOS)类型装置,其中该“N型”及“P型”指定是依据经使用以产生该装置的源极/漏极区域的掺杂的类型而定。所谓的互补型金氧半(互补式金属氧化物半导体,Complementary Metal Oxide Semiconductor)技术或产品意指使用NMOS及PMOS晶体管装置两者所制造的集成电路产品。不论该晶体管装置的实体的配置,每个装置包括漏极及源极区域以及位在该源极/漏极区域的上方及该源极/漏极区域之间的栅极电极结构。一旦施加适当的控制电压于该栅极电极,传导通道区域形成于该漏极区域及该源极区域之间。
图3A为说明先前技艺鳍式场效晶体管半导体装置100的透视图式,该鳍式场效晶体管半导体装置100形成于将做为参考的半导体衬底102的上方以便用于解释,在非常高的水平上,传统鳍式场效晶体管装置的某些基本特征。在这个例子中,该鳍式场效晶体管装置100包含三个说明的鳍状物104、栅极结构106、侧壁间隔物108及栅极覆盖110。该栅极结构106通常包括一层绝缘材料(未个别显示),例如,一层高介电常数k的绝缘材料或二氧化硅,以及担任作为用于该装置100的栅极电极的一或多个传导材料层(例如金属及/或多晶硅)。该鳍状物104具有三维的配置:高度104H、宽度104W及轴向长度104L。该轴向长度104L符合电流移动的方向,意即,当该装置100运作时,该装置100的该栅极长度(gate length,GL)。由该栅极结构106所覆盖的该鳍状物104的部分为该鳍式场效晶体管装置100的该通道区域。在现有的制程流程中,位在该间隔物108外部的该鳍状物104的部分,意即在该装置100的该源极/漏极区域中,通过执行一或多道以上的外延成长制程在尺寸上可以增加或者甚至合并于一起(未显示于图3A中的情况)。执行增加该装置100的该鳍状物104的该尺寸或合并该鳍状物104于该源极/漏极区域中的制程以减少源极/漏极区域的电阻值及/或使得建立电性接触至该源极/漏极区域变得较容易。即使外延“合并”制程并未执行,外延成长制程通常将执行在该鳍状物100上以增加本身的实体尺寸。
图3B描绘包括三个说明的鳍状物104的该传统的鳍式场效晶体管装置的简化的平面图式。采取描绘于图3C中穿越该栅极结构106的该装置100的横截面图式。参考图3C,该装置100包含位在该鳍状物104之间的一层绝缘材料112、位在该栅极覆盖层110上方的另一层绝缘材料114及传导耦接至该栅极结构106的栅极接触结构116。描绘于图3C中的该装置100为三栅极(或三重栅极)鳍式场效晶体管装置。意即,在操作期间,提供用于电流从该源极区域至该漏极区域流动的路径或通道的非常浅的传导区域118(在图3C中仅显示在该中间鳍状物上)将会建立。该传导区域118形成于该鳍状物104的该侧端表面104S的内部及该上表面104T的下方。如同在图3B及3C中所描绘的,该鳍式场效晶体管装置100的该整体的栅极长度(gate length,GL)及该鳍式场效晶体管装置100的该整体的栅极宽度(gate width,GW)所有皆朝向实质上平行于该衬底102的水平表面102A的方向。
虽然上文所描述的该传统的鳍式场效晶体管装置相较于传统的平面式装置具有显著的优点,需要更进一步改良此类鳍式场效晶体管装置。例如,传统的鳍式场效晶体管装置在半导体衬底上仍然消耗显著数量的高价值平面空间。减少此类装置的该“覆盖区(foot-print)”仅通过减少该装置的各种特征的特征尺寸将变得越来越难以达到,例如,该栅极结构106、该鳍状物宽度104W等等。图4为通过形成多个传统的鳍式场效晶体管装置所制成的先前技艺逻辑装置的说明的例子。尤其,该逻辑装置由4个鳍状物P型鳍式场效晶体管装置75、2个鳍状物P型鳍式场效晶体管装置72、6个鳍状物N型鳍式场效晶体管装置76及3个鳍状物N型鳍式场效晶体管装置77组成。各种栅极结构、栅极接触及沟槽硅化物源极/漏极接触结构亦作描绘。通常,此类配置具有相对大的“覆盖区”并且造成某些浪费的空间。留意在该2个鳍状物P型鳍式场效晶体管装置及该3个鳍状物N型鳍式场效晶体管装置之间的空间。其所需要的是基础上的新架构,该架构将减少鳍式场效晶体管装置的面积并且藉以减少使用此类鳍式场效晶体管装置的集成电路的面积。
本发明揭露涉及可以解决或减少上文所确认之一或多个问题的形成三栅极鳍式场效晶体管装置的方法及该生成的装置。
发明内容
该下文展现本发明的简单的概述以提供本发明的某些目的的基本的了解。该概述并非本发明的详尽的概观。本概述并非意在确认本发明的主要或关键元件或描述本发明的范畴。本概述单纯的目的在于以简化的形式呈现某些概念而作为后续讨论的更加详细说明的序言。
一般而言,本发明涉及形成三栅极鳍式场效晶体管装置的各种新颖的方法及该生成的装置。除了其它方法之外,在此所揭露的其中一个说明的方法包含形成位在半导体衬底的上表面上方及由半导体衬底的上表面而垂直间隔开的鳍状物,该鳍状物具有上表面、下表面及第一与第二侧表面,其中该鳍状物在该鳍状物高度方向上的轴线为实质上朝向平行于该衬底的上表面,并且其中该鳍状物的第一侧表面接触第一绝缘材料、形成围绕该鳍状物的该上表面、该第二侧表面及该下表面的栅极结构及形成传导性耦接至该栅极结构的栅极接触结构。
除了其它装置之外,在此所揭露的新颖的三栅极鳍式场效晶体管装置的其中一个例子包含垂直地位于半导体衬底的上表面上方及由半导体衬底的上表面而间隔开置的鳍状物,该鳍状物具有上表面、下表面及第一与第二侧表面,其中该鳍状物在该鳍状物的高度方向上的轴线是实质上朝向平行于该衬底的该上表面,并且其中该鳍状物的第一侧表面接触第一绝缘材料、位在围绕该鳍状物的该上表面、该第二侧表面及该下表面的栅极结构及传导性耦接至该栅极结构的栅极接触结构。
再者,本发明在此所揭露为各种新颖的集成电路产品。在其中一项实施例中,该集成电路产品包含至少第一及第二三栅极鳍式场效晶体管装置,其中在每一个该装置内的该(多个)鳍状物是垂直地位于半导体衬底的上方及由半导体衬底而间隔开并且该(多个)鳍状物具有实质上朝向平行于该衬底的上表面的高度方向,其中该(多个)鳍状物在该第一及第二装置内的该高度是不同的。在其它实施例中,鳍状物在该第一及第二装置内的数量是不同的。
附图说明
本发明揭露通过参考该下文的说明并结合该附加的图式将可以了解,其中类似的图式标号定义类似的元件,并且其中:
图1A-1X描绘形成三栅极鳍式场效晶体管装置的各种说明的新颖的方法及该生成的装置;
图2A-2F描绘可以使用在此所揭露的该方法及装置而形成的各种新颖的及说明的产品及装置;
图3A-3C描绘说明的先前技艺鳍式场效晶体管装置;以及
图4描绘包括多个传统的先前技艺鳍式场效晶体管装置的说明的先前技艺集成电路产品。
虽然在此所揭露的该主要内容是极易于做各种修正及替代的形式,该内容的特定的实施例已经通过在该图式中的例子而显示并且在此做详细描述。然而,应该要了解的是特定实施例的在此的描述并非意在限定本发明于所揭露的特定的形式,但是相反地,是意在涵括落在由该附加的申请专利范围所界定的本发明的该精神及范畴内的所有的修正、等同及替代。
附图标记说明:
1 装置
9 虚线区域
10 三栅极鳍式场效晶体管装置
11 虚线区域
12 块材层/衬底
12A 主动层
13 虚线
14 埋置绝缘层
15 绝缘材料
16A 半导体材料层
16B 半导体材料层
16C 半导体材料层
16D 半导体材料层
18A 鳍状物
18B 鳍状物
18C 鳍状物
19A 第一堆迭
19B 第二堆迭
19L 侧向宽度
2 装置
20 间隔物
20A 开孔
20B 开孔
20L 侧向宽度
22 犠牲栅极结构
22X 虚线区域
24 栅极覆盖层
26 侧壁间隔物
28 侧壁间隔物
30 材料堆迭
31A 凹穴
31B 凹穴
31C 凹穴
31D 凹穴
34 凹处
36 绝缘材料
40A 外延半导体材料
40B 外延半导体材料
40C 外延半导体材料
40D 外延半导体材料
42 虚线区域
44 外延半导体材料
44L 侧向宽度
46 凹穴
47 替代栅极凹穴
50 替代栅极结构
50L 长轴
51 遮罩材料
51A 凹入的遮罩材料
52 栅极覆盖层
53 虚线区域
55 图案化遮罩层
60 底部表面
61 第二侧表面
62 上表面
63 下表面
64 接触结构
65 接触结构
67 埋置接触结构
100 先前技艺装置
102 衬底
102A 衬底之水平表面
104 鳍状物
104H 鳍状物高度
104L 鳍状物轴向长度
104W 鳍状物宽度
104S 鳍状物侧端表面
104T 鳍状物上表面
106 栅极结构
108 侧壁间隔物
110 栅极覆盖
112 绝缘材料
114 绝缘材料
116 栅极接触结构
118 传导区域。
具体实施方式
本发明的各种说明的实施例于下文做描述。为了说明清楚之目的,并非实际实现的所有的特征将于本说明书中做描述。当然将可以了解的是在任何此类实际实施例的开发中,各种特定实现的决定必须做到以达到该开发者的特定目标,诸如符合系统相关的及商业相关的限制,该特定目标将依照其中一项实现至另一项实现而改变。再者,将可以了解的是此类开发的努力可能是复杂的及耗时的,但是尽管如此,对于本领域技术人员在具有本发明揭露的优势之后将是一项例行性的工作。
本发明主要内容现在将参考该附加的图式而做描述。各种结构、系统及装置仅为了解释的目的而示意地描绘于该图式中并且以便不使本发明揭露与对于本领域技术人员已知的细节产生混淆。尽管如此,本文包含该附加的图式及解释本发明揭露的说明的例子。在此所使用的该字词及片语应该要了解及解读以具有与由本领域技术人员所了解的该字词及片语一致之意义。没有术语或片语之特殊的定义,意即不同于通过本领域技术人员所了解之一般及惯常的意义的定义,是意在由该术语或片语在此的一致性使用所隐含。在某程度上,术语或片语是意在具有特殊的意义,意即,而非由本领域技术人员所了解的意义,此类特殊的定义将在该说明书中以定义的方式而明确地提出,该定义的方式对于该术语或片语直接地及明确地提供该特殊的定义。本领域技术人员在完全阅读本发明申请后将立即感到显而易见的是,在此所揭露的方法可以应用于制造各种不同的装置,包含,但不限于,逻辑装置、记忆体装置等等,并且该装置可以不论是N型金氧半场效晶体管(NMOS)或P型金氧半场效晶体管(PMOS)装置。
由本领域技术人员在完整阅读本发明申请后将可以了解,各种掺杂的区域,例如,源极/漏极区域、环状布植区域、井区域及类似区域,并未描绘于该附加的图式中。当然,在此所揭露的本发明不应考量为限定于在此所描绘及描述的该说明的例子。在此揭露的该集成电路装置10的该各种的组件及结构可以使用各种不同的材料及通过执行各种已知的技术,例如,化学气相沉积(chemical vapor deposition,CVD)制程、原子层沉积(atomiclayer deposition,ALD)制程、热成长制程、旋转涂布技术等等,而形成。这些各种材料层的厚度亦可以视该特定的应用而改变。参考该附加的图式,在此所揭露的该方法及装置的各种说明的实施例现在将以更详细方式做说明。
图1含有可以使用在制造的早期阶段处在此所揭露的该方法所形成的多个说明的三栅极鳍式场效晶体管装置10(“装置1”及“装置2”)的横截面图式及简化的平面图式。该术语装置1及装置2的使用为单纯地用于解释的目的。由本领域技术人员在读取本申请后将会了解,“装置1”及“装置2”可以实际上是具有较长的通道宽度的单一装置。该三栅极鳍式场效晶体管装置10将形成在描绘于该简化的平面图式中的虚线区域9内。绝缘区域或材料将形成在该虚线13之间以隔离该两个装置。栅极结构,由该虚线区域11所表示,将形成穿越该三栅极鳍式场效晶体管装置10两者。该图式“X-X”为采取在装置1的栅极长度方向上穿过装置1的横截面图式,意即,当该装置操作时在装置1的该电流传输方向上。横截面图式“Y-Y”(见第1C图)为采取穿过其中该绝缘材料将形成在平行于该X-X方向上的该区域的横截面。其中将会采取显示于该图式中的额外的横截面图式。
图1A描绘在制造的早期阶段的该三栅极鳍式场效晶体管装置10,其中数个制程操作已经执行。通常,该三栅极鳍式场效晶体管装置10将形成于半导体衬底内及半导体衬底上方。该衬底可以具有不同的配置,诸如包含块材半导体层、埋置绝缘层及主动层的绝缘层上硅(silicon-on-insulator,SOI)或绝缘层上硅锗(silicon-germanium-on-insulator,SGOI)。另外,该衬底可以具有块材配置。该衬底可以是由硅所制成或者该衬底可以是由非硅的其它材料所制成。因此,该术语“衬底”或“半导体衬底”应该了解为涵括所有半导体材料及此类材料的所有形式。于在此所描绘的例子中,该衬底是描绘成为具有块材层12、埋置绝缘层14及包括硅锗,具有大约25%或更多的成份的主动层12A的绝缘层上硅锗衬底。该主动层12A的厚度可以视该特定的应用而改变。
持续参考图1A,该三栅极鳍式场效晶体管装置10为描绘在多个交替的半导体材料层16A-16C(通常称为该图式标号16)及18A-C(通常称为该图式标号18)为依序地沉积在该主动层12A上方之后。通常,该交替的半导体材料层16及18应该由可以选择性地相对彼此蚀刻的半导体材料所组成。例如,在其中一项说明的实施例中,该材炓层16可以包括硅锗并且该材料层18可以是包括包括硅。所形成的材料层16及18的数量可以视用于该三栅极鳍式场效晶体管装置10之鳍状物的数量而定。该材料层14、16及18的厚度可以视该特定的应用而定。在其中一项说明的实施例中,该层绝缘材料14可以具有大约10-1000纳米的厚度、该主动层12A可以具有大约5-30纳米的厚度、该层硅锗16可以具有大约5-30纳米的厚度及该层硅18可以具有大约5-15纳米的厚度。当然,所有该材料层16不需要具有相同的厚度,并且所有该材料层18不需要具有相同的厚度,虽然在某些应用中总是相同的。该材料层16及18可以通过执行化学气相沉积或外延沉积制程而形成。通常,该材料层18的数量符合用于每个该装置10的堆迭的鳍状物的数量。在某些应用中,可能需要形成具有不同数量的鳍状物的鳍式场效晶体管装置,例如,在该衬底的其中一个区域为3鳍状物装置并且在另一个区域为4鳍状物装置。由本领域技术人员在完整阅读本申请之后将会了解,鳍状物在不同的区域中的不同的数量的形成可以通过对应于在该衬底的所有区域上方的任何一个区域中的鳍状物的该最大数量的材料层数量的形成,并且因此使用遮罩及蚀刻技术、移除在其中较少数量的鳍状物欲形成之区域中的该另外的区域而达成。
图1B描绘在其中一道或一道以上的蚀刻制程通过图案化遮罩层(未显示)而执行以图案化该材料层16、18及该主动层12A以便藉以定义交替的半导体材料的堆迭19之后的该三栅极鳍式场效晶体管10。该制程操作曝露出该埋置绝缘层14。于在此所描绘的该例子中,多个非等向性蚀刻制程经由执行以定义该堆迭的材料19。该堆迭的材料19的实体的尺寸可以视该特定的应用及/或各种不同的考量及因素而改变。例如,该堆迭的材料19的实体的尺寸可以视该装置10的该绝缘材料的该所需的宽度及鳍状物的该所需的数量等等而定。如同下文更加完整的描述,该材料层18的部分将变成位在该装置的该栅极结构下方的堆迭式鳍状物配置。
图1C描绘在数个制程操作执行之后的该三栅极鳍式场效晶体管装置10。首先,覆盖沉积另一层绝缘材料15,例如,二氧化硅,在该堆迭的材料19的上方。接着,执行化学机械研磨制程在该层绝缘材料19之上以平坦化具有该堆迭的材料19的该上表面的本身的上表面。接着,该堆迭的材料19经图案化以定义具有空间20在第一及第二堆迭19A、19B之间的交替的半导体材料的第一及第二堆迭19A、19B。该堆迭的材料19是藉由通过例如图案化光阻层的图案化遮罩层(未显示)执行一道或一道以上的蚀刻制程而形成图案。该图案化堆迭19A、19B的该侧向宽度19L(图1D)以及用于该绝缘材料的该空间20的该侧向宽度20L(图1D)可以视该特定的应用而改变。图1C亦描绘在该图案化遮罩层移除之后的该三栅极鳍式场效晶体管装置10。图1D为采取其中显示在图1C的平面图式中的横截面图式,意即,在其中用于该三栅极鳍式场效晶体管装置10的该栅极结构将形成(在正向于在该装置10中的电流传输方向上的方向)的区域中。
在此所揭露的本发明将揭露于通过执行替代栅极制程形成用于该三栅极鳍式场效晶体管装置10的上下文中。因此,图1E描绘在用于犠牲栅极结构22的材料形成于该三栅极鳍式场效晶体管装置10两者的上方之后的该装置10。该犠牲栅极结构22是意在以自然方式呈现可以使用于利用所谓的栅极最后(替代栅极)制造技术而制造集成电路产品的任何类型的牺牲栅极结构。通常,该牺牲栅极结构22包括牺牲栅极绝缘层(未个别地显示),诸如二氧化硅,以及牺牲栅极电极(未个别地显示),诸如多晶硅或非结晶硅。而且在此所描绘为说明的栅极覆盖层24(例如,氮化硅)。图1E描绘在形成/沉积用于该牺牲栅极结构22及该栅极覆盖层24的该材料层在该图案化的材料堆迭19A、19B的上方及在该开孔或空间20中之后的该装置10。
图1F及1G描绘在数个制程操作执行之后的该三栅极鳍式场效晶体管装置10。图1G为采取其中显示于图1F中的该平面图式中的横截面图式。首先,该牺牲栅极结构22及该栅极覆盖层24的该材料是藉由通过图案化的蚀刻遮罩(未显示),诸如光阻的图案化层,而执行一道或一道以上的蚀刻制程而图案化,以定义该牺牲栅极结构22及位在该牺牲栅极结构22上方的该栅极覆盖层。见图1F(图式Y-Y)及图1G。接着,该图案化的遮罩层将移除。接着,形成侧壁间隔物26邻接该图案化牺牲栅极结构22并且形成侧壁间隔物28邻接该材料堆迭19A、19B的该曝露的部分及在由该开孔20所曝露的该绝缘材料15的该侧壁上。该间隔物26、28是通过沉积一层间隔物材料并且之后执行非等向性蚀刻制程而形成。这些制程操作有效地分隔该开孔20成为两个个别的开孔20A、20B。
该下一个制程操作将参考图1H及1I而做描述。第1I图为采取其中显示于意即穿过该装置的该源极/漏极区域的图1H的该平面图式中的横截面图式。如同在这些图式中所描绘的,通过该栅极覆盖层24及该侧壁间隔物26未覆盖该整体的栅极结构的交替的半导体材料的该第一及第二堆迭19A、19B的部分,通过使用该整体的栅极结构作为蚀刻遮罩而执行一道或一道以上的蚀刻制程而移除。该步骤造成用于该装置10的位在该牺牲栅极结构下方的交替的半导体材料的另一个堆迭30的形成及在其中源极/漏极区域最终将形成的区域的多个凹穴31A-31D(通常参考标号31)的形成。该凹穴31A-B是用于装置1,而该凹穴31C-D是用于装置2。
图1J描绘在主要凹处蚀刻制程执行于该堆迭材料30上以选择性移除该半导体材料16的少量侧向,例如在每一边5-15纳米,及相对于该半导体材料18的该主动层12A之后的该三栅极鳍式场效晶体管10。该制程造成在该堆迭的材料30中的小的凹处或区块34的形成。
图1K描绘在该堆迭的材料30内的该凹处34为填覆绝缘材料36,例如氮化硅,之后的该三栅极鳍式场效晶体管装置10。该绝缘材料36可以通过执行非常短暂的沉积制程以便填满该凹处34,并且接着执行短暂的非等向性或等向性蚀刻制程以便留下该材料36仅位于该凹处34之内而形成。
该下一个制程操作将参考图1L及1M而做描述。图1M为采取其中显示在图1L之该平面图式中之横截面图式。如同在这些图式中所描绘的,一道或一道以上之外延沉积制程经由执行以形成外延半导体材料区域40A-D(通常参考标号40)分别于该凹穴31A-D中。在该外延沉积制程期间,该半导体材料18的该曝露的侧壁18Y作为“样板(template)”材料而用于该外延半导体材料40的形成。该外延半导体材料40在该外延形成制程期间可以在原位掺杂具有该适当的掺杂物或该该外延半导体材料40可以在之后经由离子植布而掺杂。该外延半导体材料40可以由任何所需的半导体材料或多个材料所组成。如同描绘于图1L中的该平面图式中的该虚线区域42中,在该外延半导体材料40的形成期间,外延材料的成长是完全由邻接该开孔20A、20B所形成的该侧壁间隔物28、邻接该栅极结构所形成的该侧壁间隔物26及该绝缘材料15所容纳,藉以避免外延半导体材料40在不需要的位置处的形成。
该下一个制程操作将参考图1N而做描述。如同在该图式中所显示,形成另一层绝缘层材料44,诸如二氧化硅,以便填满该间隙20A、20B。之后,一道或一道以上的化学机械研磨(chemical mechanical polishing,CMP)制程经由执行以平坦化具有该牺牲栅极结构22的上表面的该绝缘材料44的上表面以便移除该栅极覆盖层24及曝露该牺牲栅极结构22而用于移除。
图1O描绘在执行一道或一道以上的蚀刻制程以移除该牺牲栅极结构22之后的该装置10,该制程造成凹穴的形成。该凹穴46为通过该间隔物26所侧向定义。该制程操作曝露该材料堆迭30,并且尤其是该材料层16C。
图1P描绘在执行一道或一道以上的蚀刻制程通过在该堆迭的材料30上的该凹穴46以选择性地移除该半导体材料16的剩余部分及相对于该半导体材料18及该绝缘材料36之后的该三栅极鳍式场效晶体管装置10。该制程造成替代栅极凹穴47的形成。该替代栅极凹穴47是通过该间隔物26及该绝缘材料36所侧向地定义。用于该装置10的最终的替代栅极结构将形成于该替代栅极凹穴47内。
该下一个制程操作将参考图1Q及1R而做描述。图1R包含采取其中显示于图1Q的平面图式中的横截面图式(Z-Z及A-A)。如同在这些图式中所显示,该下一个主要的操作包含在替代栅极凹穴47内的替代栅极结构50及栅极覆盖层52(例如氮化硅)的形成。在此所描绘的该替代栅极结构50是意在以可以使用于制造集成电路产品的任何类型的替代栅极结构的自然的方式而表示。通常,预先清洁制程将执行于尝试中以在形成将会成为部分该替代栅极结构50的各种材料层之前将所有外来材料从该替代栅极凹穴47之内移除。之后,该最终栅极结构50可以通过依序沉积该栅极结构的材料进入该替代栅极凹穴47及在该绝缘材料层14的上方并且接着执行化学机械研磨制程以移除在该绝缘材料层44上方的过多的材料而形成。之后,一道或一道以上的凹处蚀刻制程可以执行以凹入用于该最终栅极结构50的该栅极材料。该栅极材料的此类凹入的程度可以视该特定应用而改变。接着,该栅极覆盖层52形成于该凹处栅极材料上方的该替代栅极凹穴47内。该栅极覆盖层52可以包括各种材料,例如,氮化硅,并且该栅极覆盖层52可以通过以该栅极覆盖材料填满该替代栅极凹穴47的该剩余部分并且之后执行化学机械研磨制程以移除过多的材料而形成。该装置的该整体的栅极长度方向(GL)及该整体的栅极宽度方向(GW)为显示于这些图式中。在此所描绘的例子中,每一个该三栅极鳍式场效晶体管装置10包括由该半导体材料层18所制成的三个说明的鳍状物。当然,也可以具有任何所需数量的鳍状物,意即,一个或一个以上。如同显示于图1R的图式Z-Z,该三栅极鳍式场效晶体管装置10的该整体的栅极宽度(GW)正向于该衬底12的水平表面12S。该装置10的该栅极长度方向(GL)为描绘于该平面图式及图1Q的图式X-X中。该装置的栅极长度方向延伸进入在图1R的图式Z-Z中的图式页面内及经由在图1R的图式Z-Z中的图式页面出来。亦应该要注意的是虽然该栅极结构50是描绘为终止于该虚线区域22X内,在实施上,该栅极结构50将侧向延伸于该绝缘材料15的上方持续足够的距离使得栅极接触结构(未显示)可以形成在位在该绝缘材料15上方的该栅极结构50的部分的上方。此外,虽然未描绘于该图式中,在该流程图的这个步骤处,若有需要,该栅极结构50可以通过执行传统的遮罩及蚀刻制程在虚线区域53(见图1Q平面图式)所显示的区域内而切除。之后该覆盖层52的形成将有效地密封该栅极结构的切除端。在这个方式中,每一个具有预设的栅极结构的个别的鳍式场效晶体管装置可以形成。
图1S为采取其中显示于图1Q中的横截面平面式图,图式Y-Y,意即,在通过该最终栅极结构50所切下的水平高度。该装置10的该整体的栅极长度方向(GL)是描绘于图1S中。该装置10的该整体的栅极宽度方向(GW)延伸进入在图1S中的该图式页面内及由图1S中的该图式页面出来。如同在图1S中所显示,该外延半导体材料40A及40B为用于该第一装置(D1)的该源极/漏极区域,同时该外延半导体材料40C及40D为用于该第二装置(D2)的该源极/漏极区域。于该开孔20A、20B中的该绝缘材料44电性上隔离该两个装置的该源极/漏极区域。在此所揭露的本发明已经揭露于形成两个说明的三栅极鳍式场效晶体管装置10的上下文中。然而,本领域技术人员在完整阅读本发明申请后将会了解,任何此类装置的数量可以使用在此所揭露的方法而形成。
该上一个图式描绘其中该装置10两者在该栅极结构下具有相同数量的鳍状物的实施例,意即,三个鳍状物18A-18C,如同在图1R的图式Z-Z中所显示。然而,在此所揭露的该方法提供极大的弹性以便使得装置设计者形成每一个具有不同的所需数量的鳍状物于该栅极结构下方的不同的三栅极鳍式场效晶体管装置10。用于如此制造的其中一个说明的技术将参考图1T-1X而做描述。
图1T描绘在显示于图1P中之后在制程中的这个步骤处的该装置10,意即,在该半导体材料16及该主动层12A为选择性地移除以定义该上文描述的替代栅极凹穴47之后。在该替代栅极凹穴形成之后,遮罩材料51,例如OPL,形成于该替代栅极凹穴47之内及上方。第1U图为采取其中显示于图1T中的横截面图式,意即,穿过该栅极结构。
该下一个制程操作将参考图1V及1W图而做描述。图1W为采取其中显示于图1V之该平面图式中的横截面图式。需注意的是该“Y-Y”横截面之位置已经移除使得具有采取仅穿越装置2之的横截面“Y1-Y1”。如同在这些图式中所描绘的,形成例如图案化光阻遮罩的图案化遮罩层55以便覆盖装置1同时曝露装置2。之后,定时的凹入蚀刻制程经由执行以从装置2上方及从在该装置2区域内的该栅极凹穴47的该曝露的部分内移除某些该曝露的遮罩材料51(见图1V之图式Y1-Y1及图1W)。该制程造成位在用于装置2的该替代栅极凹穴47内的凹入的遮罩材料51A。如同所描绘的,在该替代栅极凹穴47内的该遮罩材料51的该凹入造成用于装置2的该鳍状物18B及18C的该曝露,同时用于装置2的鳍状物18A仍然由该凹入的遮罩材料51A所覆盖。如同所显示,所有的该鳍状物18A-C仍然受覆盖于装置1的该栅极凹穴47内。
图1X为采取穿越该栅极结构50的该装置10的横截面图式。在这个例子中,图1X描绘在数个制程操作执行之后的该三栅极鳍式场效晶体管装置10。首先,通过该凹入的遮罩材料51执行蚀刻制程以从装置2上方的该替代栅极凹穴47内移除该曝露的鳍状物18B及18C。之后,该图案化的遮罩层55经移除并且接着所有剩余的遮罩材料51由该整个栅极凹穴47所移除。接着,该上文描述的最终栅极结构50及栅极覆盖层52形成于该栅极凹穴内。留意的是,在这个例子中,该栅极结构50描绘成为于该绝缘材料15上方延伸充分的侧向距离以便允许栅极接触结构(未显示)至该栅极结构50的形成。如同所描绘的,使用这种新颖的制造流程,装置1为形成具有三个鳍状物,同时装置2为形成具有一个鳍状物。当然,该制程可以延伸以允许用于每一个该装置10的任何所需的数量的鳍状物之形成。
如同通过本领域技术人员在完整读取本发明申请之后将会了解,在此所揭露的该三栅极鳍式场效晶体管装置就本身的结构及其中该装置可以制造的方法而言是非常不同于传统的鳍式场效晶体管装置100(如同在本申请的该背景章节中所描述的)。例如,在此所描述的该鳍式场效电体晶装置包括垂直定位在该衬底的上方及由该衬底间隔配置的一个或一个以上的水平朝向的鳍状物。参考图1R,图式Z-Z,在该栅极结构50下方的该鳍状物18具有高度FH及宽度FW。在此所揭露的该装置中,该鳍状物18的该长轴64在该鳍状物18的该高度方向上为实质上水平及平行于该衬底12的水平表面12S。相反地,该鳍状物104的该高度方向104H至少在图3A中所揭露之用于该先前技艺装置100的该栅极结构106下方为实质上正向于该衬底102的水平表面。此外,在此所揭露之该装置中,在该栅极结构50下方的该鳍状物18的该宽度方向FW为实质上正向于该衬底12的该水平表面12S。相反地,在图3A中所揭露的用于该先前技艺装置100的该鳍状物104的该宽度方向104W为实质上水平及平行于该衬底102的水平表面。在此所揭露的该装置中,每一个该鳍状物18为由该衬底12垂直地间隔配置的水平朝向的结构。每一个该鳍状物18具有第一侧表面或底部表面60、第二侧表面61、上表面62及下表面63。该鳍状物18的该第一侧表面60接触该绝缘材料15而该栅极结构50位在围绕该鳍状物18的该其它表面61、62及63。如同所描绘的,该栅极结构50(意即,该栅极绝缘层(未个别地显示))同样也接触该绝缘材料15。最后,该栅极结构50的该长轴50L(图1Q,图式X-X)是实质上朝向正向于该衬底12的该水平表面12S。相反地,在图3A中所揭露的用于该先前技艺装置100的该栅极结构106的该长轴为实质上水平及平行于该衬底102的水平的表面。
图2A-2F描绘可以使用在此所揭露的方法而形成的数个说明的产品。
图2A描绘包括在该栅极结构50下方的水平朝向的鳍状物的单一堆迭之单一三栅极鳍式场效晶体管装置(由于该鳍状物位在该栅极结构下方而未显示)。再者所描绘的为说明的栅极接触60及以沟槽硅化物区域的形成的多个说明的源极/漏极接触结构62。在其中显示于图2A中的该装置是在上文描述的装置1内的例子中,该源极/漏极接触结构62将形成接触于该下面的源极/漏极区域40A-40B。当然,其中该接触结构62及该栅极接触60所形成的各种绝缘材料未描绘于图2A中。
图2B描绘包括由该绝缘材料44所隔离的多重堆迭的水平朝向的鳍状物(由于该鳍状物位在该栅极结构下方而未显示)的单一三栅极鳍式场效晶体管装置的另一个实施例。在这个例子中,单一栅极结构为传导耦接至在该绝缘材料44的相对侧上的该堆迭的鳍状物两者。并且所描绘的为以沟槽硅化物区域的形式的多个说明的源极/漏极接触结构63。
图2C描绘可以使用在此所揭露的该方法及装置的说明性反相器集成电路。在这个例子中。埋置接触结构67是形成于绝缘材料层中。该埋置接触结构67为电性耦接至该装置1的源极/漏极区域(S/D D1)及该装置2的源极/漏极区域(S/D D2)。接触结构64(CA)为传导耦接至该埋置接触结构67。另一个接触结构65(CA)为传导耦接至装置1的另一个源极/漏极区域(S/D D1)及耦接至漏极电压(Vdd)。又另一个接触结构66(CA)为传导耦接至装置2的另一个源极/漏极区域(S/D D2)及耦接至接地。如同所描绘的,该绝缘区域的侧向宽度44L可以设定成任何所需的值以匹配任何所需的接触配置。
图2D描绘其中意在用于不同的应用,例如,逻辑及静态随机存取记忆体,之三栅极鳍式场效晶体管装置10可以形成的例子,使得该高尺寸H1及H2对于使用于该不同的应用的装置是不同的。尤其,对于在图2D中的该左侧上的该两个装置,在该栅极结构50下方的该鳍状物(用于该逻辑应用)具有高度H1大于对于用在静态随机存取记忆体应用所形成的在图2D中的该右侧上的该两个装置之该鳍状物H2的高度。该高度不同可以设定材料19经图案化(图1D)的该堆迭的时间处。意即,该开孔20的该侧向尺寸20L愈大,该生成的鳍状物的该高度将愈小。
图2D描绘其中在该两个例子(逻辑及静态随机存取记忆体)的每一个中于该栅极结构下方的水平朝向的鳍状物的堆迭皆具有相同的高度的情况,意即,对于该静态随机存取记忆体应用的用于两者装置的所有的鳍状物皆具有相同的高度H2。然而,在此所揭露的方法亦可以经由使用以形成该装置使得该装置每一个具有不同高度的鳍状物的堆迭。如同在图2E中所描绘的,用于装置1的该栅极结构50下方的该鳍状物具有高度H1大于用于装置2的该栅极结构下方的该鳍状物的该高度H2。当图案化该材料堆迭19(见图1D)时该方法亦可以完成。意即,并不是执行该堆迭的材料19的该图案化使得该生成的堆迭19A、19B具有相同的侧向宽度19L,该方法造成具有该两个装置10具有具相同高度的鳍状物,可以执行该图案化操作使得该第一图案化堆迭19A的该图案化侧向宽度19L(例如等于H1)为大于(例如,等于H1)该第二图案化堆迭19B的该图案化侧向宽度19L(例如,等于H2)。
图2F为在此所揭露的由形成多个该新颖的鳍式场效晶体管装置10所达成的图4的该先前技艺逻辑装置之描绘。尤其,该逻辑装置包括4鳍状物P型鳍式场效晶体管装置75、2鳍状物P型鳍式场效晶体管装置72、4鳍状物N型鳍式场效晶体管74装置及2鳍状物N型鳍式场效晶体管装置73。各种栅极结构、栅极接触及沟槽硅化物源极/漏极接触71结构亦做描绘。因为在该栅极结构50下方的该堆迭的、水平朝向的鳍状物结构的形成,在此所揭露的该鳍式场效晶体管相比于使用传统的先前技艺鳍式场效晶体管半导体装置所形成的该先前技艺集成电路具有非常小的面积,如同在图4中所显示。因此,封装密度可以增加,以造成包含此类装置之该整体的集成电路产品之实体的尺寸中的缩减。由于其中该装置在此所形成的方式,该装置之覆盖区可以做调整而不论在每个装置中的鳍状物18的数量。例如,在其中一项实施例中,当由上文可以看出,对于在此所揭露的该装置的该鯺状物的“高度”对于形成于该衬底12的不同的区域中的不同的装置可以经选择为FH1及H2。在其中一项特殊的例子中,FH1及FH2可以经选择使得FH2对于FH1(FH2:FH1)的该比例等于3:2。这种弹性提供相对于类似在本发明申请中所描述的装置的该传统的先前技艺鳍式场效晶体管装置具显著的优势。由于在此所揭露的该装置的架构,以及尤其该鳍状物的“高度”方向的朝向为实质上平行于该衬底12的该上表面,在此所揭露的该鳍状物的高度可以设定在任何需要的数值。例如,使用在此所揭露的该结构及方法所制造的可以形成具有相对较长的“高度”尺寸(或“较高的”鳍状物)的鳍状物的4鳍状物装置相比于具有相对短的鳍状物(其中该“高度”方向为实质上正交于该衬底)的传统的6鳍状物装置,其中在此所揭露的该4鳍状物装置为实质上等同于先前技艺6鳍状物装置(用于3:2的FH2:FH1比例)。重要的是,使用在此所揭露的方法,该鳍状物的该“高度”可以调整在N型场效晶体管及P型场效晶体管之间而不需要任何额外的遮罩的使用。遮罩仅形成当具有不同数量的鳍状物的装置时而有需要。最后,由于形成在先前技艺鳍式场效晶体管装置上的该鳍状物是通过蚀刻沟槽进入衬底内部而形成,该生成的鳍状物具有楔形的横截面轮廓,该方法产生包含在移除一个或一些鳍状物以产生用于绝缘结构空间的困难度的数个问题。于在此所揭露的该装置中,该鳍状物为在形成之后不需要移除的实质上平面的材料层以允许用于绝缘区域的形成的空间。
此外,如同上文所应留意的,鳍状物的数量,尤其是鳍状物的堆迭,可以通过使用传统的遮罩及外延形成制程而在衬底的各处表面而改变。例如,若装置A、B及C是分别地具有1、3及6鳍状物,形成6鳍状物的材料可以在该整个衬底12的各处而形成。之后,其中装置A及B将会形成以硬式遮罩层,例如,氮化硅,所遮罩之区域,并且用于该鳍状物4-6的材料可以在其中装置A及B将会形成的区域中而选择性地移除。接着,另一个硬式遮罩层可以形成以便覆盖其中装置B及C将会形成的区域同时曝露其中该装置A将会形成的区域。之后,用于鳍状物2-3的材料可以由其中装置A将会形成的该区域而移除。类似的遮罩及选择的外延形成制程可以执行以制造用于N型场效晶体管及P型场效晶体管装置的不同的材料的鳍状物18。因此,在此所揭露的该方法提供装置设计者在设计鳍式场效晶体管装置具有高度的弹性,该装置可以经由特殊修改以符合待设计的该特殊的集成电路产品的需求。
上文所揭露的该特殊的实施例仅为说明的性质,如同本发明对于本领域技术人员在具有于此所教示的优点后,显而易见地,可以以不同但等同的方式做修正及实施。例如,上文所提出的该制程步骤可以以不同的顺序而执行。再者,本文并非意在限定在此所显示的架构或设计的细节,除非是在该下文的申请专利范围中的描述。因此,显然地,上文所揭露的该特定的实施例可以做改变或修正并且所有此类的变动为考量于本发明之该范畴及精神内。应留意的是该术语之使用,诸如“第一”、“第二”、“第三”或“第四”以描述在本说明书中及在该附加的申请专利范围中的各种的制程或结构是使用作为针对此类步骤/结构的简略的参考并且非必要地意指此类的步骤/结构是以固定的顺序而执行/形成。当然,视该确切的申请专利范围用语而定,此类制程的固定的顺序可能是或可能不是需要的。因此,在此所请求的保护为如同在下文的该申请权利要求范围中所提出。

Claims (31)

1.一种形成三栅极鳍式场效晶体管装置的方法,包括:
形成位在半导体衬底的上表面上方及由该半导体衬底的上表面垂直间隔开的鳍状物,该鳍状物具有上表面、相对于该上表面的下表面、第一侧表面与相对于该第一侧表面的第二侧表面,其中该鳍状物的轴线于该鳍状物的高度方向上是朝向平行于该半导体衬底的该上表面,并且其中该鳍状物的该第一侧表面的整个接触第一绝缘材料及由该第一绝缘材料完全覆盖,该第一绝缘材料形成于该半导体衬底上方及侧向邻接于该鳍状物的该第一侧表面;
形成栅极结构于围绕该鳍状物,其中该栅极结构覆盖该鳍状物的该上表面、该第二侧表面及该下表面的至少一部分,但未覆盖该鳍状物的该第一侧表面的任何部分;以及
形成传导耦接至该栅极结构的栅极接触结构。
2.根据权利要求1所述的方法,其中,该栅极结构接触该第一绝缘材料。
3.根据权利要求1所述的方法,其中,形成位在该半导体衬底的该上表面上方及由该半导体衬底的该上表面垂直间隔开的该鳍状物衬底包括:
执行多个沉积制程以形成在包含该半导体衬底的第二绝缘材料上方的第一与第二半导体材料的交替层所组成的半导体材料的堆迭;
图案化半导体材料的该堆迭;
形成牺牲栅极结构于半导体材料的该图案化的堆迭的上方;
形成邻接该牺牲栅极结构的侧壁间隔物;
移除该牺牲栅极结构以便定义凹穴,该凹穴曝露在该凹穴内的半导体材料的该图案化的堆迭;以及
通过该凹穴执行选择性蚀刻制程以相对于该第二半导体材料选择性移除该第一半导体材料以便藉以定义由该第二半导体材料组成的该鳍状物及替代栅极凹穴。
4.根据权利要求3所述的方法,其中,形成该栅极结构包括沉积栅极绝缘层及至少一层金属于该替代栅极凹穴内。
5.根据权利要求1所述的方法,更包括执行至少一道外延沉积制程以形成由外延半导体材料组成的源极/漏极区域,其中,该鳍状物作为用于该外延半导体材料的形成的样板材料。
6.根据权利要求1所述的方法,其中,该栅极结构的长轴为朝向正交于该半导体衬底的该上表面。
7.根据权利要求1所述的方法,其中,该三栅极鳍式场效晶体管装置的整体的栅极宽度方向为朝向正交于该半导体衬底的该上表面并且该三栅极鳍式场效晶体管装置的整体的栅极长度方向为平行于该半导体衬底的该上表面。
8.根据权利要求1所述的方法,其中,该鳍状物的宽度是由在该鳍状物的该上及下表面之间的距离所定义并且鳍状物宽度方向为正交于该半导体衬底的该上表面。
9.一种形成三栅极鳍式场效晶体管装置的方法,包括:
执行多个沉积制程以形成包含半导体衬底的第一绝缘材料上方的第一与第二半导体材料的交替层所组成的半导体材料的堆迭;
图案化半导体材料的该堆迭;
形成牺牲栅极结构于半导体材料的该图案化堆迭的上方;
形成邻接该牺牲栅极结构的侧壁间隔物;
移除该牺牲栅极结构以便定义凹穴,该凹穴曝露在该凹穴内的半导体材料的该图案化堆迭;
通过该凹穴执行选择性蚀刻制程以相对于该第二半导体材料选择性移除该第一半导体材料以便藉以定义由该半导体衬底的上表面而垂直地间隔开的该第二半导体材料组成的鳍状物及替代栅极凹穴,该鳍状物具有上表面、相对于该上表面的下表面、第一侧表面与相对于该第一侧表面的第二侧表面,其中该鳍状物的轴线于该鳍状物的高度方向上是朝向平行于该半导体衬底的该上表面,并且其中该鳍状物的该第一侧表面的整个接触第二绝缘材料及由该第二绝缘材料完全覆盖,该第二绝缘材料形成于该半导体衬底上方及侧向邻接于该鳍状物的该第一侧表面;
形成该替代栅极凹穴内的栅极结构于围绕该鳍状物,其中该栅极结构覆盖该鳍状物的该上表面、该第二侧表面及该下表面的至少一部分,但未覆盖该鳍状物的该第一侧表面的任何部分;以及
形成传导连接至该栅极结构的栅极接触结构。
10.根据权利要求9所述的方法,其中,该栅极结构接触该第二绝缘材料。
11.根据权利要求9所述的方法,其中,形成该栅极结构包括沉积栅极绝缘层及至少一层金属于该替代栅极凹穴内。
12.根据权利要求9所述的方法,更包括执行至少一道外延沉积制程以形成由外延半导体材料组成的源极/漏极区域,其中该鳍状物当作为用于该外延半导体材料的形成的样板材料。
13.根据权利要求9所述的方法,其中,该栅极结构的长轴为朝向正交于该半导体衬底的该上表面。
14.根据权利要求9所述的方法,其中,该三栅极鳍式场效晶体管装置的整体的栅极宽度方向为朝向正交于该半导体衬底的该上表面并且该三栅极鳍式场效晶体管装置的整体的栅极长度方向为平行于该半导体衬底的该上表面。
15.根据权利要求9所述的方法,其中,该鳍状物的宽度是由在该鳍状物的该上及下表面之间的距离所定义并且鳍状物宽度方向为正交于该半导体衬底的该上表面。
16.一种三栅极鳍式场效晶体管装置,包括:
位在半导体衬底的垂直上方及由该半导体衬底的上表面而间隔配置的鳍状物,该鳍状物具有上表面、相对于该上表面的下表面、第一侧表面与相对于该第一侧表面的第二侧表面,其中该鳍状物的轴线于该鳍状物的高度方向上是朝向平行于该半导体衬底的该上表面,并且其中该鳍状物的该第一侧表面的整个接触第一绝缘材料及由该第一绝缘材料完全覆盖,该第一绝缘材料设置于该半导体衬底上方及侧向邻接于该鳍状物的该第一侧表面;
栅极结构位在围绕该鳍状物,其中该栅极结构覆盖该鳍状物的该上表面、该第二侧表面及该下表面的至少一部分,但未覆盖该鳍状物的该第一侧表面的任何部分;以及
传导耦接至该栅极结构的栅极接触结构。
17.根据权利要求16所述的三栅极鳍式场效晶体管装置,其中,该栅极结构接触该第一绝缘材料。
18.根据权利要求16所述的三栅极鳍式场效晶体管装置,其中,该栅极结构包括高介电栅极绝缘层及至少一层金属。
19.根据权利要求16所述的三栅极鳍式场效晶体管装置,更包括与该鳍状物形成接触的外延半导体材料组成的源极/漏极区域。
20.根据权利要求16所述的三栅极鳍式场效晶体管装置,其中,该栅极结构的长轴为朝向正交于该半导体衬底的该上表面。
21.根据权利要求16所述的三栅极鳍式场效晶体管装置,其中,该三栅极鳍式场效晶体管装置的整体的栅极宽度方向为朝向正交于该半导体衬底的该上表面并且该三栅极鳍式场效晶体管装置的整体的栅极长度方向为平行于该半导体衬底的该上表面。
22.根据权利要求16所述的三栅极鳍式场效晶体管装置,其中,该鳍状物的宽度是由在该鳍状物的该上及下表面之间的距离所定义,并且其中鳍状物宽度方向为正交于该半导体衬底的该上表面。
23.一种集成电路产品,包括:
具有位在半导体衬底的垂直上方及由该半导体衬底的上表面而间隔开的第一鳍状物的第一三栅极鳍式场效晶体管装置,该第一鳍状物具有上表面、下表面、第一与第二侧表面,及第一鳍状物高度,其中该第一鳍状物的轴线于该第一鳍状物高度方向上是朝向平行于该半导体衬底的该上表面,并且其中该第一鳍状物的该第一侧表面接触第一绝缘材料;
位在围绕该第一鳍状物的该上表面、该第二侧表面及该下表面的第一栅极结构;
传导耦接至该第一栅极结构的第一栅极接触结构;
具有位在该半导体衬底的垂直上方及由该半导体衬底的上表面而间隔开的第二鳍状物的第二三栅极鳍式场效晶体管装置,该第二鳍状物具有上表面、相对于该上表面的下表面、第一侧表面、相对于该第一侧表面的第二侧表面及第二鳍状物高度,其中该第二鳍状物的轴线于该第二鳍状物高度方向上是朝向平行于该半导体衬底的该上表面,并且其中该第二鳍状物的该第一侧表面的整个接触第二绝缘材料及由该第二绝缘材料完全覆盖,该第二绝缘材料形成于该半导体衬底上方及侧向邻接于该第二鳍状物的该第一侧表面,其中该第一鳍状物高度及该第二鳍状物高度是不同的;
第二栅极结构位在围绕该第二鳍状物,其中该第二栅极结构覆盖该第二鳍状物的该上表面、该第二侧表面及该下表面的至少一部分,但未覆盖该第二鳍状物的该第一侧表面的任何部分;以及
传导耦接至该第二栅极结构的第二栅极接触结构。
24.根据权利要求23所述的集成电路产品,其中,该第一栅极结构接触该第一绝缘材料,其接触该第一鳍状物的该第一侧表面并且该第二栅极结构接触该第二绝缘材料,其接触该第二鳍状物的该第一侧表面。
25.根据权利要求23所述的集成电路产品,更包括与该第一鳍状物形成接触的外延半导体材料组成的第一源极/漏极区域及与该第二鳍状物形成接触的外延半导体材料组成的第二源极/漏极区域。
26.根据权利要求23所述的集成电路产品,其中,该第一栅极结构的长轴及该第二栅极结构的长轴各为朝向正交于该半导体衬底的该上表面。
27.根据权利要求23所述的集成电路产品,其中,该第一及第二三栅极鳍式场效晶体管装置的整体的栅极宽度方向为朝向正交于该半导体衬底的该上表面并且该第一及第二三栅极鳍式场效晶体管装置的整体的栅极长度方向为平行于该半导体衬底的该上表面。
28.一种集成电路产品,包括:
具有位在半导体衬底的垂直上方及由该半导体衬底的上表面而间隔开的至少一个第一鳍状物的第一三栅极鳍式场效晶体管装置,各该至少一个第一鳍状物具有上表面、相对于该上表面的下表面、第一侧表面、相对于该第一侧表面的第二侧表面及第一鳍状物高度,其中各该至少一个第一鳍状物的轴线于该第一鳍状物高度方向上是朝向平行于该半导体衬底的该上表面,并且其中各该至少一个第一鳍状物的该第一侧表面的整个接触第一绝缘材料及由该第一绝缘材料完全覆盖,该第一绝缘材料形成于该半导体衬底上方及侧向邻接于各该至少一个第一鳍状物的该第一侧表面;
第一栅极结构位在围绕各该至少一个第一鳍状物,其中该第一栅极结构覆盖各该至少一个第一鳍状物的该上表面、该第二侧表面及该下表面的至少一部分,但未覆盖各该至少一个第一鳍状物的该第一侧表面的任何部分;以及
传导耦接至该第一栅极结构的第一栅极接触结构;
具有位在该半导体衬底的垂直上方及由半导体衬底的该上表面而间隔开的至少一个第二鳍状物的第二三栅极鳍式场效晶体管装置,各该至少一个第二鳍状物具有上表面、下表面、第一与第二侧表面,及第二鳍状物高度,其中各该至少一个第二鳍状物的轴线于该第二鳍状物高度方向上是朝向平行于该半导体衬底的该上表面,并且其中各该至少一个第二鳍状物的该第一侧表面接触第二绝缘材料,其中该至少一个第一鳍状物的数量为不同于该至少一个第二鳍状物的数量;
位在围绕各该至少一个第二鳍状物的该上表面、该第二侧表面及该下表面的第二栅极结构;以及
传导耦接至该第二栅极结构的第二栅极接触结构。
29.根据权利要求28所述的集成电路产品,其中,各该至少一个第一鳍状物具有第一鳍状物高度、各该至少一个第二鳍状物具有第二鳍状物高度,及其中,该第一鳍状物高度及该第二鳍状物高度是不同的。
30.根据权利要求28所述的集成电路产品,其中,该第一栅极结构的长轴及该第二栅极结构的长轴为各朝向正交于该半导体衬底的该上表面。
31.根据权利要求28所述的集成电路产品,其中,该第一及第二三栅极鳍式场效晶体管装置的整体的栅极宽度方向为朝向正交于该半导体衬底的该上表面并且该第一及第二三栅极鳍式场效晶体管装置的整体的栅极长度方向为平行于该半导体衬底的该上表面。
CN201510712671.5A 2014-10-28 2015-10-28 形成三栅极鳍式场效晶体管装置的方法及该生成的装置 Active CN105551960B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/525,288 US9614056B2 (en) 2014-10-28 2014-10-28 Methods of forming a tri-gate FinFET device
US14/525,288 2014-10-28

Publications (2)

Publication Number Publication Date
CN105551960A CN105551960A (zh) 2016-05-04
CN105551960B true CN105551960B (zh) 2019-05-21

Family

ID=55792635

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510712671.5A Active CN105551960B (zh) 2014-10-28 2015-10-28 形成三栅极鳍式场效晶体管装置的方法及该生成的装置

Country Status (3)

Country Link
US (2) US9614056B2 (zh)
CN (1) CN105551960B (zh)
TW (1) TWI608547B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9559181B2 (en) * 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9853101B2 (en) * 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9515073B1 (en) * 2016-02-08 2016-12-06 International Business Machines Corporation III-V semiconductor CMOS FinFET device
US10014389B2 (en) * 2016-07-26 2018-07-03 Globalfoundries Inc. Methods of forming IC products comprising a nano-sheet device and a transistor device having first and second replacement gate structures
US9805988B1 (en) * 2016-12-01 2017-10-31 Globalfoundries Inc. Method of forming semiconductor structure including suspended semiconductor layer and resulting structure
US10103241B2 (en) * 2017-03-07 2018-10-16 Nxp Usa, Inc. Multigate transistor
US10297663B2 (en) 2017-04-19 2019-05-21 International Business Machines Corporation Gate fill utilizing replacement spacer
US10297510B1 (en) * 2018-04-25 2019-05-21 Internationel Business Machines Corporation Sidewall image transfer process for multiple gate width patterning
US11038036B2 (en) * 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US11101347B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11362199B2 (en) 2018-11-30 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
DE102019111297B4 (de) * 2018-11-30 2023-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren
CN111755333B (zh) * 2019-03-27 2024-02-27 芯恩(青岛)集成电路有限公司 一种纳米片场效应晶体管及其制备方法
US11282935B2 (en) * 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around device with protective dielectric layer and method of forming the same
US11251288B2 (en) 2020-05-18 2022-02-15 International Business Machines Corporation Nanosheet transistor with asymmetric gate stack
US11164942B1 (en) 2020-05-27 2021-11-02 Imec Vzw Method for forming nanosheet transistor structures
KR20220016332A (ko) * 2020-07-30 2022-02-09 삼성전자주식회사 반도체 장치
US11527535B2 (en) 2021-01-21 2022-12-13 International Business Machines Corporation Variable sheet forkFET device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855091A (zh) * 2012-12-03 2014-06-11 国际商业机器公司 半导体结构及其形成方法
CN104054181A (zh) * 2011-12-30 2014-09-17 英特尔公司 全包围栅晶体管的可变栅极宽度
CN105051905A (zh) * 2013-03-15 2015-11-11 英特尔公司 具有底层蚀刻停止的纳米线晶体管

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4966153B2 (ja) * 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
US8183104B2 (en) * 2010-07-07 2012-05-22 Hobbs Christopher C Method for dual-channel nanowire FET device
US9691998B2 (en) 2012-04-23 2017-06-27 University Of Georgia Research Foundation, Inc. Single-walled carbon nanotubes/quantum dot hybrid structures and methods of making and use of the hybrid structures
US8629420B1 (en) * 2012-07-03 2014-01-14 Intel Mobile Communications GmbH Drain extended MOS device for bulk FinFET technology
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104054181A (zh) * 2011-12-30 2014-09-17 英特尔公司 全包围栅晶体管的可变栅极宽度
CN103855091A (zh) * 2012-12-03 2014-06-11 国际商业机器公司 半导体结构及其形成方法
CN105051905A (zh) * 2013-03-15 2015-11-11 英特尔公司 具有底层蚀刻停止的纳米线晶体管

Also Published As

Publication number Publication date
US20160118480A1 (en) 2016-04-28
US9614056B2 (en) 2017-04-04
TWI608547B (zh) 2017-12-11
CN105551960A (zh) 2016-05-04
US20170154977A1 (en) 2017-06-01
TW201626463A (zh) 2016-07-16
US10424657B2 (en) 2019-09-24

Similar Documents

Publication Publication Date Title
CN105551960B (zh) 形成三栅极鳍式场效晶体管装置的方法及该生成的装置
US11450661B2 (en) Forming STI regions to separate semiconductor Fins
CN104517859B (zh) 利用替代栅极技术形成鳍式场效晶体管的方法和器件
US9466696B2 (en) FinFETs and methods for forming the same
CN103915501B (zh) 由悬空硅进行电介质隔离的finfet及其制造方法
US9780200B2 (en) Semiconductor arrangement for a FinFET and method for manufacturing the same
CN104425284B (zh) 基体鳍式场效晶体管不依赖栅极长度的气孔上覆硅架构
US9564434B2 (en) Semiconductor device with body spacer at the bottom of the fin and method for manufacturing the same
CN104752507A (zh) 半导体器件及其制造方法
US9524910B2 (en) Semiconductor device and method for manufacturing the same
CN106816472A (zh) 半导体结构
CN104576370B (zh) 形成晶体管的方法
CN107068565B (zh) 半导体器件及其制造方法
JP2014110430A (ja) 分離型チャンネルを具備したfinfet装置
CN104425285A (zh) 于鳍式场效晶体管设备上形成接触结构的方法及其设备
CN103219340A (zh) 用于具有线端延长的晶体管的结构和方法
CN109860184A (zh) 半导体元件
US9748141B2 (en) Semiconductor device and method for manufacturing the same
US11107812B2 (en) Method of fabricating stacked semiconductor device
CN104217998B (zh) 集成电路及制造具有包覆非平面晶体管结构的集成电路的方法
CN107845579A (zh) 在垂直晶体管器件上形成底部与顶部源极/漏极区的方法
US10008602B2 (en) Semiconductor devices and methods for manufacturing the same
CN108538786A (zh) 半导体装置及其制造方法
US10177005B2 (en) Critical dimension control for double patterning process
CN105390497B (zh) 包括带电荷体侧墙的cmos器件及其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20210305

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.

TR01 Transfer of patent right