CN105374654A - 电子源、x射线源、使用了该x射线源的设备 - Google Patents

电子源、x射线源、使用了该x射线源的设备 Download PDF

Info

Publication number
CN105374654A
CN105374654A CN201410419359.2A CN201410419359A CN105374654A CN 105374654 A CN105374654 A CN 105374654A CN 201410419359 A CN201410419359 A CN 201410419359A CN 105374654 A CN105374654 A CN 105374654A
Authority
CN
China
Prior art keywords
electron
source
ray
electron emission
emission region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410419359.2A
Other languages
English (en)
Other versions
CN105374654B (zh
Inventor
唐华平
陈志强
李元景
王永刚
秦占峰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuctech Co Ltd
Original Assignee
Nuctech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to CN201410419359.2A priority Critical patent/CN105374654B/zh
Application filed by Nuctech Co Ltd filed Critical Nuctech Co Ltd
Priority to KR1020167010573A priority patent/KR101810349B1/ko
Priority to RU2016102389A priority patent/RU2668268C2/ru
Priority to US14/904,061 priority patent/US10014148B2/en
Priority to EP15813227.4A priority patent/EP3188213A4/en
Priority to JP2016544723A priority patent/JP6523301B2/ja
Priority to CA2919744A priority patent/CA2919744C/en
Priority to PCT/CN2015/087488 priority patent/WO2016029811A1/zh
Publication of CN105374654A publication Critical patent/CN105374654A/zh
Priority to HK16110515.7A priority patent/HK1222474A1/zh
Application granted granted Critical
Publication of CN105374654B publication Critical patent/CN105374654B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/06Cathodes
    • H01J35/065Field emission, photo emission or secondary emission cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J3/00Details of electron-optical or ion-optical arrangements or of ion traps common to two or more basic types of discharge tubes or lamps
    • H01J3/02Electron guns
    • H01J3/021Electron guns using a field emission, photo emission, or secondary emission electron source
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/112Non-rotating anodes
    • H01J35/116Transmissive anodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/14Arrangements for concentrating, focusing, or directing the cathode ray
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G1/00X-ray apparatus involving X-ray tubes; Circuits therefor
    • H05G1/02Constructional details
    • H05G1/04Mounting the X-ray tube within a closed housing
    • H05G1/06X-ray tube and at least part of the power supply apparatus being mounted within the same housing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G1/00X-ray apparatus involving X-ray tubes; Circuits therefor
    • H05G1/08Electrical details
    • H05G1/26Measuring, controlling or protecting
    • H05G1/30Controlling
    • H05G1/52Target size or shape; Direction of electron beam, e.g. in tubes with one anode and more than one cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2201/00Electrodes common to discharge tubes
    • H01J2201/30Cold cathodes
    • H01J2201/304Field emission cathodes
    • H01J2201/30446Field emission cathodes characterised by the emitter material
    • H01J2201/30453Carbon types
    • H01J2201/30469Carbon nanotubes (CNTs)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2203/00Electron or ion optical arrangements common to discharge tubes or lamps
    • H01J2203/02Electron guns
    • H01J2203/0204Electron guns using cold cathodes, e.g. field emission cathodes
    • H01J2203/0208Control electrodes
    • H01J2203/0212Gate electrodes
    • H01J2203/0216Gate electrodes characterised by the form or structure
    • H01J2203/022Shapes or dimensions of gate openings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2203/00Electron or ion optical arrangements common to discharge tubes or lamps
    • H01J2203/02Electron guns
    • H01J2203/0204Electron guns using cold cathodes, e.g. field emission cathodes
    • H01J2203/0208Control electrodes
    • H01J2203/0212Gate electrodes
    • H01J2203/0216Gate electrodes characterised by the form or structure
    • H01J2203/0224Arrangement of gate openings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2203/00Electron or ion optical arrangements common to discharge tubes or lamps
    • H01J2203/02Electron guns
    • H01J2203/0204Electron guns using cold cathodes, e.g. field emission cathodes
    • H01J2203/0208Control electrodes
    • H01J2203/0212Gate electrodes
    • H01J2203/0236Relative position to the emitters, cathodes or substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/06Cathode assembly
    • H01J2235/062Cold cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/06Cathode assembly
    • H01J2235/068Multi-cathode assembly
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/14Arrangements for concentrating, focusing, or directing the cathode ray
    • H01J35/147Spot size control

Landscapes

  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • X-Ray Techniques (AREA)
  • Apparatus For Radiation Diagnosis (AREA)
  • Cold Cathode And The Manufacture (AREA)

Abstract

本发明涉及一种电子源和使用了该电子源的X射线源。本发明的电子源具有至少两个电子发射区域,每个所述电子发射区域包含多个微型电子发射单元,所述微型电子发射单元包括:基极层、位于所述基极层上的绝缘层、位于所述绝缘层上的栅极层、位于所述栅极层上的开口、以及固定于所述基极层上与所述开口位置对应的电子发射体,同一个所述电子发射区域内的各所述微型电子发射单元之间具有电连接,同时发射电子或者同时不发射电子,不同的所述电子发射区域之间具有电隔离。

Description

电子源、X射线源、使用了该X射线源的设备
技术领域
本发明涉及产生电子束流的电子源和使用该电子源产生X射线的X射线源,特别涉及从不同位置按预定方式产生电子束流的电子源和从不同位置按预定方式产生X射线的X射线源以及使用了该X射线源的设备。
背景技术
电子源是指能够产生电子束流的设备或部件,惯用称呼包括电子枪、阴极、发射体等,电子源在显示设备、X射线源、微波管等中具有广泛应用。X射线源是指产生X射线的设备,核心是X射线管,由电子源、阳极、真空密封外壳构成,通常还包括电源与控制系统、冷却及屏蔽等辅助装置。X射线源在工业无损检测、安全检查、医学诊断和治疗等领域具有广泛的应用。
传统的X射线源采用直热式螺旋钨丝为阴极,工作时通过电流,加热到约2000K的工作温度,产生热发射的电子束流,电子束流被阳极和阴极之间的数十万伏高压电场加速,飞向阳极并撞击靶面,产生X射线。
场致发射可以让多种材料,例如金属针尖、碳纳米管等,在常温下产生电子发射,获得电子束流,相比热发射,具有启动/关断速度快、节能、无需散热等明显优点。在纳米技术特别是碳纳米材料发展起来后,纳米材料场致发射电子源获得了快速发展,例如Keesmann等人在专利US5773921A中指出纳米材料可用于场致发射,进一步的,OttoZhou等人在专利US6850595B和谭大刚在专利CN02133184.7中提出了碳纳米材料场致发射电子源用于X射线源的具体结构,专利US8447013B进一步提出了通过纳米材料场致发射可以在一个X射线源内布置多个电子发射源形成多个靶点的分布式X射线源的技术。
X射线源要求其所采用的电子源具有较大的发射电流,通常发射电流大于1mA,例如目前医疗CT中的油冷旋转靶X射线源的电子源发射电流高达1300mA。现有的以纳米材料场致发射电子源为阴极的X射线设备中,为了实现较大的发射电流,均采用纳米材料生成具有一定宏观尺寸的阴极发射面,并在发射面上方通过平行关系布置网状栅极,对场致发射进行控制。这种结构,由于机械加工精度、栅网形变量、安装精度的影响,栅网与阴极表面具有较大的距离,因此需要给栅极施加很高的电压,通常超过1000V,来控制场致发射。例如专利CN102870189B中揭示的“施加到萃取门(栅极)上的电压是大约1到3kV”。这么高的控制电压,对于具有多个电子发射源的分布式X光源,通常需要上百路千伏级的控制电压,在控制系统、真空过渡连接器件等方面具有较高的技术难度和很高的生产成本。
纳米材料场致发射电子源在显示设备方面的应用越来越广泛,被认为是下一代产品的主要技术方向,称为FED(FieldEmissionDisplay),在场致发射原理、发射单元结构、光点布局及生产制备方法等方面,有众多专利进行了披露,例如范守善等人的专利CN100583353和CN101499389等。场致发射电子源在显示设备应用方面的技术特征是,每一个发光点结构尺寸很小,在几十到几百微米,每一个电子源的发射电流也很小,大致在几百纳安到几微安,同时栅极控制电压很低,并且大量电子源通过均匀排布的横向和纵向导电条被分割为可每个点单独控制的二维平面阵列,例如CN1285067C所披露的结构。由于应用领域、技术需求的不同,现有显示设备用电子源与X射线源用电子源具有明显差异。
2007年发表于APPLIEDPHYSICSLETTERS的文献“Transmission-typemicrofocusx-raytubeusingcarbonnanotubefieldemitters”披露了半径为5μm的涂覆碳纳米管材料的尖端,场致发射电流达到了稳定的26μA,作为本发明中纳米材料场致发射能力的技术基础。
此外,采用场致发射原理的电子发射单元具有大体相似的结构,例如,如图3(A)、图3(B)、图3(C)所示。图3(A)是专利US5773921披露的技术方案,纳米材料(图中的附图标记31)附着在基极层(图中的附图标记10)的一定结构(图中的附图标记13)上。图3(B)是专利US5973444披露的技术方案,纳米材料(图中的附图标记20)直接生长在基极层(图中的附图标记12、14)的平坦表面上。图3(C)是专利CN100459019披露的技术方案,用于X射线源设备的电子源,具有宏观尺寸(毫米至厘米)的纳米材料平面(图中的附图标记330),其栅极层为宏观尺寸的栅网,栅网平面与纳米材料平面平行。在现有技术中,以图3(A)和(B)为代表的电子发射单元,通常为面阵列排列,通过纵和横(也可称为经和纬)布置的条带基极层和栅极层(或复杂的多层次栅极层),对每一个发射单元进行单独控制,每个发射单元的发射电流很小,且应用中没有考虑各组成部分的结构比例,发射电流的品质差。如图3(B)所示的结构,栅极上的开口尺寸远大于纳米材料到栅极的距离,导致边缘部分的纳米材料感受电场大,边缘部分的纳米材料先进行电流发射,但是发射的电流向边缘大角度发散,前向性差,且容易被栅极阻挡吸收,而位于中间的纳米材料本来可以产生前向性较好的发射电流,但是由于感受的电场小,发射电流很小或者基本不发射。以图3(C)为代表的明确用于X射线源的电子发射单元,其栅网平面与纳米材料平面之间是一种大跨度小间距的平行平面结构,由于机械加工精度、安装精度的限制,间距很难做到200μm以下,否则很容易出现两平面不平行导致电场不均匀,或者栅网本身的形变或者受电场力的影响产生的形变会严重影响电场的均匀性,甚至会产生栅网与纳米材料之间的短路。这种电子发射单元由于栅网平面与纳米材料平面之间的距离大,使得场致发射控制电压高,从而增加了控制难度和生产成本。
此外,专利US20130230146A1披露了一种线状电子发射源与线状栅极隔离相互间隔排列、且栅极具有阵列开孔的电子发射装置。其在栅极隔离的表面设置防充电膜的技术特征使得电子发射装置能有效地防止打火,但是其线状长条形的电子发射源仅在栅极具有开口的地方产生电子发射,在栅极桥遮挡的位置不能产生电子发射,电子发射源形成浪费,同时其结构尺寸的不够优化,例如开孔的尺寸大于电子发射源到栅极的距离,影响发射效率,使得该电子发射装置的发射电流强度仍然不够大。
发明内容
本发明是为了解决上述课题而提出的,本发明提供一种具有新型结构的场致发射电子源,实现结构简单、成本低、控制电压低、发射电流强度大的目的,同时提供使用了该电子源的X射线源,输出X射线强度大,成本低,或者具有多个不同位置的X射线靶点,靶点流强大、间距小。
本发明主要是提供一种低控制电压且大发射电流的场致发射电子源和使用了该电子源的X射线源。本发明的电子源具有多个电子发射区域,每个发射区域包含大量微型电子发射单元,本发明中的微型电子发射单元的结构使得场致发射的控制电压很低,大量微型电子发射单元协调工作使电子发射区域具有大的发射电流。使用了该电子源的X射线源,通过阳极的设计可以为双能X射线源;通过电子源的设计,可以获得具有多个不同位置的靶点的分布式X射线源;通过多种工作模式,可以增加每个靶点的X射线输出强度、减小靶点的间距、避免黑点,扩展了场致发射分布式X射线源的功能和应用,同时,通过降低控制电压,从而降低控制难度和生产成本,减少故障,增加了分布式X射线源的寿命。
此外,本发明还提供了具有上述特征的分布式X射线源在透视成像和背散射成像方面的应用,多种技术方案展现了使用该X射线源带来的低成本、高检查速度、高图像质量的一个或多个优势。
此外,本发明还提供了一种图像实时引导放射治疗系统,对于治疗具有生理运动的部位,例如肺、心脏等,“实时”的图像引导放射治疗可以降低照射剂量、减少对正常器官的照射,具有重要意义。而且,本发明的分布式X射线源具有多个靶点,其获得的引导图像不同于普通平面图像,是具有深度信息的“立体”诊断图像,可以进一步提高图像引导治疗中,对治疗射线束的位置引导精确性。
要达到本发明的目的,采用了如下的技术方案。
本发明提供一种电子源,具有至少一个电子发射区域,所述电子发射区域包含多个微型电子发射单元,每个所述微型电子发射单元在阵列排布方向上占用的空间尺寸大小为微米级,所述微型电子发射单元包括基极层、位于所述基极层上的绝缘层、位于所述绝缘层上的栅极层、位于所述栅极层上的开口、以及固定于所述基极层上与所述开口位置对应的电子发射体,其中,所述电子发射区域内的各所述微型电子发射单元同时发射电子或者同时不发射电子。
此外,在本发明中,所述基极层用于提供结构支撑以及电连接。
此外,在本发明中,所述栅极层由导电材料构成。
此外,在本发明中,所述开口贯穿所述栅极层和所述绝缘层并且到达所述基极层。
此外,在本发明中,所述绝缘层的厚度小于200μm。
此外,在本发明中,所述开口的尺寸小于所述绝缘层的厚度。
此外,在本发明中,所述开口的尺寸小于所述电子发射体到所述栅极层的距离。
此外,在本发明中,所述电子发射体的高度小于所述绝缘层的厚度的二分之一。
此外,在本发明中,所述栅极层与所述基极层平行。
此外,在本发明中,所述微型电子发射单元在阵列排列方向上所占用的空间尺寸为微米级,优选所述微型电子发射单元在阵列排列方向上所占用的空间尺寸范围为1μm~200μm。
此外,在本发明中,所述电子发射区域的长度与宽度的比例大于2。
此外,在本发明中,所述基极层由基底层和位于所述基底层上的导电层构成,所述电子发射体固定在所述导电层上。
此外,在本发明中,所述电子发射区域的发射电流不小于0.8mA。
此外,本发明提供一种电子源,具有至少两个电子发射区域,每个所述电子发射区域包含多个微型电子发射单元,所述微型电子发射单元包括用于提供结构支撑以及电连接的基极层、位于所述基极层上的绝缘层、位于所述绝缘层上且由导电材料构成的栅极层、贯穿所述栅极层和所述绝缘层并且到达所述基极层的开口、以及位于所述开口内并且固定于所述基极层的电子发射体,其中,同一个所述电子发射区域内的各所述微型电子发射单元之间具有电连接,同时发射电子或者同时不发射电子,不同的所述电子发射区域之间具有电隔离。
此外,在本发明中,所述绝缘层的厚度小于200μm。
此外,在本发明中,所述栅极层与所述基极层平行。
此外,在本发明中,不同的所述电子发射区域之间具有电隔离是指:各所述电子发射区域的所述基极层是各自分开独立的、或者各所述电子发射区域的所述栅极层是各自分开独立的、或者各所述电子发射区域的所述基极层和所述栅极层都是各自分开独立的。
此外,在本发明中,不同的所述电子发射区域可以通过控制以预定的顺序进行电子发射,包括顺次、间隔、交替、部分同时和分组组合等方式。
此外,在本发明中,同一所述电子发射区域的各所述微型电子发射单元的所述基极层为同一物理层,各所述微型电子发射单元的所述栅极层为同一物理层,各所述微型电子发射单元的所述绝缘层也可以为同一物理层。
此外,在本发明中,所述微型电子发射单元在所述电子发射区域内的阵列排布方向上,尺寸大小为微米级。
此外,在本发明中,所述微型电子发射单元在阵列排布方向上占用的空间尺寸范围为1μm至200μm。
此外,在本发明中,所述开口的尺寸小于所述绝缘层的厚度。
此外,在本发明中,所述开口的尺寸小于所述电子发射体到所述栅极层的距离。
此外,在本发明中,所述电子发射体的高度小于所述绝缘层的厚度的二分之一。
此外,在本发明中,所述电子发射体的线性长度垂直于所述基极层的表面。
此外,在本发明中,所述电子发射体含有纳米材料而构成。
此外,在本发明中,所述纳米材料是单壁碳纳米管、双壁碳纳米管、多壁碳纳米管、或者它们的组合。
此外,在本发明中,所述基极层由基底层和位于所述基底层上的导电层构成,所述基底层用于提供结构支撑,所述导电层用于同一所述电子发射区域内的各所述微型电子发射单元的基极(纳米材料的固定极)形成电气连接。
此外,在本发明中,所述电子发射区域的长度与宽度的比例大于2。
此外,在本发明中,各所述电子发射区域大小相等,沿着窄边平行、整齐、均匀排列。
此外,在本发明中,每个所述电子发射区域的发射电流大于0.8mA。
此外,本发明提供一种X射线源,包括:真空盒;电子源,配置在所述真空盒内;与所述电子源相对配置在所述真空盒内的阳极;用于在所述电子源的所述电子发射区域的所述基极层和所述栅极层之间施加电压的电子源控制装置;以及与所述阳极连接,用于对所述阳极提供高压的高压电源,其特征在于:所述电子源具有至少一个电子发射区域,所述电子发射区域包含多个微型电子发射单元,每个所述微型电子发射单元在阵列排布方向上占用的空间尺寸大小为微米级,所述微型电子发射单元包括用于提供结构支撑以及电连接的基极层、位于所述基极层上的绝缘层、位于所述绝缘层上且由导电材料构成的栅极层、贯穿所述栅极层和所述绝缘层并且到达所述基极层的开口、以及位于所述开口内并且固定于在所述基极层的电子发射体,其中,所述电子发射区域内的各所述微型电子发射单元同时发射电子或者同时不发射电子。
此外,在本发明中,所述绝缘层的厚度小于200μm。
此外,在本发明中,所述电子源控制装置给所述电子源施加的场致发射控制电压小于500V。
此外,本发明提供一种分布式X射线源,包括:真空盒;电子源,配置在所述真空盒内;与所述电子源相对配置在所述真空盒内的阳极;用于在所述电子源的所述电子发射区域的所述基极层和所述栅极层之间施加电压的电子源控制装置;与所述阳极连接,用于对所述阳极提供高压的高压电源,其特征在于:
所述电子源包含至少两个(称为N个)电子发射区域,每个所述电子发射区域包含多个微型电子发射单元,所述微型电子发射单元包括基极层、位于所述基极层上的绝缘层、位于所述绝缘层上的栅极层、位于所述栅极层上的开口、以及固定于所述基极层上与所述开口位置对应的电子发射体,其中,同一个所述电子发射区域内的各所述微型电子发射单元之间具有电连接,同时发射电子或者同时不发射电子,不同的所述电子发射区域之间具有电隔离。
此外,在本发明中,所述电子源的不同的所述电子发射区域之间,所述基极层是电隔离的,每一个所述基极层均通过独立的引线连接到电子源控制装置。
此外,在本发明中,所述电子源的不同的所述电子发射区域之间,所述栅极层是电隔离的,每一个所述栅极层均通过独立的引线连接到电子源控制装置。
此外,在本发明中,所述阳极的表面与所述电子源的表面相对,具有相似的形状和尺寸,保持平行或大致平行的关系,产生至少两个位置不同的靶点。
此外,在本发明中,所述阳极至少包含两种不同的靶材料,在不同的靶点产生综合能量不同的X射线。
此外,在本发明中,N个所述电子发射区域具有长条形形状,且沿着窄边的方向,在同一个平面内线性排列。
此外,在本发明中,N个所述电子发射区域各自独立进行电子发射,在所述阳极上对应的N个位置分别产生X射线,形成N个靶点。
此外,在本发明中,N个所述电子发射区域,以相邻的n个进行不重叠的组合,以组进行电子发射,可以在所述阳极上对应的N/n个位置分别产生X射线,形成N/n个靶点。
此外,在本发明中,N个所述电子发射区域,以相邻的n个进行有a个重叠的组合,以组进行电子发射,在所述阳极上对应的个位置分别产生X射线,形成个靶点。
此外,在本发明中,所述电子发射区域的表面在宽度方向上为弧形,所述电子发射区域内的各所述微型电子发射单元发射的电子在宽度方向上向一个点聚焦。
此外,在本发明中,所述的分布式X射线源还包括聚焦装置,所述聚焦装置与所述电子发射区域相对应,数量相同,布置在所述电子源与所述阳极之间。
此外,在本发明中,所述分布式X射线源还包括配置在所述真空盒内或者所述真空盒外的准直装置,所述准直装置布置在X射线的输出路径上,用于输出锥形、平面扇形、笔形或者多点平行等形式的X射线。
此外,在本发明中,所述分布式X射线源的靶点的排列形状为圆形或者弧形。
此外,在本发明中,所述分布式X射线源的靶点的排列形状为首尾相邻的方形、折线段或者一段直线。
此外,在本发明中,所述阳极靶为透射靶,所输出的X射线与来自所述电子源的电子束流为同一方向。
此外,在本发明中,所述阳极靶为反射靶,所输出的X射线与来自所述电子源的电子束流成90度角。
此外,本发明提供一种使用了本发明的X射线源的透视成像系统,具备:至少一个本发明的X射线源,用于产生覆盖检测区域的X射线;至少一个探测器,位于所述检测区域的不同于所述X射线源的另一侧,用于接收X射线;以及传送装置,位于所述X射线源与所述探测器之间,用于承载受检测对象通过检测区域。
此外,本发明提供一种使用了本发明的分布式X射线源的背散射成像系统,具备:至少一个本发明的分布式X射线源,用于产生多个笔形X射线束,覆盖检测区域;至少一个探测器,位于所述检测区域的与所述X射线源相同的一侧,用于接收从受检测对象反射回来的X射线。
此外,在本发明的背散射成像系统中,具有至少两组所述X射线源和所述探测器的组合,配置在所述受检测对象的不同侧。
此外,在本发明的背散射成像系统中,还具备:传送装置,用于承载所述受检测对象通过所述检测区域。
此外,在本发明的背散射成像系统中,还具备:运动装置,用于移动所述X射线源和所述探测器,使所述X射线源和所述探测器通过受检测对象所在的区域。
此外,本发明提供一种X射线检测系统,具备:至少两个本发明的分布式X射线源;与所述X射线源对应的至少两组探测器;以及图像综合处理系统。其中,至少一组所述分布式X射线源和所述探测器对检测对象进行透视成像,至少一组所述分布式X射线源和所述探测器对检测对象进行背散射成像,图像综合处理系统对透视图像和背散射图像进行综合处理,获得受检测对象的更多特征信息。
此外,本发明提供一种实时图像引导放射治疗设备,具备:放射治疗射线源,用于产生对病人进行放射治疗的射线束;多叶准直器,用于调整放射治疗射线束的形状,使得与病灶匹配;移动床,用于移动并定位病人,使放射治疗射线束位置与病灶位置对准;至少一个本发明的分布式X射线源即诊断射线源,用于产生对病人进行诊断成像的射线束;平板探测器,用于接收诊断成像的射线束;控制系统,根据所述平板探测器所接收的射线束形成诊断图像,对所述诊断图像中病灶的位置进行定位,引导放射治疗的射线束中心与病灶中心对准,引导所述多叶准直器的治疗射线束形状与病灶形状匹配。其中,分布式X射线源是形状为圆环形或方框形且侧面输出X射线的分布式X射线源,分布式X射线源的轴线或中心线与所述放射治疗射线源的束流轴线为同一直线,即所述诊断射线源与所述放射治疗射线源的位置相对病人同向。
根据本发明,能够提供实现了控制电压低并且发射电流强度大电子源以及使用了该电子源的X射线源、使用了该X射线源的成像系统、X射线检测系统以及实时图像引导放射治疗设备等。
附图说明
图1是本发明的电子源的结构示意图。
图2是本发明中的微型电子发射单元的结构示意图。
图3是现有技术中场致发射单元的几种结构的示意图。
图4是示意性地示出本发明的电子源的前端面剖视图的图。
图5是本发明中几种以不同方式进行区域分隔的电子源的示意图。
图6是本发明中的微型电子发射单元的具体结构的示意图。
图7是纳米材料以不同方式固定的微型电子发射单元的示意图。
图8是使用了本发明的电子源的X射线源的结构示意图。
图9是本发明中的阳极具有多种靶材料的分布式X射线源的示意图。
图10是本发明中分布式X射线源的三种工作模式的示意图。
图11是本发明的电子源为特定结构的分布式X射线源的示意图。
图12是带有聚焦装置的分布式X射线源的示意图。
图13是分布式X射线源的几种准直效果的示意图。
图14是一种圆环型的分布式X射线源的示意图。
图15是一种方框型的分布式X射线源的示意图。
图16是分布式X射线源的几种剖面结构的示意图。
图17是一种使用了本发明分布式X射线源的透射成像系统的示意图。
图18是一种使用了本发明分布式X射线源的背散射成像系统的示意图。
附图标记说明:
1电子源;11,12,13,……电子源上的电子发射区域;
100微型电子发射单元;101基极层;102绝缘层;103栅极层;104电子发射体;105开口;106基底层;107导电层;
2阳极;21,22,23,……阳极上的X射线靶点;
3真空盒;4电子源控制装置;41第一连接装置;5高压电源;51第二连接装置;6聚焦装置;7准直装置;
81X射线源;82探测器;83受检测对象;84传送装置;
S微型电子发射单元的尺寸;D开口的尺寸;H电子发射体至栅极层的距离;h电子发射体的高度;d电子发射区域之间的间距;
V场致发射电压;E电子束流;XX射线;OX射线源中心、中心线或者轴线。
具体实施方式
以下,基于附图详细地对本发明进行说明。图1是本发明的电子源的一种结构的示意图。如图1所示,本发明的电子源1包含电子发射区域11、电子发射区域12等多个电子发射区域,此外,虽然未图示,但是电子源1也可以仅包含一个电子发射区域。如图1所示,每个电子发射区域包含多个微型电子发射单元100。此外,同一电子发射区域内的微型电子发射单元100之间具有物理连接(电连接),不同的电子发射区域之间,具有物理分隔(即,不同的电子发射区域之间被电隔离)。此外,在图1中,多个电子发射区域11、12、……沿着电子发射区域的宽度方向(在图1中示出为左右方向)排列为一列,但是,本发明并不限于此,电子发射区域也可以是其他排列方式,例如,排列有多列,或者排列有多列并且每列的电子发射区域以彼此交错的方式布置等,此外,电子发射区域的大小、形状、电子发射区域之间的距离可以根据需要来设定。
同一电子发射区域内的所有微型电子发射单元100同时发射电子或者同时不发射电子,不同的电子发射区域可以通过控制以预定的顺序进行电子发射,例如顺次发射、间隔发射、交替发射、部分同时发射或者分组组合发射等多种发射方式。
图2是本发明中的一种微型电子发射单元100的结构示意图。如图2所示,微型电子发射单元100包括基极层101、位于基极层101上的绝缘层102、位于绝缘层102上的栅极层103、贯穿栅极层103与绝缘层102并且到达基极层101的开口105以及位于开口105内且固定于基极层101的电子发射体104。其中,基极层101是微型电子发射单元100的结构基础,提供结构支撑,同时提供电气连通(电连接);绝缘层102处于基极层101之上,由绝缘材料构成,使栅极层103与基极层101绝缘,同时,由于绝缘层102的支撑作用,在同一个电子发射区域内,在整体上使得栅极层与基极层之间的各处距离相等(也即两者所在的平面平行),从而使栅极层103与基极层101之间的电场分布均匀;栅极层103处于绝缘层102之上,由金属导电材料构成;开口105穿透栅极层103与绝缘层102;电子发射体104位于开口105中,连接在基极层101上。此外,开口105可以是圆形、方形、多边形、椭圆形等任何可加工形状,优选的为圆形;开口105在栅极层103中的大小(尺寸)与在绝缘层102中的大小可以相同,也可以不同,例如,如图2所示那样,在绝缘层102中的开口比在栅极层103中的开口稍大。此外,电子发射体104位于开口105中,连接在基极层101上,优选的是电子发射体104位于开口的中心,电子发射体104的线性长度垂直于基极层101的表面。当栅极层103和基极层101之间通过外部电源V施加电压差(即,场致发射电压)时,栅极层103与基极层101之间产生电场,当电场强度达到一定程度时,例如超过2V/μm,电子发射体104产生场致发射,发射的电子束流E穿过绝缘层102和栅极层103,从开口105发射出去。
此外,电子发射体104为含有“纳米材料”的结构,“纳米材料”是指三维空间中至少有一维处于纳米尺度范围(1~100nm)或由它们作为基本单元构成的材料,包括金属和非金属的纳米粉末、纳米纤维、纳米膜、纳米体块等,典型的如碳纳米管、氧化锌纳米线等,在本发明中优选纳米材料为单壁碳纳米管和双壁碳纳米管,其直径小于10纳米。
相对于3(A)、图3(B)、图3(C)所示的现有技术的结构,在本发明中,通过微型电子发射单元100的各组成部分的特定结构、比例以及电子发射区域获得更好的电子发射特性和更大的电子发射电流E,同时降低场致发射所需的控制电压V。
图4是本发明的电子源1的前端面剖视图的示意图。如图4所示,同一电子发射区域内的各微型电子发射单元100之间具有物理连接(电连接),例如,具体表现为:各微型电子发射单元100的基极层101为同一物理层,各微型电子发射单元100的栅极层103为同一物理层,各微型电子发射单元100的绝缘层102可以为同一物理层。“同一物理层”表示的是在空间上处于同一层面,在电气特性上为连通,在结构上为相连的一体。各微型电子发射单元100的绝缘层102也可以是由处于同一空间层面的多个绝缘柱、绝缘块、绝缘条等组成,只要能够实现使栅极层103与基极层101之间绝缘且各处距离相等(即,栅极层103与基极层101平行)即可。此外,不同的电子发射区域之间,具有物理分隔,例如,具体表现为:各电子发射区域的栅极层103是各自分开独立的、或者各电子发射区域的基极层101是各自分开独立的、或者各电子发射区域的栅极层103与基极层101都是各自分开独立的。从而实现同一电子发射区域内的所有微型电子发射单元同时发射电子或者同时不发射电子,不同的电子发射区域可以通过控制进行以预定的独立控制顺序或者组合控制顺序进行电子发射。多个微型电子发射单元100的同时工作可以使得一个电子发射区域的发射电流大于0.8mA。
图5是本发明中几种以不同方式进行区域分隔的电子源的示意图。如图5的(A)、(B)、(C)所示,不同电子发射区域之间的物理分隔,可以有多种具体的实施方式。例如,图5(A)示出了电子发射区域11与电子发射区域12具有共同的基极层和绝缘层,但是栅极层是分开的,具有间距d;图5(B)示出了电子发射区域11与电子发射区域12具有共同的栅极层和绝缘层,但是基极层是分开的,具有间距d;图5(C)表示了发射区域11与发射区域12的栅极层、绝缘层和基极层都是分开的,具有间距d。
此外,各电子发射区域的形状可以是方形、圆形、长条形、长椭圆形、多边形及其他组合形状等;其中方形是指正方形或者长方形,长条形是指长与宽的比例远大于1(例如10)的情形;一个电子源的各电子发射区域的形状可以相同,也可以不同;各电子发射区域的尺寸大小可以相等,也可以不等;电子发射区域具有毫米级的宏观尺寸,例如0.2mm至40mm。各电子发射区域之间的分隔间距d,可以为微米量级,也可以为宏观的毫米至厘米量级,不同电子发射区域之间的分隔间距d可以相同也可以不同。一种典型的结构,各电子发射区域为长条形,尺寸为1mm×20mm,大小相等,沿着窄边(1mm)平行、整齐、均匀排列,各相邻电子发射区域的间距d为1mm。
图6是本发明中的微型电子发射单元的具体结构的示意图。如图6所示,在微型电子发射单元100的结构中,基极层101提供结构支撑,同时提供电气连通,可以是一个金属层,也可以由基底层106和导电层107组成。基底层106用于提供结构支撑,例如提供光洁的表面便于导电层附着,是电子发射区域的结构基础,即导电层107、绝缘层102、栅极层103、电子发射体104等都是以基底层106为基础进行附着、粘结、生长或固定。基底层106可以是金属材料,例如不锈钢,也可以是非金属材料,例如陶瓷等。导电层107用于给同一电子发射区域内的各微型电子发射单元100提供基极电气连接,导电层107由导电性能良好的材料构成,可以是金属,也可以是非金属,例如金、银、铜、钼、碳纳米膜等。
此外,微型电子发射单元100在电子发射区域内的阵列排布方向上,尺寸大小S为微米级,即每个微型电子发射单元100在阵列排布方向上占用的空间尺寸范围为1μm至200μm,典型的如50μm。与阵列排布平面垂直的方向定义为深度,或者称为厚度。基底层106的厚度为宏观的毫米级,例如1mm~10mm,典型的例如4mm,图6中的基底层106只体现了厚度方向上的一部分。导电层107的厚度可以在毫米级,也可以在微米级,与采用的材料有一定关系,为了加工方便和降低成本,推荐的为微米级,例如20μm厚的碳纳米膜。绝缘层102的厚度为微米级,例如5μm至400μm,典型的如100μm。栅极层103的厚度在微米级,推荐的为与绝缘层102具有接近但是稍小的厚度,例如5μm至400μm,典型的如30μm。开口105的尺寸D为微米级,开口105的尺寸小于绝缘层102的厚度,例如5μm至100μm,典型的如30μm。电子发射体104的高度h为微米级,小于绝缘层102厚度的1/2,例如1μm至100μm,典型的如20μm。电子发射体104与栅极层103的距离H,即电子发射体104的顶部至栅极层103的下沿的距离为微米级,小于绝缘层102的厚度,进一步地明确为小于200μm,典型的例如80μm。
微型电子发射单元100的尺寸S为微米级,开口105的尺寸D为微米级,使得开口105的内部可以布置大量直径小于10纳米的单壁或双壁碳纳米管、多壁碳纳米管、或者它们的组合,保障一定的电流发射能力;开口105的尺寸小于绝缘层102的厚度,也即开口105的形状是一种“深井”形,电子发射体104的顶部感受到的电场分布相对均匀,保障电子发射体104发射的电流具有较好的前向特性;栅极层103的厚度接近但是小于绝缘层102的厚度,一方面使得电子发射体104的顶部的电场相对均匀,另一方面又不对电子发射体104所发射的电子束流E形成明显阻挡。上述各部分的结构尺寸关系,改善了微型电子发射单元100所发射的电子束流E的品质,提高了发射电流强度,增强了前向特性,此外,通过调整控制电压,从而每个微型电子发射单元100的发射能力大于100nA,例如100nA到25μA。
同时,电子发射体104与栅极层103的距离H<200μm,使得栅极的控制电压小于500V(这是因为,栅极层与电子发射体之间的电压和栅极层与电子发射体之间的距离的比超过2V/μm,电子发射体就产生场致发射,实际上,电子发射体的纳米材料尖端具有很强的场强增强效应,即纳米材料的尖端感受到的电场可以远大于V/H,V为栅极的控制电压,H为栅极层与电子发射体之间的距离),典型的H=80μm,控制电压V=300V,这使得本发明的电子源控制简单,控制成本低。
此外,微型电子发射单元100的尺寸大小S体现为微米级,按上述推荐的典型尺寸参数,微型电子发射单元100的尺寸S为50μm,一个大小为1mm×20mm的电子发射区域内具有8000个微型电子发射单元100,每个微型电子发射单元100的发射能力为100nA到25μA,电子发射区域的电流发射能力大于0.8mA,例如0.8mA到200mA。
此外,电子发射体104可以通过生长、印刷、粘结、烧结等手段直接固定在导电层上,或者固定在导电层上特定设计的某些凸起结构上,例如如图7(A)、(B)、(C)所示。图7(A)是一种纳米材料固定在锥形凸台上的结构示意图,凸台还可以是方形、柱形等,这是现有技术中比较常见的结构;图7(B)是一种在导电层上布置微型金属杆(或者金属尖端),在金属杆上固定纳米材料,形成纳米材料树状的结构;图7(C)是一种导电层本身为纳米材料制成的膜,通过后续处理使得开口位置的纳米膜中的部分纳米材料竖立起来的结构。
图8是使用了本发明的电子源的X射线源的结构示意图。在图8中所示的X射线源包括:电子源1;与电子源1相对布置的阳极2;包围电子源1和阳极2的真空盒3;与电子源1连接的电子源控制装置4;与阳极2相连接的高压电源5;穿越真空盒3的盒壁连接电子源1与电子源控制装置4的第一连接装置41;穿越真空盒3的盒壁连接阳极2与高压电源5的第二连接装置51。
如前所述那样,电子源1包含至少一个电子发射区域,电子发射区域包含多个微型电子发射单元100,每个微型电子发射单元100在阵列排布方向上占用的空间尺寸范围为微米级,微型电子发射单元100包括基极层101、位于基极层101上的绝缘层102、位于绝缘层103上的栅极层103、贯穿栅极层102与绝缘层102并且达到基极层101的开口105、以及位于开口105内且固定于基极层101的电子发射体104,多个微型电子发射单元100同时发射电子或者同时不发射电子。
此外,电子发射区域的工作状态由与电子源1连接的电子源控制装置4控制。电子源控制装置4将两个不同的电压通过第一连接装置41施加到电子源1的电子发射区域的基极层101和栅极层103,在基极层101和栅极层103之间建立起电压差为V的场致发射电场,电场强度为V/H(H为电子发射体104和栅极层103之间的距离),定义栅极层103的电压比基极层101的电压高时,V为正,相反则V为负。当电场的电压V为正,电子发射体104的纳米材料是碳纳米管,且强度V/H大于2V/μm时(由于纳米材料尖端的场强增强效应,纳米材料感受到的实际电场可能远大于V/H的数值),电子发射区域产生电子发射。当电场的电压为零或者为负时,电子发射区域不产生电子发射。当电压V越高,强度V/H越大时,电子发射的电流强度越大,因此,可以通过调节电子源控制装置4的输出电压V来调节电子源1所发射的电流强度的大小。例如,电子源控制装置4可输出电压幅度可调整范围为0V至500V的电压,当输出电压为0V时,电子源1不发射电子;当输出电压达到一定幅度时,例如200V,电子源1开始发射电子,当输出电压再增加一定幅度时,例如达到300V时,电子源1发射电子的电流强度达到目标值,如果电子源1发射的电流强度低于或高于目标值,通过调高或调低电子源控制装置4的输出电压,使电子源1发射的电流强度回归目标值,现代控制系统很容易实现这种自动式反馈调节。通常,为了使用方便,将电子源1的电子发射区域的基极层101连接到地电位,对栅极层103施加正电压;或者将栅极层103连接到地电位,对基极层101施加负电压。
此外,阳极2用于使自己与电子源1之间建立高压电场,同时接收从电子源1发射并被高压电场加速的电子束流E,产生X射线。阳极2通常也称为靶,其材料通常为高Z的金属材料,称为靶材料,广泛使用的有钨、钼、钯、金、铜等,可以是一种金属,也可以是合金,为降低成本,通常以一种普通金属为基底,在其上通过电镀、溅射、高温压接、焊接、粘接等方式固定一种或多种高Z的靶材料。
阳极2通过第二连接装置51与阳极高压电源5连接。高压电源5产生几十kV至几百kV的高压(例如,40kV至500kV)施加到阳极2与电子源1之间,阳极2相对电子源1为正的电压,例如一种典型的方式是电子源1的主体连接在地电位,阳极2通过高压电源5被施加正160kV的高压。阳极2与电子源1之间形成高压电场,电子源1发射的电子束流E受到高压电场的加速,沿着电场方向(逆电力线)运动,最终轰击阳极2的靶材料,产生X射线。
此外,真空盒3是四周密封的空腔壳体,包围电子源1和阳极2,壳体主要是绝缘材料,例如玻璃或陶瓷等。真空盒3的壳体也可以是金属材料,例如不锈钢,在真空盒3的壳体为金属材料时,真空盒3的壳体与内部的电子源1和阳极2之间保持足够的距离,一方面不会与电子源1或阳极2之间产生放电打火,另一方面也不会影响电子源1与阳极2之间的电场分布。真空盒3的盒壁上还安装有第一连接装置41,让电气连接的引线穿过真空盒3的盒壁并保持真空盒3的密封特性,通常为陶瓷材料制成的引线端子。真空盒3的盒壁上还安装有第二连接装置51,让电气连接的引线穿过真空盒3的盒壁并保持真空盒的密封特性,通常为陶瓷材料制成的高压引线端子。真空盒3的内部为高真空,真空盒3内的高真空通过在高温排气炉内烘烤排气获得,真空度通常不低于10-3Pa,推荐的真空度不低于10-5Pa,真空盒3本身还可以带有离子泵等真空保持装置。
此外,电子源1包含至少两个电子发射区域,例如N个,每个电子发射区域包含多个微型电子发射单元100,如前述那样,微型电子发射单元100包括基极层101、位于基极层101上的绝缘层102、位于绝缘层102上的栅极层103、贯穿栅极层103与绝缘层102并且到达基极层101的开口105和位于开口105内且固定于基极层101的电子发射体104,同一电子发射区域内的微型电子发射单元100之间具有物理连接,在不同电子发射区域之间,具有物理分隔。
如前述那样,同一电子发射区域内的微型电子发射单元100之间具有物理连接,是指其基极层101为同一层,栅极层103为同一层,绝缘层102可以为同一层。不同的电子发射区域之间具有物理分隔,可以是:(A)不同电子发射区域的基极层101、绝缘层102为同一层,栅极层103位于同一平面,但是是分隔开的,例如相邻电子发射区域的栅极层103具有间距d。此种情形下,电子源1的基极层101具有一根公共引线通过第一连接装置41连接到电子源控制装置4,每个电子发射区域的栅极层103都有一个独立的引线通过第一连接装置41连接到电子源控制装置4,对于N个电子发射区域,第一连接装置41具有至少N+1根独立引线。进一步地,电子源1的基极层101通过公共引线连接到电子源控制装置4的地电位,电子源控制装置4的多路输出(均输出正电压)通过第一连接装置41分别连接到每一个电子发射区域的栅极层103,从而实现对每一个电子发射区域的独立控制。(B)不同的电子发射区域的栅极层103、绝缘层102为同一层,基极层101位于同一平面,但是是分隔开的,例如相邻电子发射区域的基极层101具有间距d,基极层101的分隔开在其由非导电的基底层106和导电层107构成时,可以仅仅是导电层107的分隔开。此种情形下,电子源1的栅极层103具有一根公共引线通过第一连接装置41连接到电子源控制装置4,每个电子发射区域的基极层101都有一个独立的引线通过第一连接装置41连接到电子源控制装置4,对于N个电子发射区域,第一连接装置41具有至少N+1根独立引线。进一步地,电子源1的栅极层103通过公共引线连接到电子源控制装置4的地电位,电子源控制装置4的多路输出(均输出负电压)通过第一连接装置41分别连接到每一个电子发射区域的基极层101,从而实现对每一个电子发射区域的独立控制。(C)不同电子发射区域位于同一平面,但是其栅极层103、绝缘层102、基极层101都是分隔开的,例如相邻电子发射区域具有间距d。此种情形下,每一个电子发射区域分别从基极层101和栅极层103各引出一根引线,通过第一连接装置41连接到电子源控制装置4,对于N个电子发射区域,第一连接装置41具有至少2N根独立引线。电子源控制装置4的多路输出(两根引线为一组,两者之间具有电压差)通过第一连接装置41分别连接到每一个电子发射区域的基极层101和栅极层103,从而实现对每一个电子发射区域的独立控制。
如图8所示,电子源1的N个不同位置的电子发射区域11,12,13……成线性排列,可以在电子源1的不同位置发射电子束流。阳极2与电子源1对应布置,即,如图8所示的那样,阳极2位于电子源1的上方,具有与电子源1相同或相近的形状与尺寸,阳极2的靶材料所处的表面与电子源1的栅极层103的表面相对,保持平行或大致平行的关系。电子发射区域11,12,13……产生的电子束流E分别在阳极2上的不同位置产生N个X射线靶点21,22,23……。在本发明中,将这种在阳极的不同位置产生多个X射线靶点的X射线源称为分布式X射线源。
图9是本发明中的阳极具有多种靶材料的分布式X射线源的示意图。如图9所示,分布式X射线源的阳极2至少包含两种不同的靶材料,可以在不同的靶点位置产生综合能量不同的X射线。X射线是一种连续能谱,这里采用“综合能量”的概念来说明各种能量的X射线比例变化所体现出的综合效果。电子源1包含至少两个电子发射区域,每个电子发射区域发射的电子束流在阳极2的不同位置形成X射线靶点,通过在阳极2的不同靶点位置设置不同的靶材料,由于不同材料具有不同的标识谱,因此可以获得综合能量高低不同的X射线。例如,阳极2以钼材料为基底,在阳极2的表面(与电子源1相对的表面)通过离子溅射的方法,在与电子发射区域11,13,15……相对的靶点位置21,23,25……处溅射沉积200μm厚的钨靶材,在与电子发射区域12,14,16……相对的靶点位置22,24,26……处溅射沉积200μm厚的铜靶材,X射线源工作于相同的阳极电压时,各电子发射区域产生的电子束流E的强度和能量相同,但是靶点位置21,23,25……(钨靶材)产生的X射线X1的综合能量高于靶点位置22,24,26……(铜靶材)产生的X射线X2的综合能量。
此外,图10是本发明中分布式X射线源的三种工作模式的示意图。如图10所示,使用了本发明的电子源1的分布式X射线源,具有多种工作模式,产生多种有益效果。一种典型的分布式X射线源的内部结构为:电子源1的多个电子发射区域11,12,13……具有相同的长条形形状,且沿着窄边的方向,在同一个平面内整齐、均匀地线性排列,当电子发射区域的数量很大(例如,几十到上千)时,电子源1的形状也是长条形的,且电子源1的长边方向与电子发射区域的长边方向垂直;对应的阳极2也是长条形,与电子源1上下对齐,平行布置。该分布式X射线源可以有多种工作模式,展现多种有益效果。
第一类工作模式即模式A。N个电子发射区域11,12,13……,各自独立进行电子发射,在阳极2上对应的N个位置分别产生X射线,形成N个靶点。如第一种方式:各电子发射区域按其排列位置,顺次产生一定时长T的电子束流发射,即,在电子源控制装置4的控制下:①电子发射区域11发射电子束流,在阳极2的位置21产生X射线发射,经过时间T,停止发射;②电子发射区域12发射电子束流,在阳极2的位置22产生X射线发射,经过时间T,停止发射;③电子发射区域13发射电子束流,在阳极2的位置23产生X射线发射,经过时间T,停止发射;……,依次类推,所有电子发射区域都完成了一次电子发射后,再次从①开始,进行下一个循环。第二种方式:部分间隔的电子发射区域,依次产生一定时长T的电子束流发射,即,在电子源控制装置4的控制下:①电子发射区域11发射电子束流,在阳极2的位置21产生X射线发射,经过时间T,停止发射;②电子发射区域13发射电子束流,在阳极2的位置23产生X射线发射,经过时间T,停止发射;③电子发射区域15发射电子束流,在阳极2的位置25产生X射线发射,经过时间T,停止发射;……,依次类推,直至电子源的末端,然后可以是这一部分电子发射区域再次发射,也可以是另一部分(12,14,16,……)进行发射,并形成循环。第三种方式:部分电子发射区域形成组合,各组合依次产生一定时长T的电子束流发射,即,在电子源控制装置4的控制下:①电子发射区域11、14、17发射电子束流,在阳极2的位置21、24、27分别产生X射线发射,经过时间T,停止发射;②电子发射区域12、15、18发射电子束流,在阳极2的位置22、25、28分别产生X射线发射,经过时间T,停止发射;③电子发射区域13、16、19发射电子束流,在阳极2的位置23、26、29分别产生X射线发射,经过时间T,停止发射;……,依次类推,直至所有组合完成电子发射,并形成循环。在模式A中,每一个电子发射区域独立控制,并产生与该电子发射区域对应的独立靶点,每个电子发射区域具有较大的宽度,例如2mm,具有较大的发射电流,例如大于1.6mA,相邻电子发射区域的间距较大,例如d=2mm,对应形成的间距较大(例如,中心距离为2+2=4mm)、位置清晰的靶点,易于控制和使用。
第二类工作模式即模式B。N个电子发射区域11,12,13……,以相邻的n个进行不重叠的组合,以组进行电子发射,可以在阳极上对应的N/n个位置分别产生X射线,形成N/n个靶点。例如:电子发射区域(11,12,13)形成组①,电子发射区域(14,15,16)形成组②,电子发射区域(17,18,19)形成组③,……。新的N/n=N/3个组①,②,③……可以按照模式A中的多种方式进行工作。工作模式模式B的优点是,一方面,通过电子发射区域的组合,使得发射电流的强度增加,每个靶点的X射线强度也同步增加,可以按照分布式X射线源的具体用途进行n的设定,获得需要的电子束流发射强度;另一方面,可以将每个电子发射区域的宽度进一步变小,并组合更多数量的电子发射区域为一组,当某个电子发射区域发生故障(如某个微型电子发射单元短路)时,在该组内剔除该电子发射区域,该组仍能正常工作,发射电流表现为降低1/n,这种降低很容易通过调整参数得到弥补,这样整个分布式X射线源仍然具有N/n个靶点,即不会因为某个电子发射区域的故障产生“黑点”(类似于显示器的黑线)。避免“黑点”,一方面确实避免了X射线靶点出现盲点,减少了故障,另一方面如果少数电子发射单元过早“衰老”产生故障,通过避免“黑点”的做法,实际上是延长了分布式X射线源的使用寿命。当然,本模式中组合的数量n可以是固定的,也可以是不固定的数值,如有的3个一组,有的5个一组等,N/n仅仅指示为组的数量和靶点数量是电子发射区域数量N除以某个组合因子n。
第三类工作模式即模式C。N个电子发射区域11,12,13……,以相邻的n个进行有a个重叠的组合,以组进行电子发射,在阳极上对应的个位置分别产生X射线,形成个靶点。其中,表示对的结果取整数。例如:n=3且a=2时,电子发射区域(11,12,13)形成组①,电子发射区域(12,13,14)形成组②,电子发射区域(13,14,15)形成组③,……。此时形成N-2个组①,②,③……可以按照模式A中的多种方式进行工作。工作模式模式C的优点,一方面具有模式B所描述的发射电子束流强度增加和不会因为个别电子发射区域故障导致靶点“黑点”的两个优势外,另一方面使得模式C具有比模式B更多的靶点数量,更小的靶点中心间距(相邻靶点与电子发射区域组合对应,是部分重叠的),这对分布式X射线源的应用也是有利的,由于增加了靶点数量,就增加了视角的数量,可以很大的提高使用该分布式X射线源的成像系统的图像质量。同模式B,因子n和a可以是非固定数值,仅仅是指代某种计算方法,表明模式C的靶点数量少于模式A,多于模式B,优点是电子发射电流大于模式A且可以避免“黑点”。
其中,所述N为的正整数,所述n为的正整数,所述a为的正整数。
此外,本发明的X射线源的工作模式不限于上述三种模式,只要是能够使电子源1的电子发射区域以预定的顺序进行电子发射、或者使电子源1的相邻的预定数量的电子发射区域以预定的顺序进行电子发射即可。
此外,上述电子源1的电子发射区域排列方式只是一种示例的特定结构,其排布还可以是不同形状的电子发射区域的排列,还可以是非整齐的排列,还可以是非均匀的排列,还可以是多维度的排列(例如,4×100的整列),还可以是不在同一个平面上的排列等,都是本发明的电子源1的可实现方式。对应的阳极2具有与电子发射区域的排列方式相匹配的结构和形状。例如,在专利文献CN203377194U、CN203563254U、CN203590580U、CN203537653U等中披露了多种排列方式,在本发明中也能够如上专利文献中所公开的排列方式那样来排列电子发射区域。
图11是本发明的电子源为特定结构的分布式X射线源的示意图。如图11所示,当电子源1的电子发射区域具有较大的宏观宽度时,例如2mm至40mm,与电子源1至阳极2的距离具有接近的数量级,例如,电子源1至阳极2的距离与电子发射区域的宽度的比值小于10,电子发射区域的表面在宽度方向(在图11中为左右方向)上为弧形,使得电子发射区域内各微型电子发射单元100发射的电子具有更好的聚焦效果。电子发射区域的表面弧度可以以对应的阳极2上的靶点位置为圆心进行布置,例如电子发射区域11发射的电子束流E在阳极2上形成靶点21,电子发射区域11的表面在宽度方向上(或者说截面)是位于以21的中心为圆心的圆弧上。
图12是带有聚焦装置的分布式X射线源的示意图。如图12所示,分布式X射线源还包括聚焦装置6,聚焦装置6与电子发射区域相对应地布置有多个,位于电子源1与阳极2之间。聚焦装置6可以是例如电极,还可以是能产生磁场的线包等。当聚焦装置6为电极时,可以通过聚焦电缆和连接装置(图中未画出)连接到外部电源(或控制系统,图中未画出)得到预加电压(电势位),使得各微型发射单元100产生的电子通过聚焦装置6时获得向中心聚集的效果。当聚焦装置6为电极时,也可以是与其他部件绝缘的电极,各微型发射单元100发射电子时,位于发射区域边缘的微型发射单元100产生的电子一部分被聚焦电极截获,形成静电积累,静电场对后续的通过聚焦装置6的电子产生向中心聚集的推力。聚焦装置6为线包时,可以通过聚焦电缆和连接装置(图中未画出)连接到外部电源(或控制系统,图中未画出),使线包内流过预定的电流并在发射区域上方产生预定强度的聚焦磁场,使得各微型发射单元100产生的电子通过聚焦装置6时获得向中心聚集的效果。在本发明中,聚焦装置的特征在于与每一个电子发射区域一一对应布置,并且在电子发射区域的上方包围该电子发射区域内的所有微型电子发射单元100。在图中未画出的聚焦电缆和连接装置、外部电源(或控制系统)为现有成熟技术。
图13是分布式X射线源的几种准直效果的示意图。如图13所示,分布式X射线源还包括准直装置7,布置在X射线的输出路径上,用于输出锥形、平面扇形、笔形、或多点平行等的X射线。准直装置7可以是安装在分布式X射线源内部的内准直器,也可以是安装在分布式X射线源外部的外准直器。准直装置7的材料通常为高密度金属材料,例如,钨、钼、贫铀、铅、钢等的一种或者几种。准直装置7的形状通常按照分布式X射线源的用途进行设计。为了描述方便,定义坐标系,分布式X射线源的长度方向(靶点排列的方向)为X方向,宽度方向为Y方向,X射线的出射方向为Z方向。如图13(A)所示,准直装置7设置在分布式X射线源的前方(输出X射线的方向上),内部具有较大宽度的X射线准直缝,准直缝的长度与分布式X射线源的靶点分布长度接近,该准直装置输出在X方向具有很大角度,在Y方向具有较大角度的锥形X射线束(在图13(A)中只示出了一个中部位置靶点产生的锥形X射线束)。如图13(B)所示,准直装置7设置在分布式X射线源的前方,内部的X射线准直缝为非常窄的薄缝,准直缝的长度与分布式X射线源的靶点分布长度接近,该准直装置在X-Z平面内输出扇形X射线束,即Y方向上的厚度非常小(在图13(B)只示出了一个中部位置靶点产生的扇形X射线束)。如图13(C)所示,准直装置7设置在分布式X射线源的前方,内部的X射线准直缝是一系列与靶点排列对应排列的具有一定宽度(Y向)的薄缝,准直缝的排列长度与分布式X射线源的靶点分布长度接近,该准直装置输出在Y方向具有一定发散角度,在X方向具有一定厚度的X射线束阵列,在X-Z平面是一种多点平行的X射线束。如图13(D)所示,准直装置7设置在分布式X射线源的前方,内部的X射线准直缝是一系列与靶点排列对应排列的小型孔洞,准直缝的排列长度与分布式X射线源的靶点分布长度接近,该准直装置在X-Y平面输出X射线斑点束阵列,每一个斑点束都是与Z向同轴的笔形X射线束。图13(A)、(B)、(C)、(D)所示的准直装置7都在射线源外部的情形,在X射线的输出路径上对X射线束的形状进行限制;也可以安装在射线源内部,即,安装在阳极2与真空盒3之间,可以靠近阳极2,也可以靠近真空盒3的盒壁进行安装固定,同样都是在X射线的输出路径上对X射线束的形状进行限制。准直装置安装在射线源内部,可以减小尺寸和重量,某些情形下还能获得更优的准直效果。
图14是一种圆环型的分布式X射线源的示意图。如图14所示,一种分布式X射线源,其靶点的排列形状为圆或者弧线的一段。图14示出了分布式X射线源的形状为圆环的情形,电子源1的多个电子发射区域排列为一个圆周,对应的阳极2也是一个圆周,真空盒3是包围电子源1和阳极2的圆环,圆环的中心为O,产生的X射线指向中心O,或者O所在的轴线。分布式X射线源的形状还可以是椭圆、3/4圆、半圆、1/4圆、其它角度的一段弧线等。
图15是一种方框型的分布式X射线源的示意图。如图15所示,一种分布式X射线源,其靶点的排列形状为首尾相连的方形、折线段或者一段直线。图15示出了分布式X射线源的形状为方框型的情形,电子源1的多个电子发射区域排列为一个方形,对应的阳极2也是一个方形,真空盒3是包围电子源1和阳极2的方框型,产生的X射线指向方框的内部。分布式X射线源的形状还可以是U型(3/4方框)、L型(半方框)、直线段(1/4方框)、正多边型、其它非直角连接的折线段等。
图16是分布式X射线源的几种剖面结构的示意图。如图16所示,分布式X射线源的阳极2上的靶为透射靶,也可以为反射靶。
图16(A)示出了分布式X射线源的阳极靶为透射靶的情形,即,输出X射线的方向与入射电子束流E的方向基本相同。结合图14,图16(A)可以理解为电子源1的多个电子发射区域排列在外圆上,且电子发射区域的表面平行于圆环的轴线,阳极2的多个靶点排列在内圆上,两个圆同心,真空盒3是包围电子源1和阳极2的中空圆环,阳极2的靶点位置具有很薄的厚度,例如小于1mm,电子束流E和X射线的方向均指向圆环的中心O。结合图15,图16(A)可以理解为电子源1的多个电子发射区域排列在外方形上,且电子发射区域的表面平行于方框的中心线,阳极2的多个靶点排列在内方形上,两个方形的中心重合,真空盒3是包围电子源1和阳极2的中空环状方框,阳极2的靶点位置具有很薄的厚度,例如小于1mm,电子束流E和X射线的方向均指向方框内部。
图16(B)示出了分布式X射线源的阳极靶为反射靶的情形,即,输出X射线的方向与入射电子束流E的方向构成90度角(此处所说的90度角包括大约90度角),范围可以是70度至120度,优选的是80度至100度的角。结合图14,图16(B)可以理解为电子源1的多个电子发射区域排列在一个圆上,且电子发射区域的表面垂直于圆环的轴线O,阳极2的多个靶点排列在另一个圆上,两个圆大小相等,圆心都在圆环的轴线上,且两个圆所在的平面平行;或者进一步地,阳极2相对电子源1倾斜一定角度(例如10度),使得阳极2的多个靶点排列的面为圆锥面,圆锥面的轴线为圆环的轴线。真空盒3是包围电子源1和阳极2的中空圆环,电子束流E的方形平行于轴线,X射线的方向指向圆环的中心O。结合图15,图16(B)可以理解为电子源1的多个电子发射区域排列在一个方形上,电子发射区域的表面垂直于方框的中心线O,阳极2的多个靶点排列在另一个方形上,两个方形大小相等,所在的平面平行;或者进一步地,阳极2相对电子源1倾斜一定角度(例如10度),使得阳极2的多个靶点排列的面为方锥面,方锥面的中心线为方框的中心线。真空盒3是包围电子源1和阳极3的中空环状方框,电子束流E的方形平行于方框中心线,X射线的方向指向方框内部。
此外,图16(C)示出的光源也是透射靶,与图16(A)相比,只是圆环(或方框)内部的电子源1与阳极2的布置方式不同,由内外圆(或内外方形)变成了前后圆(或前后方形),电子束流E和X射线的方向平行于圆环的轴线(或方框的中心线),即,分布式X射线是向圆环的侧面(或者方框的侧面)发射的。
此外,图16(D)示出的光源也是反射靶,与图16(B)相比,只是圆环(或方框)内部的电子源1与阳极2的布置方式不同,由前后圆(或前后方形)变成了内外圆(或内外方形),电子束流E的方向垂直于圆环的轴线(或方框的中心线),X射线的方向平行于圆环的轴线(或方框的中心线),即,分布式X射线是向圆环的侧面(或者方框的侧面)发射的。
严格来说,只有图16(A)与图14和图15是对应的,图16(B)对图14,图15的结合说明,只是便于对图16(B)的更好描述。
此外,分布式X射线源的形状还可以是上述弧线段与直线段的结合、螺旋线等,对于现代加工技术来说都是可加工的。
图17是一种使用了本发明分布式X射线源的透射成像系统的示意图。图17所示的使用了本发明的X射线源的透视成像系统包含:至少一个本发明的X射线源81,用于产生覆盖检测区域的X射线;至少一个探测器82,相对X射线源81,位于检测区域的另一侧,用于接收X射线;以及传送装置84,位于X射线源81与探测器82之间,用于承载受检测对象83,通过检测区域。
具体方案一:X射线源为一个,该X射线源具有一个电子发射区域,形成一个X射线靶点,探测器具有多个,形成线性阵列或者平面阵列(也可以是平面探测器),与现有的X射线透视成像系统具有相似的组成结构。该方案结构简单、体积小,成本低,但是本发明的场致发射X射线源具有控制电压低,启动速度快的优点。
具体方案二:X射线源为一个,该X射线源具有两个电子发射区域,两个靶点的靶材料不同,可以交替产生两个不同能量的X射线束,探测器具有多个,形成线性阵列或者平面阵列(也可以是平面探测器),或者进一步是双能探测器。该方案结构简单、体积小,成本低,同时通过双能成像,增加了检测对象的材料识别能力。
具体方案三:X射线源为一个分布式X射线源,该X射线源具有多个X射线靶点,探测器具有多个,形成线性阵列或者平面阵列(也可以是平面探测器)。多个靶点通过不同角度(位置)对受检测对象进行透视成像,最后可获得具有深度方向上多层次信息的透视图像,该方案相对使用多个普通X射线源的多视角系统,结构简单,体积小,成本低。
具体方案四:X射线源为一个分布式X射线源,该X射线源具有多个X射线靶点,探测器为1个或少数几个,通过“反向”成像原理,获得透视图像。该方案特点是减少了探测器的数量,降低了成本。
具体方案五:X射线源为一个或多个分布式X射线源,探测器为对应的一个或多个阵列,且所有X射线靶点对受检测对象形成环绕,环绕角度超过180度。该方案通过静态X射线源的大环绕角度布置,可获得检测对象的完整3D透视图像,而且检查速度快,效率高。
具体方案六:X射线源为多个分布式X射线源,探测器为对应的多个阵列,沿受检测对象的传送方向布置在多个平面上。特点是可以成倍地提高检查速度,或者在不同平面以不同能量的X射线形成多能3D透视图像,或者是以递进的方式增加检测图像质量,例如第一平面粗略检查找出可疑区域,第二平面通过不同的参数对可疑区域进行细致检查,获得高分辨率和清晰度的图像。
图18是一种使用了本发明分布式X射线源的背散射成像系统的示意图。图18所示的使用了本发明的分布式X射线源的背散射成像系统包含:至少一个本发明的分布式X射线源81,用于产生多个笔形X射线束,覆盖检测区域;至少一个探测器82,相对X射线源81,位于检测区域的同一侧,用于接收从受检测对象反射回来的X射线。
具体方案一:还包括传送装置84,用于承载受检测对象83,通过检测区域,完成对受检测对象的整体成像。
具体方案二:还包括运动装置,用于移动分布式X射线源81和探测器82,使检测区域扫过受检测对象,完成对受检测对象的整体成像。
具体方案三:分布式X射线源81和探测器82至少为两组,分布在受检测对象的不同侧面,再通过传送装置使受检测对象移动或者通过运动装置使X射线源运动,实现对检测对象的“无死角”成像。
此外,提供一种X射线检测系统,包含:至少两个本发明的分布式X射线源;与X射线源对应的至少两组探测器;图像综合处理系统。其中至少一组分布式X射线源和探测器对检测对象进行透视成像,至少一组分布式X射线源和探测器对检测对象进行背散射成像,图像综合处理系统对透视图像和背散射图像进行综合处理,获得受检测对象的更多特征信息。
此外,需要特别指出的是,上述透视成像和背散射成像系统可以是普通的地面布置形式,也可以集成在移动设备上,如集成在车辆上,成为可移动的透视成像系统和可移动的背散射成像系统。
此外,需要特别指出的是,上述透视成像和背散射成像系统的检测对象具有广泛的含义,通过增加或不增加辅助部件,可以用于检查小型车辆、货物、行李、包裹、机械部件、工业产品、人员、身体部位等。
此外,提供一种图像实时引导放射治疗设备,包含:放射治疗射线源,用于产生对病人进行放射治疗的射线束;多叶准直器,用于调整放射治疗射线束的形状,与病灶匹配;移动床,用于移动并定位病人,使放射治疗射线束位置与病灶位置对准;至少一个本发明的分布式X射线源,用于产生对病人进行诊断成像的射线束;平板探测器,用于接收诊断成像的射线束;控制系统,根据平板探测器所接收的射线束形成诊断图像,对诊断图像中病灶的位置定位,引导放射治疗的射线束中心与病灶中心对准,引导多叶准直器的治疗射线束形状与病灶形状匹配。其中,分布式X射线源为圆环形或方框形并且侧面输出X射线的分布式X射线源(图16(C)、(D)所示的情形),分布式X射线源的轴线或中心线与治疗射线源的束流轴线为同一直线,即,诊断射线源与治疗射线源的位置相对病人同向。平板探测器相对诊断射线源位于病人的另一面。可以实现在获得诊断图像的同时,无需旋转放射治疗设备臂架,就可以对病人进行图像引导放射治疗,是一种“实时”的图像引导放射治疗,对于治疗具有生理运动的部位,例如肺、心脏等,“实时”的图像引导放射治疗可以降低照射剂量、减少对正常器官的照射,具有重要意义。而且,本发明的分布式X射线源具有多个靶点,获得的图像不同于普通平面图像,是具有深度信息的“立体”诊断图像,可以进一步提高图像引导治疗中,对治疗射线束的位置引导准确性和定位精度。
如上所述,对本申请发明进行了说明,但是本发明并不限于此,应该理解为,只要在本发明宗旨的范围内的各种组合、各种变更、以及应用了本发明的电子源或者本发明的X射线源的装置、设备、或者系统等都在本发明的保护范围内。

Claims (48)

1.一种电子源,其特征在于,
具有至少两个电子发射区域,每个所述电子发射区域包含多个微型电子发射单元,
所述微型电子发射单元包括:基极层、位于所述基极层上的绝缘层、位于所述绝缘层上的栅极层、位于所述栅极层上的开口、以及固定于所述基极层上与所述开口位置对应的电子发射体,
同一个所述电子发射区域内的各所述微型电子发射单元之间具有电连接,同时发射电子或者同时不发射电子,
不同的所述电子发射区域之间具有电隔离。
2.如权利要求1所述的电子源,其特征在于,
不同的所述电子发射区域之间具有电隔离是指:各所述电子发射区域的所述基极层是各自分开独立的、或者各所述电子发射区域的所述栅极层是各自分开独立的、或者各所述电子发射区域的所述基极层和所述栅极层都是各自分开独立的。
3.如权利要求1所述的电子源,其特征在于,
所述绝缘层的厚度小于200μm。
4.如权利要求1所述的电子源,其特征在于,
所述栅极层与所述基极层平行。
5.如权利要求1~4的任一项所述的电子源,其特征在于,
所述开口的尺寸小于所述绝缘层的厚度。
6.如权利要求1~4的任一项所述的电子源,其特征在于,
所述开口的尺寸小于所述电子发射体到所述栅极层的距离。
7.如权利要求1~4的任一项所述的电子源,其特征在于,
所述电子发射体的高度小于所述绝缘层的厚度的二分之一。
8.如权利要求1~4的任一项所述的电子源,其特征在于,
所述电子发射体含有纳米材料而构成。
9.如权利要求8所述的电子源,其特征在于,
所述纳米材料是单壁碳纳米管、双壁碳纳米管、多壁碳纳米管、或者它们的组合。
10.如权利要求1~4的任一项所述的电子源,其特征在于,
所述基极层由基底层和位于所述基底层上的导电层构成,
所述电子发射体固定在所述导电层上。
11.如权利要求10所述的电子源,其特征在于,所述电子发射体以如下方式构成:所述导电层为纳米材料制成的膜,使所述开口处的纳米膜的部分纳米材料竖立起来并且垂直于所述导电层的表面。
12.如权利要求1~4的任一项所述的电子源,其特征在于,
所述微型电子发射单元在阵列排列方向上所占用的空间尺寸为微米级。
13.如权利要求12所述的电子源,其特征在于,
所述微型电子发射单元在阵列排列方向上所占用的空间尺寸范围为1μm~200μm。
14.如权利要求1~4的任一项所述的电子源,其特征在于,
所述电子发射区域的长度与宽度的比例大于2。
15.如权利要求1~4的任一项所述的电子源,其特征在于,
每个所述电子发射区域的发射电流大于0.8mA。
16.一种X射线源,其特征在于,具备:
真空盒;
如权利要求1~15的任意一项所述的电子源,配置在所述真空盒内;
阳极,与所述电子源相对配置在所述真空盒内;
电子源控制装置,用于在所述电子源的所述电子发射区域的所述基极层和所述栅极层之间施加电压;以及
高压电源,与所述阳极连接,用于对所述阳极提供高压。
17.如权利要求16所述的X射线源,其特征在于,还具有:
第一连接装置,安装在所述真空盒的盒壁上,用于连接所述电子源和所述电子源控制装置;以及
第二连接装置,安装在所述真空盒的盒壁上,用于连接所述阳极和所述高压电源。
18.如权利要求16所述的X射线源,其特征在于,
在所述阳极的与所述电子源的各所述电子发射区域对应的靶点位置具有不同的靶材料。
19.如权利要求16所述的X射线源,其特征在于,
所述电子源控制装置进行控制,使得所述电子源的所述电子发射区域以预定的顺序进行电子发射。
20.如权利要求16所述的X射线源,其特征在于,
所述电子源控制装置进行控制,使得所述电子源的相邻的预定数量的所述电子发射区域以预定的顺序进行电子发射。
21.如权利要求16所述的X射线源,其特征在于,
所述电子发射区域的表面在宽度方向上为弧形,所述电子发射区域内的各所述微型电子发射单元所发射的电子在宽度方向上向一个点聚焦。
22.如权利要求16~21的任一项所述的X射线源,其特征在于,
还具有:多个聚焦装置,分别与多个所述电子发射区域对应地配置在所述电子源与所述阳极之间,
所述聚焦装置在所述电子发射区域的上方包围该电子发射区域内的所有的所述微型电子发射单元。
23.如权利要求22所述的X射线源,其特征在于,
所述聚焦装置是电极或者线包。
24.如权利要求16~21的任一项所述的X射线源,其特征在于,
还具有:准直装置,配置在所述X射线源的内部或者外部,位于X射线的输出路径上,用于使所输出的X射线成为预定的形状。
25.如权利要求16~21的任一项所述的X射线源,其特征在于,
所述阳极上的靶点排列为圆形或者弧形。
26.如权利要求16~21的任一项所述的X射线源,其特征在于,
所述阳极上的靶点排列为首尾相邻的方形、折线形或者一段直线。
27.如权利要求16~21的任一项所述的X射线源,其特征在于,
所述阳极靶为透射靶,所输出的X射线与来自所述电子源的电子束流为同一方向。
28.如权利要求16~21的任一项所述的X射线源,其特征在于,
所述阳极靶为反射靶,所输出的X射线与来自所述电子源的电子束流成90度角。
29.一种透视成像系统,其特征在于,具备:
如权利要求16~28的任一项所述的X射线源,位于检测区域的一侧,用于产生覆盖所述检测区域的X射线;
至少一个探测器,位于所述检测区域的与所述X射线源对置的一侧,用于接收来自所述X射线源的X射线;以及
传送装置,位于所述X射线源和所述探测器之间,用于承载受检测对象通过所述检测区域。
30.一种背散射成像系统,其特征在于,具备:
如权利要求16~28的任一项所述的X射线源,位于检测区域的一侧,用于产生覆盖所述检测区域的X射线;以及
探测器,位于所述检测区域的与所述X射线源相同的一侧,用于接收从受检测对象反射回来的X射线。
31.如权利要求30所述的背散射成像系统,其特征在于,
具有至少两组所述X射线源和所述探测器的组合,配置在所述受检测对象的不同侧。
32.如权利要求30或31所述的背散射成像系统,其特征在于,
还具备:传送装置,用于承载所述受检测对象通过所述检测区域。
33.如权利要求30或31所述的背散射成像系统,其特征在于,
还具备:运动装置,用于移动所述X射线源和所述探测器,使所述X射线源和所述探测器通过受检测对象所在的区域。
34.一种X射线检测系统,其特征在于,具备:
至少两个如权利要求16~28的任一项所述的X射线源;以及
与所述X射线源对应的探测器,
至少一组所述X射线源和所述探测器对受检测对象进行透射成像,
至少一组所述X射线源和所述探测器对受检测对象进行背散射成像。
35.一种实时图像引导放射治疗设备,其特征在于,具备:
放射治疗射线源,用于产生对病人进行放射治疗的射线束;
多叶准直器,用于调整放射治疗射线束的形状,使得与病灶匹配;
移动床,用于移动并定位病人,使放射治疗射线束位置与病灶位置对准;
至少一个如权利要求16~28的任一项所述的X射线源即诊断射线源,用于产生对病人进行诊断成像的射线束;
平板探测器,用于接收诊断成像的射线束;以及
控制系统,根据所述平板探测器所接收的射线束形成诊断图像,对所述诊断图像中病灶的位置进行定位,引导放射治疗的射线束中心与病灶中心对准,引导所述多叶准直器的治疗射线束形状与病灶形状匹配,
所述X射线源是形状为圆环形或方框形且侧面输出X射线的分布式X射线源,分布式X射线源的轴线或中心线与所述放射治疗射线源的束流轴线为同一直线,即所述诊断射线源与所述放射治疗射线源的位置相对病人同向。
36.一种电子源,其特征在于,
具有电子发射区域,所述电子发射区域包含多个微型电子发射单元,
所述微型电子发射单元包括:基极层;位于所述基极层上的绝缘层;位于所述绝缘层上的栅极层;位于所述栅极层上的开口;以及固定于所述基极层上与所述开口位置对应的电子发射体,
所述电子发射区域内的各所述微型电子发射单元之间具有电连接,同时发射电子或者同时不发射电子。
37.如权利要求36所述的电子源,其特征在于,
所述绝缘层的厚度小于200μm。
38.如权利要求36所述的电子源,其特征在于,
所述开口的尺寸小于所述绝缘层的厚度。
39.如权利要求36所述的电子源,其特征在于,
所述开口的尺寸小于所述电子发射体到所述栅极层的距离。
40.如权利要求36~39的任一项所述的电子源,其特征在于,
所述电子发射体的高度小于所述绝缘层的厚度的二分之一。
41.如权利要求36~39的任一项所述的电子源,其特征在于,
所述栅极层与所述基极层平行。
42.如权利要求36~39的任一项所述的电子源,其特征在于,
所述微型电子发射单元在阵列排列方向上所占用的空间尺寸为微米级。
43.如权利要求42所述的电子源,其特征在于,
所述微型电子发射单元在阵列排列方向上所占用的空间尺寸范围为1μm~200μm。
44.如权利要求36~39的任一项所述的电子源,其特征在于,
所述电子发射区域的长度与宽度的比例大于2。
45.如权利要求36~39的任一项所述的电子源,其特征在于,
所述基极层由基底层和位于所述基底层上的导电层构成,
所述电子发射体固定在所述导电层上。
46.如权利要求36~39的任一项所述的电子源,其特征在于,
所述电子发射区域的发射电流大于0.8mA。
47.一种X射线源,其特征在于,具备:
真空盒;
如权利要求36~46的任一项所述的电子源,配置在所述真空盒内;
阳极,与所述电子源相对配置在所述真空盒内;
电子源控制装置,用于在所述电子源的所述电子发射区域的所述基极层和所述栅极层之间施加电压;以及
高压电源,与所述阳极连接,用于对所述阳极提供高压。
48.一种X射线成像系统,其特征在于,具备:
如权利要求47所述的X射线源;
探测器,用于接收所述X射线源产生的X射线;
控制及图像显示系统。
CN201410419359.2A 2014-08-25 2014-08-25 电子源、x射线源、使用了该x射线源的设备 Active CN105374654B (zh)

Priority Applications (9)

Application Number Priority Date Filing Date Title
CN201410419359.2A CN105374654B (zh) 2014-08-25 2014-08-25 电子源、x射线源、使用了该x射线源的设备
RU2016102389A RU2668268C2 (ru) 2014-08-25 2015-08-19 Источник электронов, источник рентгеновского излучения и устройство, в котором используется источник рентгеновского излучения
US14/904,061 US10014148B2 (en) 2014-08-25 2015-08-19 Electron source, X-ray source and device using the X-ray source
EP15813227.4A EP3188213A4 (en) 2014-08-25 2015-08-19 Electron source, x-ray source and device using x-ray source
KR1020167010573A KR101810349B1 (ko) 2014-08-25 2015-08-19 전자 소스, x선원 및 그 x선원을 사용한 설비
JP2016544723A JP6523301B2 (ja) 2014-08-25 2015-08-19 電子源、x線源、当該x線源を使用した装置
CA2919744A CA2919744C (en) 2014-08-25 2015-08-19 Electron source, x-ray source and device using the x-ray source
PCT/CN2015/087488 WO2016029811A1 (zh) 2014-08-25 2015-08-19 电子源、x射线源、使用了该x射线源的设备
HK16110515.7A HK1222474A1 (zh) 2014-08-25 2016-09-02 電子源、 射線源、使用了該 射線源的設備

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410419359.2A CN105374654B (zh) 2014-08-25 2014-08-25 电子源、x射线源、使用了该x射线源的设备

Publications (2)

Publication Number Publication Date
CN105374654A true CN105374654A (zh) 2016-03-02
CN105374654B CN105374654B (zh) 2018-11-06

Family

ID=55376746

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410419359.2A Active CN105374654B (zh) 2014-08-25 2014-08-25 电子源、x射线源、使用了该x射线源的设备

Country Status (8)

Country Link
US (1) US10014148B2 (zh)
EP (1) EP3188213A4 (zh)
JP (1) JP6523301B2 (zh)
KR (1) KR101810349B1 (zh)
CN (1) CN105374654B (zh)
HK (1) HK1222474A1 (zh)
RU (1) RU2668268C2 (zh)
WO (1) WO2016029811A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106970411A (zh) * 2017-05-08 2017-07-21 中国工程物理研究院流体物理研究所 一种电子束发散角分布测量装置及测量方法
WO2017214902A1 (zh) * 2016-06-15 2017-12-21 深圳市奥沃医学新技术发展有限公司 肿瘤位置的追踪方法及放射治疗设备
CN109216138A (zh) * 2017-06-30 2019-01-15 同方威视技术股份有限公司 X射线管

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) * 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
US10269528B2 (en) 2013-09-19 2019-04-23 Sigray, Inc. Diverging X-ray sources using linear accumulation
US10416099B2 (en) 2013-09-19 2019-09-17 Sigray, Inc. Method of performing X-ray spectroscopy and X-ray absorption spectrometer system
US10297359B2 (en) 2013-09-19 2019-05-21 Sigray, Inc. X-ray illumination system with multiple target microstructures
US10304580B2 (en) 2013-10-31 2019-05-28 Sigray, Inc. Talbot X-ray microscope
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10401309B2 (en) 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
GB2531326B (en) * 2014-10-16 2020-08-05 Adaptix Ltd An X-Ray emitter panel and a method of designing such an X-Ray emitter panel
US10352880B2 (en) 2015-04-29 2019-07-16 Sigray, Inc. Method and apparatus for x-ray microscopy
US10295486B2 (en) 2015-08-18 2019-05-21 Sigray, Inc. Detector for X-rays with high spatial and high spectral resolution
US11145431B2 (en) * 2016-08-16 2021-10-12 Massachusetts Institute Of Technology System and method for nanoscale X-ray imaging of biological specimen
WO2018035171A1 (en) * 2016-08-16 2018-02-22 Massachusetts Institute Of Technology Nanoscale x-ray tomosynthesis for rapid analysis of integrated circuit (ic) dies
CN109804449B (zh) * 2016-10-19 2022-07-01 昂达博思有限公司 X射线源
US10247683B2 (en) 2016-12-03 2019-04-02 Sigray, Inc. Material measurement techniques using multiple X-ray micro-beams
CN107331430B (zh) * 2017-08-10 2023-04-28 海默科技(集团)股份有限公司 一种多相流相分率测定装置双源双能级射线源仓
US10573483B2 (en) * 2017-09-01 2020-02-25 Varex Imaging Corporation Multi-grid electron gun with single grid supply
US10566170B2 (en) * 2017-09-08 2020-02-18 Electronics And Telecommunications Research Institute X-ray imaging device and driving method thereof
RU2697258C1 (ru) * 2018-03-05 2019-08-13 Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский университет "Московский институт электронной техники" Рентгеновский источник и способ генерации рентгеновского излучения
US10578566B2 (en) 2018-04-03 2020-03-03 Sigray, Inc. X-ray emission spectrometer system
DE112019002822T5 (de) 2018-06-04 2021-02-18 Sigray, Inc. Wellenlängendispersives röntgenspektrometer
GB2591630B (en) 2018-07-26 2023-05-24 Sigray Inc High brightness x-ray reflection source
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
WO2020051061A1 (en) 2018-09-04 2020-03-12 Sigray, Inc. System and method for x-ray fluorescence with filtering
WO2020051221A2 (en) 2018-09-07 2020-03-12 Sigray, Inc. System and method for depth-selectable x-ray analysis
DE102018221177A1 (de) * 2018-12-06 2020-06-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Röntgen-rückstreuuntersuchungstechnik für die serienprüfung
WO2020122257A1 (ja) * 2018-12-14 2020-06-18 株式会社堀場製作所 X線管及びx線検出装置
US20220086996A1 (en) * 2018-12-31 2022-03-17 Nano-X Imaging Ltd. System and method for providing a digitally switchable x-ray sources
WO2021011209A1 (en) 2019-07-15 2021-01-21 Sigray, Inc. X-ray source with rotating anode at atmospheric pressure
US11437218B2 (en) 2019-11-14 2022-09-06 Massachusetts Institute Of Technology Apparatus and method for nanoscale X-ray imaging
EP3933881A1 (en) * 2020-06-30 2022-01-05 VEC Imaging GmbH & Co. KG X-ray source with multiple grids

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604401A (en) * 1993-12-22 1997-02-18 Nec Corporation Field-emission cold cathode for dual-mode operation useable in a microwave tube
US5773921A (en) * 1994-02-23 1998-06-30 Keesmann; Till Field emission cathode having an electrically conducting material shaped of a narrow rod or knife edge
US6031328A (en) * 1996-09-18 2000-02-29 Kabushiki Kaisha Toshiba Flat panel display device
JP2007305493A (ja) * 2006-05-12 2007-11-22 Ulvac Japan Ltd カソード基板及びその作製方法、並びに表示素子及びその作製方法
CN101961530A (zh) * 2010-10-27 2011-02-02 玛西普医学科技发展(深圳)有限公司 一种影像引导下的放射治疗设备
CN102074429A (zh) * 2010-12-27 2011-05-25 清华大学 场发射阴极结构及其制备方法
CN102306595A (zh) * 2011-08-07 2012-01-04 张研 一种带有限流晶体管的碳纳米管场发射阵列及制备
CN103400739A (zh) * 2013-08-06 2013-11-20 成都创元电子有限公司 具有大发射面积场发射复合材料的尖锥阵列冷阴极x光管
CN103531422A (zh) * 2012-07-06 2014-01-22 三星电子株式会社 网状电极附接结构、电子发射器件和电子装置
CN203563254U (zh) * 2013-09-18 2014-04-23 同方威视技术股份有限公司 X射线装置及具有该x射线装置的ct设备

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4165472A (en) 1978-05-12 1979-08-21 Rockwell International Corporation Rotating anode x-ray source and cooling technique therefor
US5176557A (en) 1987-02-06 1993-01-05 Canon Kabushiki Kaisha Electron emission element and method of manufacturing the same
US4721885A (en) 1987-02-11 1988-01-26 Sri International Very high speed integrated microelectronic tubes
JP3402301B2 (ja) * 1989-12-18 2003-05-06 セイコーエプソン株式会社 発光型表示装置
US5872422A (en) 1995-12-20 1999-02-16 Advanced Technology Materials, Inc. Carbon fiber-based field emission devices
JP4214617B2 (ja) * 1999-05-25 2009-01-28 ソニー株式会社 冷陰極電界電子放出表示装置用のカソード・パネルの検査方法
US6553096B1 (en) 2000-10-06 2003-04-22 The University Of North Carolina Chapel Hill X-ray generating mechanism using electron field emission cathode
JP5055655B2 (ja) * 2000-11-20 2012-10-24 日本電気株式会社 エミッタの製造方法及び該エミッタを用いた電界放出型冷陰極並びに平面画像表示装置
JP2002210029A (ja) 2001-01-19 2002-07-30 Mitsubishi Electric Corp 放射線治療装置
US6760407B2 (en) * 2002-04-17 2004-07-06 Ge Medical Global Technology Company, Llc X-ray source and method having cathode with curved emission surface
US8173978B2 (en) * 2004-07-05 2012-05-08 Cebt Co., Ltd Method for controlling electron beam in multi-microcolumn and multi-microcolumn using the same
DE112006000713T5 (de) 2005-04-25 2008-05-29 The University Of North Carolina At Chapel Hill Röntgenstrahl-Bildgebungssysteme und -verfahren unter Verwendung einer zeitlichen digitalen Signalverarbeitung zum Verringern von Rauschen und zum gleichzeitigen Erzeugen mehrfacher Bilder
KR20080032532A (ko) 2006-10-10 2008-04-15 삼성에스디아이 주식회사 전자 방출 디바이스 및 이를 이용한 전자 방출 디스플레이
JP4878311B2 (ja) 2006-03-03 2012-02-15 キヤノン株式会社 マルチx線発生装置
ES2474200T3 (es) 2006-08-11 2014-07-08 American Science & Engineering, Inc. Inspección por rayos X con generación de imágenes de transmisión y retrodispersi�n contemporánea y pr�ximal
CN101452797B (zh) 2007-12-05 2011-11-09 清华大学 场发射电子源及其制备方法
JP4886713B2 (ja) 2008-02-13 2012-02-29 キヤノン株式会社 X線撮影装置及びその制御方法
GB2516794B (en) 2009-12-03 2015-04-01 Rapiscan Systems Inc Time of flight backscatter imaging system
DE112011101007B4 (de) 2010-03-22 2024-02-29 Nuray Technology Co., Ltd. Mehrstrahl-Röntgenquelle mit intelligenten elektronischen Steuerungssystemen und Verfahren dafür
CN104584179B (zh) * 2012-08-16 2017-10-13 纳欧克斯影像有限公司 图像捕捉装置
CN203377194U (zh) 2012-12-31 2014-01-01 同方威视技术股份有限公司 阴控多阴极分布式x射线装置及具有该装置的ct设备
RU135214U1 (ru) * 2013-05-27 2013-11-27 Владимир Фёдорович Бусаров Рентгеновская терапевтическая установка для близкофокусной рентгенотерапии, излучатель рентгеновского излучения для этой установки и рентгеновская трубка для этой установки
CN203537653U (zh) 2013-09-18 2014-04-09 清华大学 X射线装置以及具有该x射线装置的ct设备
CN203590580U (zh) 2013-09-18 2014-05-07 清华大学 X射线装置以及具有该x射线装置的ct设备

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604401A (en) * 1993-12-22 1997-02-18 Nec Corporation Field-emission cold cathode for dual-mode operation useable in a microwave tube
US5773921A (en) * 1994-02-23 1998-06-30 Keesmann; Till Field emission cathode having an electrically conducting material shaped of a narrow rod or knife edge
US6031328A (en) * 1996-09-18 2000-02-29 Kabushiki Kaisha Toshiba Flat panel display device
JP2007305493A (ja) * 2006-05-12 2007-11-22 Ulvac Japan Ltd カソード基板及びその作製方法、並びに表示素子及びその作製方法
CN101961530A (zh) * 2010-10-27 2011-02-02 玛西普医学科技发展(深圳)有限公司 一种影像引导下的放射治疗设备
CN102074429A (zh) * 2010-12-27 2011-05-25 清华大学 场发射阴极结构及其制备方法
CN102306595A (zh) * 2011-08-07 2012-01-04 张研 一种带有限流晶体管的碳纳米管场发射阵列及制备
CN103531422A (zh) * 2012-07-06 2014-01-22 三星电子株式会社 网状电极附接结构、电子发射器件和电子装置
CN103400739A (zh) * 2013-08-06 2013-11-20 成都创元电子有限公司 具有大发射面积场发射复合材料的尖锥阵列冷阴极x光管
CN203563254U (zh) * 2013-09-18 2014-04-23 同方威视技术股份有限公司 X射线装置及具有该x射线装置的ct设备

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SUNG HWAN HEO ET AL: "Transmission-type microfocus x-ray tube using carbon nanotube field emitters", 《APPLIED PHYSICS LETTERS》 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017214902A1 (zh) * 2016-06-15 2017-12-21 深圳市奥沃医学新技术发展有限公司 肿瘤位置的追踪方法及放射治疗设备
US11090510B2 (en) 2016-06-15 2021-08-17 Our New Medical Technologies Method for tracking tumor location and radiotherapy apparatus
CN106970411A (zh) * 2017-05-08 2017-07-21 中国工程物理研究院流体物理研究所 一种电子束发散角分布测量装置及测量方法
CN106970411B (zh) * 2017-05-08 2023-05-02 中国工程物理研究院流体物理研究所 一种电子束发散角分布测量装置及测量方法
CN109216138A (zh) * 2017-06-30 2019-01-15 同方威视技术股份有限公司 X射线管

Also Published As

Publication number Publication date
RU2668268C2 (ru) 2018-09-28
US10014148B2 (en) 2018-07-03
RU2016102389A (ru) 2018-09-27
CN105374654B (zh) 2018-11-06
KR20160058931A (ko) 2016-05-25
JP6523301B2 (ja) 2019-05-29
WO2016029811A1 (zh) 2016-03-03
KR101810349B1 (ko) 2017-12-18
HK1222474A1 (zh) 2017-06-30
US20170162359A1 (en) 2017-06-08
RU2016102389A3 (zh) 2018-09-27
JP2016536771A (ja) 2016-11-24
EP3188213A1 (en) 2017-07-05
EP3188213A4 (en) 2018-07-18

Similar Documents

Publication Publication Date Title
CN105374654A (zh) 电子源、x射线源、使用了该x射线源的设备
CN103903941B (zh) 阴控多阴极分布式x射线装置及具有该装置的ct设备
JP6362113B2 (ja) 光電制御装置と組み合わせた少なくとも1つの電子源を備えるx線源
US7801277B2 (en) Field emitter based electron source with minimized beam emittance growth
RU2634906C2 (ru) Устройство и способ получения распределенных рентгеновских лучей
KR101897113B1 (ko) X선장치 및 이를 구비한 ct장비
KR101341672B1 (ko) 디지털 엑스레이 소스
US20110075802A1 (en) Field emission x-ray source with magnetic focal spot screening
CN101523544A (zh) 电子光学设备、x射线发射装置及产生电子束的方法
US6815688B2 (en) Devices for guiding and manipulating electron beams
KR20140106291A (ko) 평판형 엑스선 발생기를 구비한 엑스선 영상 시스템, 엑스선 발생기 및 전자 방출소자
US10121629B2 (en) Angled flat emitter for high power cathode with electrostatic emission control
KR102047436B1 (ko) 엑스레이 소스유닛 및 이를 구비하는 엑스레이장치
CN203377194U (zh) 阴控多阴极分布式x射线装置及具有该装置的ct设备
WO2014209158A1 (ru) Многолучевая рентгеновская трубка
US7317785B1 (en) System and method for X-ray spot control
CN104616952B (zh) 阴控多阴极分布式x射线装置
KR20100005858A (ko) 탄소 나노 튜브를 이용하는 엑스선 발생 장치
CA2919744C (en) Electron source, x-ray source and device using the x-ray source
US10468222B2 (en) Angled flat emitter for high power cathode with electrostatic emission control
KR101869753B1 (ko) 전자빔제어수단을 포함하는 엑스선 발생장치
KR102136062B1 (ko) 전계 방출형 토모신테시스 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1222474

Country of ref document: HK

GR01 Patent grant
GR01 Patent grant
CB03 Change of inventor or designer information

Inventor after: Chen Zhiqiang

Inventor after: Tang Huaping

Inventor after: Li Yuanjing

Inventor after: Wang Yonggang

Inventor after: Qin Zhanfeng

Inventor before: Tang Huaping

Inventor before: Chen Zhiqiang

Inventor before: Li Yuanjing

Inventor before: Wang Yonggang

Inventor before: Qin Zhanfeng

CB03 Change of inventor or designer information