CN104995715A - 用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法 - Google Patents

用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法 Download PDF

Info

Publication number
CN104995715A
CN104995715A CN201480008683.3A CN201480008683A CN104995715A CN 104995715 A CN104995715 A CN 104995715A CN 201480008683 A CN201480008683 A CN 201480008683A CN 104995715 A CN104995715 A CN 104995715A
Authority
CN
China
Prior art keywords
territory
block
raceway groove
substrate
pitch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480008683.3A
Other languages
English (en)
Other versions
CN104995715B (zh
Inventor
S·伍伊斯特尔
T·朱兹海妮娜
M·杜萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN104995715A publication Critical patent/CN104995715A/zh
Application granted granted Critical
Publication of CN104995715B publication Critical patent/CN104995715B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

一种形成多个规律地间隔的光刻特征的方法,所述方法包括:在衬底上的多个沟道中提供能够自组装的嵌段共聚物,所述嵌段共聚物具有第一嵌段和第二嵌段,每个沟道包括相对的侧壁和基部,所述侧壁具有侧壁间的宽度,其中第一沟道具有大于第二沟道的宽度;使能够自组装的嵌段共聚物在每个沟道中自组装成有序层,所述层具有与第二嵌段的第二域交替的第一嵌段的第一域,其中所述第一沟道和第二沟道具有相同数量的每种相应的域;以及选择性地移除第一域,以沿着每个沟道形成具有第二区域的规律地间隔的成行的光刻特征,其中,在第一沟道中的特征的节距大于在第二沟道中特征的节距。

Description

用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法
相关申请的交叉引用
本申请要求于2013年2月14日递交的美国临时申请第61/764,881号的权益,该临时申请在此通过引用全文并于本文。
技术领域
本发明涉及一种在衬底上形成规则地间隔的光刻特征的方法,该方法利用在设置在衬底上的沟道中进行嵌段共聚物的自组装来实现。该方法可用于形成场效应晶体管的一个或多个晶体管导电通道。
背景技术
在器件制造的光刻术中,要求减小光刻图案中的特征的尺寸以便提高在给定衬底区域上特征的密度。具有纳米级临界尺寸(CD)的较小特征的图案允许更大的器件或电路结构的集中度,得到在电子和其他器件的尺寸减小和制造成本方面的潜在的改进。在投影光刻术中,对更小特征的推动导致例如浸没光刻和极紫外(EUV)光刻术等技术的发展。
作为一种选择,所谓的压印光刻通常涉及使用“压印器”(通常称为压印模板)以将图案转移至衬底上。压印光刻术的优点在于,特征的分辨率不受到例如辐射源的发射波长或投影系统的数值孔径的限制。替代地,分辨率主要受限于压印模板上图案的密度。
对于投影光刻术和压印光刻术,期望提供表面的高分辨图案化,例如压印模板或其他衬底的表面的高分辨图案化。已经考虑使用自组装嵌段共聚物(BCP)作为用于将特征的分辨率提高至比通过现有技术的光刻方法能够获得的分辨率更小的值的潜在方法或作为用于制备压印模板的电子束光刻的备选。
能够自组装嵌段共聚物是在纳米制造技术中有用的化合物,因为它们在冷却至特定温度(有序-无序转变温度To/d)以下时会经受有序-无序转变,导致不同化学性质的共聚物嵌段的相分离,以便形成有序的、在化学上不同的、尺寸为几十纳米或甚至小于10nm的区域或域。所述区域或域的尺寸和形状可以通过操纵不同嵌段类型的共聚物的分子量和成分来控制。所述区域或域之间的界面可以具有1-5nm量级的线宽粗糙度,并且可以通过改变共聚物的嵌段的化学成分来操纵。
Chaikin和Register等人在Science 276,1401(1997)中的文章阐明了使用嵌段共聚物的薄膜作为自组装模板的可行性。具有20nm尺寸的点和孔的密集阵列从苯乙烯-异戊二烯嵌段共聚物的薄膜转移至氮化硅衬底。
嵌段共聚物包括不同的嵌段,每个嵌段通常包括一个或多个相同的单体,并且沿聚合物链并排布置。每个嵌段可以包括其相应类型的多个单体。因而,例如,A-B嵌段共聚物可以具有在(或每个)A嵌段中的多个A型单体和在(或每个)B嵌段中的多个B型单体。合适的嵌段共聚物的示例是例如具有聚苯乙烯(PS)单体(疏水嵌段)和聚甲基丙烯酸甲酯(PMMA)单体(亲水嵌段)的共价键链接的多个嵌段的聚合物。具有不同疏水性/亲水性的嵌段的其他嵌段共聚物可以是有用的。例如,三嵌段共聚物,例如(A-B-C)嵌段共聚物可以是有用的,因为可以是交替的或周期性的嵌段共聚物(例如[-A-B-A-B-A-B-]n或[-A-B-C-A-B-C]m,其中n和m是整数)。这些嵌段可以彼此通过共价键以线性或分支(例如星形或分支配置)的方式连接。
依赖于多个嵌段的体积分数、每个嵌段类型内的聚合度(即,每个相应嵌段内每个相应类型的单体的数量)、溶剂的可选使用以及表面相互作用,嵌段共聚物在自组装时可以形成多种不同的相。当在薄膜中应用时,几何限制可能引起附加的边界条件,这可能限制形成的相。通常,在自组装嵌段共聚物的薄膜中实际观察到球形(例如立方体)、圆柱形(例如四角形或六边形)以及层状相(即,具有立方体、六边形或层状间隔填充对称的自组装相)。
观察到的相类型可以依赖于不同聚合物嵌段的相对分子体积分数。例如,80:20的分子体积比率将提供布置在较高体积嵌段的连续区域或域中的低体积嵌段的不连续的球形区域或域的立方体相。随着体积比率降低到70:30,将形成圆柱形相,具有为较低体积嵌段的圆柱体的不连续区域或域。在50:50的比率下,形成层状相。在30:70的比率下,可以形成倒圆柱形相,并且在20:80的比率下,可以形成倒立方体相。
用作能够自组装的聚合物的合适的嵌段共聚物包括但不限于聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-2-乙烯基吡啶酮)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂铁基二甲基硅烷)、聚(苯乙烯-b-环氧乙烷)、聚(环氧乙烷-b-异戊二烯)。符号“b”表示“嵌段”。虽然这些是双嵌段共聚物的示例,但是应该清楚,自组装也可以采用三嵌段共聚物、四嵌段共聚物或其他多嵌段共聚物。
用于引导或定向聚合物(例如嵌段共聚物)自组装到表面上的一种方法是图形外延技术。该方法包括嵌段共聚物的自组织,该嵌段共聚物的自组织通过使用由抗蚀剂构成的一个或多个特征(或者从抗蚀剂转移到衬底表面上的一个或多个特征,或者转移到沉积在衬底表面上的叠层膜上的一个或多个特征)以在衬底上进行形貌预图案化来引导。预图案化被用于形成包括衬底基部和侧壁的封闭体或“沟道”,侧壁例如为抗蚀剂的一对相对的侧壁(或者形成在薄膜中的侧壁,或者形成在衬底中的侧壁)。
通常地,图形外延模板的特征的高度为待排列的BCP层的厚度的量级,因此,例如可以为约20nm-约150nm。
层状的自组装BCP可以形成光刻特征的平行的线性图案,在沟道中具有不同共聚物嵌段区域或域的相邻线。例如,如果嵌段共聚物是具有在聚合物链中的A和B嵌段的双嵌段共聚物,BCP可以自组装入每个沟道中的有序的层,该层包括与B嵌段的第二区域或域交替的、规律地间隔开的A嵌段的第一区域或域。
类似地,圆柱形的自组装BCP可以形成光刻特征的有序图案,该光刻特征包括被第二连续区域或域包围的圆柱形的不连续的第一区域或域的规律地间隔开的平行线。例如,如果BCP为在聚合物链中的A和B嵌段的双嵌段共聚物,那么嵌段A可以组装入圆柱形的非连续区域或域,该非连续区域或域跨过沟道规律地间隔,并且被B嵌段的连续区域或域包围。
因此可以使用图形外延技术来引导层状相或圆柱形相的自组织,使得BCP图案将(一个或多个)侧壁的间隔细分成交替的共聚物图案的区域或域。
在纳米制造过程中应用嵌段共聚物自组装的过程中,衬底可以被修改以具有中性取向控制层,作为图形外延模板的一部分,以便引入相对于衬底的自组装图案的优选取向。对于在能够自组装聚合物层中使用的某些嵌段共聚物,在多个嵌段中的一种和衬底表面之间可以存在导致取向形成的优先的相互作用。例如,对于聚苯乙烯(PS)-b-PMMA嵌段共聚物,PMMA嵌段将优先浸润氧化物表面(即,与氧化物表面具有高化学亲和力),并且这可以用以诱导自组装图案处于基本平行于表面的平面的取向。可以引入基本垂直的取向,例如通过将中性取向层淀积到表面上以导致衬底表面对两个嵌段都是中性的来实现,换句话说,中性取向层具有对每个嵌段相同或类似的化学亲和力,使得两个嵌段以相似的方式在表面处浸润中性取向层。通过“垂直取向”,意味着每个嵌段的区域或域将被并排定位在衬底表面,其中不同嵌段的相邻区域或域之间的界面区域基本上垂直于表面的平面布置。
在用于对准具有A和B(其中在特性上A是亲水的、而B是疏水的)嵌段的双嵌段共聚物的图形外延模板中,图形外延图案可以包括疏水抗蚀剂侧壁特征,其中中性取向基部在疏水抗蚀剂特征之间。B区域或域可以优先沿疏水抗蚀剂特征的旁边组装,其中若干个A和B嵌段的交替区域或域在图形外延模板的嵌塞抗蚀剂特征之间的中性取向区域上被对准。
可以例如通过使用通过羟基端基或某些其他反应端基与衬底表面处的氧化物的反应共价地链接到衬底的随机的共聚物刷(brush)产生中性取向层。在用于形成中性取向层的其他布置中,可交联随机共聚物或适当的硅烷(即,具有取代的反应性硅烷的分子,诸如(三)氯硅烷端基或(三)甲氧基硅烷端基,也称为甲硅烷基端基)通过用作衬底表面和能够自组装的聚合物的层之间的中间层,可以用以导致表面中性。这样的硅烷基中性取向层将通常作为单层,而可交联聚合物通常不作为单层给出并且可以具有通常小于或等于约40nm的层厚度,或者小于或等于约20nm的层厚度。
能够自组装的BCP的薄层可以如上所述那样被淀积在具有图形外延模板的衬底上。
用于能够自组装的聚合物淀积的合适的方法是旋涂,因为该过程能够提供良好地限定的、均匀一致的能够自组装的聚合物薄层。淀积的能够自组装的聚合物膜的合适的层厚度是大约10至150nm。在淀积嵌段共聚物膜之后,该膜仍然可以是无序的或仅部分有序,并且可能需要一个或多个附加步骤以促进和/或完成自组装。例如,能够自组装的聚合物可以作为溶液被淀积在溶剂中,在自组装之前例如通过蒸发随溶剂去除。
嵌段共聚物的自组装是多种小成分(嵌段共聚物)的组装导致形成较大的更复杂的结构(自组装图案中纳米级尺寸特征,在本说明书中称为域)的过程。由于物理控制聚合物的自组装自然产生缺陷。通过A-B嵌段共聚物的A/A、B/B和A/B(或B/A)嵌段对之间的相互作用的差(即,相互的化学亲和力的差)来驱动自组装,其中考虑系统的Flory-Huggins(弗洛里-哈金斯)理论描述的用于相分离的驱动力。使用图形外延可以极大地减少缺陷形成。
对于经历自组装的聚合物,能够自组装的聚合物将显示有序-无序温度To/d,To/d可以通过任何合适的用于评估聚合物的有序/无序状态的技术测量,例如差分扫描量热法(DSC)测量。如果在该温度之下发生层的形成,则分子将被驱动以自组装。在温度To/d之上,将形成无序层,其中来自无序A/B区域或域的熵贡献超过层内的相邻A-A和B-B嵌段对之间的有利的相互作用产生的焓贡献。能够自组装的聚合物还可以显示玻璃转变温度Tg,在该温度之下聚合物有效地固化,高于该温度则共聚物分子将仍然可以相对于相邻共聚物分子在层内改变取向。玻璃转变温度适于通过差分扫描量热法(DSC)测量。
在如上所述的有序化期间形成的缺陷可以通过退火部分地去除。诸如旋转位移(disclination)(该缺陷为破坏旋转对称的线缺陷,例如在导向器的取向上存在缺陷)等缺陷可以通过配对另一缺陷或相反符号的旋转位移来消除。能够自组装的聚合物的链活动性可以是用于确定缺陷迁移和消除的因素,并且因此可以在链活动性高但自组装有序图案不损失的温度条件下执行退火。这表示达到聚合物的有序/无序温度To/d之上或之下几度的温度。
有序化和缺陷消除可以结合在单个退火过程中,或可以使用多个过程以便提供自组装聚合物(诸如嵌段共聚物)的层,具有不同化学类型的区域或域(不同嵌段类型的区域或域)的有序图案。
为了将诸如器件架构或形貌等图案从自组装聚合物层转移到淀积有自组装聚合物的衬底中,通常,将通过所谓的贯通蚀刻(breakthroughetching)去除第一区域或域类型,以在衬底表面上提供第二区域或域类型的图案,其中衬底在第二区域或域类型的特征之间处于裸露。可以使用干法蚀刻或反应离子蚀刻技术蚀刻具有平行的圆柱形相区域或域的图案。除了或者替代那些适于平行的圆柱形相区域或域的蚀刻,可以利用湿法蚀刻技术蚀刻具有层状相区域或域的图案。
在贯通蚀刻之后,可以通过使用第二区域或域类型所经受并因此在表面已经裸露的衬底表面中形成凹陷的蚀刻装置的所谓的转移蚀刻来转移图案。
发明内容
光刻特征之间的间距被称为节距——定义为光刻特征的一个重复单元的宽度(即,特征宽度加上特征间间隔)。使用BCP的自组装工艺可被用于生产具有特别低节距(通常小于30-50nm)的光刻特征。
例如使用一个工艺步骤能够构建多组光刻特征将是有用的,其中一组光刻特征具有与另一组光刻特征不同的节距。现有的方法包括多个工艺步骤(例如“节距划分”和“多图案分割”的方法都包括多个工艺步骤)。
本发明的实施例的目的例如是消除或减轻本文描述的一个或多个缺点或者与现有技术相关的一个或多个其他缺点。
根据实施例,提供了一种形成多个规律地间隔的光刻特征的方法,所述方法包括:
在衬底上提供多个沟道,每个沟道包括相对的侧壁和基部,所述侧壁具有侧壁间的宽度,其中第一沟道具有大于第二沟道的宽度
在多个沟道中提供能够自组装的嵌段共聚物,所述嵌段共聚物具有第一嵌段和第二嵌段;
使能够自组装的嵌段共聚物在每个沟道中自组装成有序层,所述层包括与第二嵌段的第二域交替的第一嵌段的第一域,其中所述第一沟道和第二沟道具有相同数量的每种相应的域;以及
选择性地移除第一域,以沿着每个沟道形成由第二域构成的规律地间隔的成行的光刻特征,
其中,在第一沟道中的特征的节距大于在第二沟道中特征的节距。
衬底可以具有不同宽度的沟道。对于任意给定的沟道宽度,可以形成嵌段共聚物(BCP)的多个域,优选地不同类型的BCP采取某些数量的域。广义上说,较大的沟道将导致比较小的沟道形成具有更大数量的域的有序层。因此,域的数量可被认为与沟道尺寸呈线性关系(对于给定的BCP)。
已经出乎意外地发现,除了域的数量与沟道尺寸的线性关系,沟道宽度的相对较小的增加(该沟道宽度没有大到足以增加所形成的域的数量)导致形成更大节距的域(即,节距伸展)。然后,沟道宽度的再进一步增加可以足够使得所形成的域的数量增加,在该点,每个域的节距回到未伸展状态,即节距减小以容纳增加的域。因此通过合适选定沟道宽度,能够产生在每个沟道中具有某一域数量和域节距的自组装的BCP的有序层。此外,由于具有不同域节距的有序层可以使用单一类型的BCP制造,因此能够一步制造具有光刻特征的多个沟道的衬底,其中不同沟道的光刻特征具有不同的节距。而且,通过合适考虑沟道宽度和选择BCP,能够一致地生成具有某一数量的具有特定节距的光刻特征的沟道。
下面的特征在适当的情况下适用于本发明的每一方面。在适当的情况下,下面的特征的组合可以用作本发明的一部分,例如如权利要求中限定的。本发明特别适于用在器件光刻中。例如,本发明可以用在图案化被用于直接形成器件的衬底中,或者可以用在图案化在压印光刻中使用的压印模板(其然后可被用于形成器件)中。
可以提供四个或更多个沟道,第三沟道和第四沟道具有相同数量的每种相应的域,并且第二沟道和第三沟道具有不同数量的每种相应的域。
第三沟道可以具有大于第四沟道的宽度。
光刻特征可以具有低至约20nm的节距,并且可以具有低至约10nm的节距。光刻特征可以具有高达约40nm的节距。
所述衬底可以为半导体衬底,并且可以包括形成衬底的多个层。例如,衬底的最外层可以为ARC(抗反射涂层)层。
衬底的最外层对于BCP的域可以是中性的,据此这意味着它对于BCP的每种区域类型具有相似的化学亲和力。例如可以使用随机的共聚物刷(brush)产生中性取向层。作为一种替代,取向控制层可以被提供作为衬底的最上或最外表面层,以产生相对于衬底的自组装图案的期望取向。
包括相对的侧壁的沟道可以通过光刻术形成,例如用光化辐射,例如UV、EUV或DUV(深UV)辐射。沟道例如可以形成在抗蚀剂中。沟道例如可以形成在衬底表面上(例如,已被从抗蚀剂转移到衬底上)。沟道例如可以形成在膜叠层中(例如,已被从抗蚀剂转移到膜叠层上)。
沟道的高度可以为待排序的BCP的厚度的量级。沟道的高度例如可以为约20nm-约150nm。沟道可以具有约200nm或更小的宽度。
为了引导自组装并降低缺陷,侧壁可以具有对于BCP的嵌段中的一种的更高的化学亲和力,以便在组装时,对侧壁具有更高化学亲和力的嵌段被促使以沿着所述侧壁的旁侧组装。化学亲和力可以通过亲水或疏水的侧壁特征提供。
在沟道中提供一层能够自组装的BCP可以通过旋涂BCP溶液、随后移除溶剂来实现。
能够自组装的BCP可以被促使以通过将温度降低到低于BCP的To/d的温度来进行自组装,以在沟道中提供自组装后的BCP的有序层。
BCP可以适于形成如下有序层,该有序层包括一行第一嵌段的一个或多个第一域,其并排地自组装在槽中,并且与第二嵌段的一个或多个第二域交替。该方法可以包括适于形成有序层的能够自组装的BCP,所述有序层具有在圆柱形阵列中的第一嵌段的第一非连续域,所述第一嵌段的第一非连续域与在第一嵌段的第一非连续域之间的第二嵌段的第二连续域交替,所述域被定向成基本平行于衬底并基本平行于侧壁。在另一种合适的布置中,BCP适于形成有序层,该有序层为层状有序层,其中第一域为与第二域交替的片层,所述第二域也为片层,所述第一域和第二域的片层以它们的平坦表面基本垂直于衬底并且基本平行于侧壁的方式定向。圆柱形的阵列能够比层状相覆盖更宽范围的节距。
选择性地移除一个区域例如可以通过蚀刻实现,其中自组装BCP的有序层作为用于在衬底上沿沟道蚀刻一行规律地间隔的光刻特征的抗蚀剂层。选择性蚀刻可以通过利用具有不同的蚀刻抗蚀剂特性的聚合物和通过选择能够选择性地蚀刻一个或多个聚合物域的蚀刻剂实现。选择性移除例如可以通过共聚物的嵌段之间的交联剂的选择性的光降解或光裂解以及随后的嵌段中的一种的溶解来实现。
本发明的一个实施例涉及一种光刻方法。该方法可以用在用于制造器件的过程中,例如制造电子器件或集成电路,或者用在其它应用中,例如集成光学系统、磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头、有机发光二极管等的制造。本发明的一个实施例还用于在制造集成电路、比特图案化介质和/或用于磁性存储装置(例如硬盘驱动器)的离散的轨迹介质中使用的表面上形成规律的纳米结构。
本发明的实施例在场效应晶体管(FET)的制造中是有用的,并且更具体地在FinFET的制造中是有用的。FinFET是一种特定类型的FET,其中一个或多个高且薄的导电通道(类似翅片并限定在晶体管源极和漏极之间的通路)被能够通过施加电压调节通道导电性的栅极覆盖。由于通道节距影响为了允许电子流过晶体管的导电通道所需要的栅极电压(该电压被称作阈值电压,VTH),所以FinFET通道应当具有一致的节距。小节距、低阈值电压的FinFET在快速/低功率应用中是有用的,而大节距、高阈值电压的FinFET在需要低电子泄漏的应用中是有用的。通常地,单一的器件包括高和低阈值电压的FinFET。
可以使用本文描述的方法生产的规律地间隔的光刻特征适于用作FinFET应用的导电通道。如上所述,该方法能够在同一衬底上生产具有不同节距的光刻特征的多个沟道。当将该方法应用于FinFET结构中时,其允许在单个的衬底上形成多个FinFET,其中一个FinFET的通道节距可被设置为与另一个FinFET的通道节距不同。可在单一的步骤中制造具有不同节距的通道。因此,通过合适选择沟道宽度,本文描述的方法对于具有多个FinFET的器件的结构是有用的,其中一些FinFET具有低阈值电压(即,能够执行快速/低功率应用),而其它FinFET具有高阈值电压(即,能够用于需要低电子泄漏的应用中)。
能够自组装的BCP可以是前面提出的BCP,包括至少两种不同的嵌段类型,称为第一和第二聚合物嵌段,它们可以被自组装为具有联合为第一和第二域类型的不同嵌段类型的有序聚合物层。BCP可以包括双嵌段共聚物或三嵌段或多嵌段共聚物。交替的或周期性的嵌段共聚物可以用在能够自组装的聚合物中。
在本说明书中,通过“化学亲和力”意味着两个不同的化学种类(chemical species)联合在一起的趋势。例如,亲水属质的化学种类对水具有高的化学亲和力,而疏水化合物对水具有低的化学亲和力、但是对烷烃具有高的化学亲和力。极性属性的化学种类对其他极性化合物和对水具有高的化学亲和力,而非极性的或无极性的或疏水化合物对水和极性种类具有低的化学亲和力,但是可以表现对于例如烷烃等其他非极性种类具有高的化学亲和力。化学亲和力涉及与两种化学种类之间的界面相关的自由能:如果界面自由能高,则两种种类彼此具有低的化学亲和力,而如果界面自由能低,则两种种类彼此具有高的化学亲和力。化学亲和力也可以用术语“浸润”表示,其中如果液体和表面相对于彼此具有高的化学亲和力则液体将浸润固体表面,相反,如果具有低的化学亲和力,液体将不浸润表面。表面的化学亲和力例如可以使用各种液体利用接触角测量,从而对于一种液体,如果一个表面具有与另一个表面相同的接触角,那么两个表面可被认为对于该液体具有相同的化学亲和力。如果两个表面接触角不同,则对于该液体,具有较小接触角的表面比具有较大接触角的表面具有更高的化学亲和力。
在本说明书中,“化学种类”是指例如分子、低聚物或聚合物等化合物,或者在两性分子(即,具有至少两个互联部分的分子,其中互联部分具有不同的化学亲和力)的情况下,术语“化学种类”可以指这种分子的不同部分。例如,在双嵌段共聚物的情况下,构成嵌段共聚物分子的两个不同的聚合物嵌段被视为具有不同化学亲和力的两个不同的化学种类。
贯穿该说明书,术语“包括”或“包含”意指包括所列出的一个或多个组分但不排除其他的存在。术语“基本上由…组成”或“基本上由…构成”意指包括所列出的组分但排除其他组分,所述其他组分不包括作为杂质存在的物质、因用于提供所述组分的过程而存在的不可避免的物质,以及包括为了除实现本发明的技术效果之外的目的而加入的组分。典型地,主要由一组组分组成的组合物将包括重量比少于5%的、典型地少于3%、更典型地少于1%的未列出的组分。术语“构成”或“组成”含义是包括列出的组分,但排除有意增加的其它组分。
只要合适,还可以采用术语“包括”或“包含”,以包含“由…构成”、“由…组成”、“主要由…构成”或“主要由…组成”的意义。
在本说明书中,当提到特征的厚度时,所述厚度适于通过合适的装置沿基本垂直于衬底表面且通过特征的质心的轴线测量。所述厚度可以适于通过例如干涉测量法等技术来测量或通过蚀刻率的知识来估计。
在本说明书中任何情况下提到“层”,在存在的情况下,所提及的层是具有基本上均匀的厚度的层。通过“基本上均匀的厚度”表示跨经层的厚度变化不会超过其平均值的10%,期望地不超过5%。
在本说明书中,术语“衬底”指的是包括形成衬底的一部分的或设置在衬底上的任何表面层,例如一个或多个平面化层或抗反射涂层,它们可以位于衬底表面上或形成衬底表面,或者可以包括一个或多个其它层,例如本文中具体提到的那些层。
附图说明
参照附图描述本发明的具体实施例,在附图中:
图1A至1C示意地示出通过图形外延直接将A-B嵌段共聚物自组装到衬底上并通过选择性地蚀刻一个域形成规律地间隔的光刻特征;
图2A至2C示意地示出根据本发明实施例的通过增加沟道宽度可获得的域节距的增加;
图3A至3C示意地示出通过图形外延直接将A-B嵌段共聚物自组装到衬底上,通过增加沟道宽度可获得的域节距的增加并通过选择性地蚀刻一个域形成规律地间隔的光刻特征;
图4示意地示出根据本发明的实施例产生的FinFET;
图5为具有不同宽度的多个沟道的装置的示意图,其中所述不同宽度的多个沟道具有不同节距的光刻特征;以及
图6示出了沟道宽度与在本发明的实施例中形成的特征的行数和节距的关系。
具体实施方式
所描述和图示的实施例是为了被看作为图示的而不是限制性特性,可以理解,仅示出和/或描述了优选的实施例,并且全部改变和修改都在本发明权利要求限定的期望被保护的范围内。
图1A示出了具有形成于其上的沟道2的衬底1,其由一个或多个侧壁3和底表面4限定边界。在图1B中,具有亲水的A(带剖面线的)嵌段和疏水的B(不带剖面线的)嵌段的能够自组装的A-B嵌段共聚物已被沉积在沟道中,以形成具有交替的带状的A区域或域和B区域或域的层5,在BCP沉积期间,A区域或域和B区域或域已被沉积为分成离散的微分离的周期性的区域或域的层状相。在图1C中,A型区域或域已被选择性移除工序移除,留下B型区域或域作为规则地间隔开的多行光刻特征6。
选择性移除例如可通过化学蚀刻获得,该化学蚀刻可根据对蚀刻的相对敏感性来获得,A嵌段相对易于蚀刻,而B嵌段相对耐蚀刻。例如通过共聚物的嵌段之间的交联剂的选择性光降解或光裂解以及随后的多个嵌段中的一种的增溶作用可以实现选择性去除。实施例允许使用自组装的BCP在衬底上形成沿衬底并排地定位的、成行的规律地间隔的光刻特征,以提供因此紧密间隔并且尺寸较小的特征。
在一个实施例(未示出)中,蚀刻(或者其他移除工序)可以蚀刻入衬底1中。照此,B型区域或域可以被移除,留下形成在衬底中的规律地间隔开的成行的光刻特征。
在所示实施例的修改例中,沟道的一个或多个侧壁可以具有用于BCP的嵌段中的一种的更高的化学亲和性。例如,在用于对准具有A嵌段和B嵌段的双嵌段共聚物的沟道中,其中在特性上A是疏水的、而B是亲水的,该沟道可以包括疏水抗蚀剂侧壁特征,在疏水抗蚀剂侧壁特征之间具有中性取向基部。A区域或域可以优先沿疏水抗蚀剂特征的旁边组装,其中若干个A和B嵌段的交替域在沟道的抗蚀剂特征之间的中性取向基部上被对准。
图2A示出了衬底1,其中成行的规律地间隔的光刻特征6已使用自组装BCP定位在衬底上。在沟道2中已经形成有三行光刻特征,每个特征具有由“X”标示的某一节距。如上所述,节距被定义为光刻特征的一个重复单元的宽度,在图中由两个最左侧特征的中心向下的虚线标示。在图2B,沟道的宽度略微增加,使得所形成的特征的行数相同但是每个特征的节距(由“Y”标示)相对于图2A中的特征的节距被增加。在图2C中,沟道的宽度进一步增大,这里所形成的特征的行数已增大到4行,而每个特征的节距(由“Z”标示)降低到与图2A的节距近似的数值(即,Z约等于X)。
图3示出了衬底1,其中成行的规律地间隔的光刻特征6已使用自组装BCP以与图1相似的方式定位在衬底上。在图3中,代替图1的层状的区域或域形成圆柱形的区域或域。具有A(带剖面线的)嵌段和B(不带剖面线的)嵌段的能够自组装的A-B嵌段共聚物沉积入沟道中,以形成层5。如图3B所示,沟道的宽度略微增大,使得所形成的特征的行数相同但是每个特征的节距相对于图3A中的特征的节距增大(以与图2中示出的层状区域或域相同的方式)。在图3C中,图3B的B型区域或域已通过选择性蚀刻移除,留下A型区域或域作为规律地间隔的多行光刻特征6。在蚀刻后位于A区域或域正下方的小部分B区域或域得以保持。
图4示出了方法的特殊应用,在该方法中以在图1-3中所示的方式形成的光刻特征被用于构建FinFET 7,FinFET包括与栅极9交叠的多个导电通道8(称作翅片)。在该实施例中,光刻特征(在图1中表示为6)被直接用作FinFET的导电通道,并且栅极9已被放置在通道上,以完成FinFET的构建。
虽然图4示出了具有三个翅片的FinFET,但是本发明的实施例可以被用来形成具有其他数量的翅片(例如,两个翅片、四个翅片、五个翅片、六个翅片或更多翅片)的FinFET。对于任何给定数量的翅片,相邻翅片之间的间隔可以通过合适选择翅片形成(以上面关于图2和3所解释的方式)于其中的沟道的宽度来选定。所述翅片例如可以具有低至约20nm的节距,并且例如可以具有低至约10nm的节距。所述翅片例如可以具有高达约40nm的节距。
图5为器件布局的示意图,其中示出FinFET的多个区域(由(a)、(b)、(c)表示)可以使用本文描述的方法形成在单个的器件(例如,集成电路)上。FinFET的每个区域可以包括具有特定阈值电压或其他特性的FinFET。从而,例如区域(a)和(b)可以都包括具有三个翅片的FinFET,但是具有不同的翅片间隔。因此,在区域(a)中FinFET的阈值电压可以不同于区域(b)中的FinFET的阈值电压。区域(c)例如可以包括具有四个翅片的FinFET。翅片的数量影响晶体管驱动电流(离子)强度,并且这又对诸如开关频率等晶体管开关特性产生影响。区域(c)中的FinFET的翅片间隔例如可以与区域(a)中的翅片间隔或区域(b)中的翅片间隔相同,或者可以具有其它间隔。
图5所示的器件布局使用单一的工艺步骤形成(即,不需要在衬底上投影连续的图案以获得该器件布局)。通常地,本发明的实施例允许使用衬底的单一的光刻工艺制造具有不同间隔(或节距)的光刻特征。本发明的实施例允许光刻特征(例如,如图2和3所示意性地示出的)的间隔(或节距)的精密调整。
图5以及相关的描述仅仅是一个示例。可以使用本发明的实施例制造具有不同数量的FinFET区域的集成电路。在不同区域中的FinFET可以具有间隔不同的翅片和/或可以具有不同数量的翅片。此外,在每个区域中,可以通过改变栅极之间的间隔来调整阈值电压(以与翅片之间的间隔被用于改变阈值电压相同的方式)。
示例
为了评估既在行节距又在行数上增加沟道宽度的效果,不同宽度的多个沟道被实验性的形成。
硅晶片在曝光之前被涂覆抗反射涂层(93nm的层厚),并且随后涂覆抗蚀剂(100nm的层厚)。在温度100℃后曝光烘烤60秒后,抗蚀剂使用负性显影被显影,以在晶片上形成沟道图案。然后晶片在190℃经受附加的烘烤10分钟。负性显影被用于形成具有良好的耐受BCP的退火温度和溶解BCP的溶剂的性能的抗蚀剂图案。在显影步骤之后,聚苯乙烯-b-甲基丙烯酸甲酯(PSPMMA)BCP(P2784-SMMA,37.0-b-16.8,多分散性系数1.07)(抗蚀剂特征外的层厚为40nm)被从甲苯溶液(BCP浓度在0.5-2.5wt%之间)通过旋涂施加,并且涂覆后的层在90℃下干燥2分钟。晶片在氮气环境下在约200℃的烤箱内退火30分钟。在BCP退火后,晶片用反应离子蚀刻工具蚀刻40秒,使得完全去除PMMA相。基本垂直于衬底的成行的规律地间隔的圆柱形相的光刻特征被形成在沟道中。在每个实例中,用标准的自动计量的扫描电子显微镜(SEM)测量节距和行数,并且图6中示出了其结果。
图6说明沟道宽度的微小增加会导致节距增加(伸展),直到达到某个点。然后沟道宽度的再进一步增加可以足够使得所形成的区域或域的数量增加,在该点,每个区域或域的节距回到近似未伸展状态,即节距降低以容纳附加的区域或域。例如,从图6中可以看出,具有约50-70nm宽度的沟道将优先地形成具有两行特征的有序层,这些特征的节距覆盖从约22nm到27nm的范围(更大的节距对应于更宽的沟道宽度)。然而,具有约70-90nm宽度的沟道将优先地形成具有三行特征的有序层,这些特征的节距覆盖从约23-28nm的范围。
应当注意,虽然光刻特征基本垂直于衬底地形成,对于基本垂直于衬底的特征,期望观察到相似的现象。
虽然在上面的示例中,沟道形成在抗蚀剂中,但是沟道可以形成在任何合适的材料中。例如,沟道可以形成在衬底中(已被从抗蚀剂转移到衬底中)。作为一种选择,沟道可以形成在沉积在衬底表面上的膜叠层中。
将认识到的是,本发明的各个方面可以以任何方便的形式执行。例如,本发明的实施例可以通过可被承载在合适的载体介质上的一个或多个合适的计算机程序执行,该载体介质可为有形的载体介质(例如,存储盘)或无形的载体介质(例如,通信信号)。本发明的一个方面可以使用合适的装置执行,该装置具体地可以为可编程计算机的形式,其运行被设置为执行本发明的实施例的计算机程序。

Claims (17)

1.一种形成多个规律地间隔的光刻特征的方法,所述方法包括:
在衬底上的多个沟道中提供能够自组装的嵌段共聚物,所述嵌段共聚物具有第一嵌段和第二嵌段,每个沟道包括相对的侧壁和基部,所述侧壁具有侧壁间的宽度,其中第一沟道具有大于第二沟道的宽度;
使能够自组装的嵌段共聚物在每个沟道中自组装成有序层,所述有序层包括与第二嵌段的第二域交替的第一嵌段的第一域,其中所述第一沟道和第二沟道具有相同数量的每种相应的域;以及
选择性地移除第一域,以沿着每个沟道形成由第二域构成的规律地间隔的一行或多行光刻特征,
其中,在第一沟道中的特征的节距大于在第二沟道中的特征的节距。
2.如权利要求1所述的方法,其中所述多个沟道包括四个或更多个沟道,其中第三沟道和第四沟道具有相同数量的每种相应的域,并且第二沟道和第三沟道具有不同数量的每种相应的域。
3.如权利要求2所述的方法,其中第三沟道的宽度大于第四沟道的宽度。
4.如权利要求1或2所述的方法,其中一行或多行规律地间隔的光刻特征被用于形成FET的通道。
5.如权利要求4所述的方法,其中FET为FinFET。
6.如权利要求1-5中任一项所述的方法,其中光刻特征具有10nm或更大的节距。
7.如权利要求1-6中任一项所述的方法,其中光刻特征具有40nm或更小的节距。
8.如权利要求1-7中任一项所述的方法,其中所述侧壁用光刻术形成。
9.如权利要求1-8中任一项所述的方法,其中所述侧壁被设定尺寸以具有20nm-150nm之间的高度。
10.如权利要求1-9中任一项所述的方法,其中所述沟道具有约200nm或更小的宽度。
11.如权利要求1-10中任一项所述的方法,其中所述侧壁对所述嵌段中的一种具有更高的化学亲和力。
12.如权利要求1-11中任一项所述的方法,其中所述能够自组装的嵌段共聚物适于形成圆柱形有序层,所述圆柱形有序层具有在圆柱形阵列中的第一嵌段的第一非连续域,所述第一嵌段的第一非连续域与在第一嵌段的第一非连续域之间的第二嵌段的第二连续域交替,所述域被定向成基本平行于衬底并基本平行于侧壁。
13.如权利要求1-11中任一项所述的方法,其中所述能够自组装的嵌段共聚物适于形成层状有序层,其中第一域为片层,所述第一域与也为片层的第二域交替,所述第一域和第二域的片层被定向成使它们的平坦表面基本垂直于衬底并且基本平行于侧壁。
14.如权利要求1-13中任一项所述的方法,其中所述域中的一种被选择性地通过蚀刻移除。
15.如权利要求1-14中任一项所述的方法,其中所述域中的一种被选择性地通过光降解或光裂解移除。
16.如权利要求1-15中任一项所述的方法,其中所述沟道形成在抗蚀剂中。
17.一种使用如权利要求1-16中任一项所述的方法形成的FinFET。
CN201480008683.3A 2013-02-14 2014-01-24 用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法 Active CN104995715B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361764881P 2013-02-14 2013-02-14
US61/764,881 2013-02-14
PCT/EP2014/051451 WO2014124795A1 (en) 2013-02-14 2014-01-24 Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers

Publications (2)

Publication Number Publication Date
CN104995715A true CN104995715A (zh) 2015-10-21
CN104995715B CN104995715B (zh) 2018-06-19

Family

ID=50001004

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480008683.3A Active CN104995715B (zh) 2013-02-14 2014-01-24 用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法

Country Status (7)

Country Link
US (1) US9368366B2 (zh)
JP (1) JP6194371B2 (zh)
KR (1) KR101769888B1 (zh)
CN (1) CN104995715B (zh)
NL (1) NL2012143A (zh)
TW (1) TWI529816B (zh)
WO (1) WO2014124795A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109613798A (zh) * 2017-09-06 2019-04-12 Imec 非营利协会 制造掩模的方法
CN112768529A (zh) * 2021-01-28 2021-05-07 福建省晋华集成电路有限公司 一种半导体器件制备方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015084122A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
US10202480B2 (en) 2013-12-06 2019-02-12 Lg Chem, Ltd. Block copolymer
CN105899557B (zh) 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
JP6496318B2 (ja) 2013-12-06 2019-04-03 エルジー・ケム・リミテッド ブロック共重合体
EP3078686B1 (en) 2013-12-06 2018-10-31 LG Chem, Ltd. Block copolymer
JP6402867B2 (ja) 2013-12-06 2018-10-10 エルジー・ケム・リミテッド ブロック共重合体
CN105899558B (zh) 2013-12-06 2018-09-18 株式会社Lg化学 嵌段共聚物
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
JP6521975B2 (ja) 2013-12-06 2019-05-29 エルジー・ケム・リミテッド ブロック共重合体
JP6410327B2 (ja) 2013-12-06 2018-10-24 エルジー・ケム・リミテッド ブロック共重合体
CN105934456B (zh) 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
EP3078691B1 (en) 2013-12-06 2018-04-18 LG Chem, Ltd. Block copolymer
EP3078693B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
JP6483694B2 (ja) 2013-12-06 2019-03-13 エルジー・ケム・リミテッド 単量体およびブロック共重合体
KR20150101875A (ko) * 2014-02-27 2015-09-04 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US10633533B2 (en) 2014-09-30 2020-04-28 Lg Chem, Ltd. Block copolymer
EP3214102B1 (en) 2014-09-30 2022-01-05 LG Chem, Ltd. Block copolymer
JP6633062B2 (ja) * 2014-09-30 2020-01-22 エルジー・ケム・リミテッド パターン化基板の製造方法
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
CN107075054B (zh) 2014-09-30 2020-05-05 株式会社Lg化学 嵌段共聚物
CN107078026B (zh) 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
JP6532941B2 (ja) 2014-09-30 2019-06-19 エルジー・ケム・リミテッド ブロック共重合体
JP6451966B2 (ja) 2014-09-30 2019-01-16 エルジー・ケム・リミテッド ブロック共重合体
CN107075052B (zh) 2014-09-30 2020-05-29 株式会社Lg化学 嵌段共聚物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090308837A1 (en) * 2008-06-17 2009-12-17 Hitachi Global Storage Technologies Netherlands B.V. Method using block copolymers for making a master mold with high bit-aspect-ratio for nanoimprinting patterned magnetic recording disks
CN102015524A (zh) * 2008-05-02 2011-04-13 美光科技公司 在衬底上形成的半圆柱体阵列的制图外延自我组装
CN102540702A (zh) * 2010-11-30 2012-07-04 希捷科技有限公司 压印引导的嵌段共聚物图案化的系统和方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7625790B2 (en) * 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8349203B2 (en) * 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
NL2005956A (en) * 2010-02-26 2011-08-29 Asml Netherlands Bv Method and apparatus for treatment of self-assemblable polymer layers for use in lithography.
JP5802740B2 (ja) * 2010-04-14 2015-11-04 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィで使用される自己組織化可能な重合体の秩序化された層を提供する方法
WO2011151109A1 (en) * 2010-06-04 2011-12-08 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
JP2012005939A (ja) * 2010-06-23 2012-01-12 Toshiba Corp パターン形成方法
JP5259661B2 (ja) * 2010-09-07 2013-08-07 株式会社東芝 パターン形成方法
NL2007161A (en) * 2010-09-09 2012-03-12 Asml Netherlands Bv Lithography using self-assembled polymers.
JP5171909B2 (ja) 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
US9388268B2 (en) 2010-10-11 2016-07-12 Wisconsin Alumni Research Foundation Patternable polymer block brush layers
US8673541B2 (en) 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
JP4815010B2 (ja) * 2010-12-27 2011-11-16 パナソニック株式会社 ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
US20120196094A1 (en) 2011-01-31 2012-08-02 Seagate Technology Llc Hybrid-guided block copolymer assembly
JP5292423B2 (ja) * 2011-02-25 2013-09-18 株式会社東芝 パターンデータ生成装置、パターンデータ生成方法、及びパターン形成方法
TWI511084B (zh) * 2012-01-20 2015-12-01 Asml Netherlands Bv 可自組聚合物及用於微影之方法
KR102012765B1 (ko) * 2012-03-02 2019-08-22 에이에스엠엘 네델란즈 비.브이. 디바이스 리소그래피에서 사용되는 자가­조립가능한 블록 공중합체들에 대한 패터닝된 화학적 에피택시 템플릿을 제공하는 방법들
WO2013160027A1 (en) * 2012-04-27 2013-10-31 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US8771929B2 (en) * 2012-08-16 2014-07-08 International Business Machines Corporation Tone inversion of self-assembled self-aligned structures
US9107291B2 (en) * 2012-11-21 2015-08-11 International Business Machines Corporation Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
US9508562B2 (en) * 2014-06-27 2016-11-29 Globalfoundries Inc. Sidewall image templates for directed self-assembly materials

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102015524A (zh) * 2008-05-02 2011-04-13 美光科技公司 在衬底上形成的半圆柱体阵列的制图外延自我组装
US20090308837A1 (en) * 2008-06-17 2009-12-17 Hitachi Global Storage Technologies Netherlands B.V. Method using block copolymers for making a master mold with high bit-aspect-ratio for nanoimprinting patterned magnetic recording disks
CN102540702A (zh) * 2010-11-30 2012-07-04 希捷科技有限公司 压印引导的嵌段共聚物图案化的系统和方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109613798A (zh) * 2017-09-06 2019-04-12 Imec 非营利协会 制造掩模的方法
CN112768529A (zh) * 2021-01-28 2021-05-07 福建省晋华集成电路有限公司 一种半导体器件制备方法

Also Published As

Publication number Publication date
JP2016513368A (ja) 2016-05-12
US20150364335A1 (en) 2015-12-17
NL2012143A (en) 2014-08-18
KR101769888B1 (ko) 2017-08-21
CN104995715B (zh) 2018-06-19
KR20150120416A (ko) 2015-10-27
TW201438114A (zh) 2014-10-01
JP6194371B2 (ja) 2017-09-06
TWI529816B (zh) 2016-04-11
WO2014124795A1 (en) 2014-08-21
US9368366B2 (en) 2016-06-14

Similar Documents

Publication Publication Date Title
CN104995715A (zh) 用于通过嵌段共聚物的自组装在衬底上提供间隔的光刻特征的方法
CN103889888A (zh) 提供用于可自组装聚合物的图案化取向模板的方法
CN105051863A (zh) 用于通过嵌段共聚物的自组装在衬底上设置光刻特征的方法
KR101929865B1 (ko) 디바이스 리소그래피에 사용하기 위한 자기-조립성 폴리머를 위한 템플릿의 제공 방법
US9086621B2 (en) Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
TWI486705B (zh) 使用自組聚合物之微影技術
CN102933628A (zh) 可自组装的聚合物和用于平版印刷术的方法
US20140346141A1 (en) Self-assemblable polymer and methods for use in lithography
US9513553B2 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
CN103187245A (zh) 一种通过定向自组装嵌段共聚物的光刻方法
US20150205197A1 (en) Methods for providing lithography features on a substrate by self-assembly of block copolymers
TWI546616B (zh) 藉由嵌段共聚物之自組裝而在基板上提供間隔的微影特徵之方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant