KR101769888B1 - 블록 공중합체의 자가­조립에 의해 기판 상에 이격된 리소그래피 피처들을 제공하는 방법들 - Google Patents

블록 공중합체의 자가­조립에 의해 기판 상에 이격된 리소그래피 피처들을 제공하는 방법들 Download PDF

Info

Publication number
KR101769888B1
KR101769888B1 KR1020157025039A KR20157025039A KR101769888B1 KR 101769888 B1 KR101769888 B1 KR 101769888B1 KR 1020157025039 A KR1020157025039 A KR 1020157025039A KR 20157025039 A KR20157025039 A KR 20157025039A KR 101769888 B1 KR101769888 B1 KR 101769888B1
Authority
KR
South Korea
Prior art keywords
trench
domains
self
features
trenches
Prior art date
Application number
KR1020157025039A
Other languages
English (en)
Other versions
KR20150120416A (ko
Inventor
산더 부이스터
타마라 드루즈히니나
머치아 두사
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20150120416A publication Critical patent/KR20150120416A/ko
Application granted granted Critical
Publication of KR101769888B1 publication Critical patent/KR101769888B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Graft Or Block Polymers (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법이 개시되며, 상기 방법은 기판 상의 복수의 트렌치들에 제 1 및 제 2 블록들을 갖는 자가-조립가능한 블록 공중합체를 제공하는 단계 -각각의 트렌치는 마주하는 측벽들 및 베이스를 포함하고, 측벽들 사이에 폭을 가지며, 제 1 트렌치가 제 2 트렌치보다 더 큰 폭을 가짐- ; 자가-조립가능한 블록 공중합체가 각각의 트렌치에서 질서배열된 층으로 자가-조립하게 하는 단계 -상기 층은 제 2 블록의 제 2 도메인과 교번하는 제 1 블록의 제 1 도메인을 갖고, 제 1 및 제 2 트렌치들은 동일한 수의 각각의 도메인을 가짐- ; 및 각각의 트렌치를 따라 제 2 도메인을 갖는 리소그래피 피처들의 일정하게 이격된 열들을 형성하도록 제 1 도메인을 선택적으로 제거하는 단계를 포함하고, 제 1 트렌치에서의 피처들의 피치는 제 2 트렌치에서의 피처들의 피치보다 더 크다.

Description

블록 공중합체의 자가­조립에 의해 기판 상에 이격된 리소그래피 피처들을 제공하는 방법들{METHODS FOR PROVIDING SPACED LITHOGRAPHY FEATURES ON A SUBSTRATE BY SELF-ASSEMBLY OF BLOCK COPOLYMERS}
본 출원은 2013년 2월 14일에 출원된 미국 가출원 61/764,881의 이익을 주장하며, 이는 본 명세서에서 그 전문이 인용 참조된다.
본 발명은 기판 상에 제공된 트렌치(trench)에서의 블록 공중합체(block copolymer)의 자가-조립(self-assembly)을 이용하여, 기판 상에 일정하게 이격된 리소그래피 피처(feature)들을 형성하는 방법에 관한 것이다. 상기 방법은 전계 효과 트랜지스터의 1 이상의 트랜지스터 전도 채널들을 형성하는 데 유용할 수 있다.
디바이스 제조를 위한 리소그래피에서는, 주어진 기판 영역의 피처들의 밀도를 증가시키기 위하여 리소그래피 패턴의 피처들의 크기를 감소시키려는 계속적인 요구가 존재한다. 나노-스케일에서의 임계 치수(CD)를 갖는 더 작은 피처들의 패턴들이 디바이스 또는 회로 구조들의 더 큰 집중도(concentrations)를 허용하여, 전자 및 다른 디바이스들에 대한 제조 비용과 크기 감소의 잠재적인 개선을 이끌어 낸다. 투영 포토리소그래피에서, 더 작은 피처들에 대한 압박은 침지 리소그래피 및 극자외(EUV) 리소그래피와 같은 기술들의 개발을 유도하였다.
대안예로서, 소위 임프린트 리소그래피는 일반적으로 기판 상으로 패턴을 전사하기 위해 [흔히, 임프린트 템플릿(imprint template)이라고 하는] "스탬프(stamp)"의 사용을 수반한다. 임프린트 리소그래피의 장점은, 피처들의 분해능이 예를 들어 투영 시스템의 개구수(numerical aperture) 또는 방사선 소스의 방출 파장에 의해 제한되지 않는다는 것이다. 대신에, 분해능은 주로 임프린트 템플릿의 패턴 밀도에 제한된다.
투영 포토리소그래피와 임프린트 리소그래피 둘 모두에 대하여, 예를 들어 임프린트 템플릿 또는 다른 기판들의 표면들의 고분해능 패터닝을 제공하는 것이 바람직하다. 블록 공중합체(BCP)의 자가-조립의 사용은, 종래 기술의 리소그래피 방법들에 의해 얻을 수 있는 것보다 더 작은 치수로 피처 분해능을 증가시키는 잠재적인 방법으로서, 또는 임프린트 템플릿들의 준비(preparation)를 위한 전자 빔 리소그래피에 대한 대안으로서 고려되었다.
자가-조립가능한 BCP는 수십 나노미터들 또는 심지어는 10 nm 미만의 치수를 갖는 질서배열된, 화학적으로 구별되는 도메인들(ordered, chemically distinct domains)을 형성하도록 상이한 화학적 성질의 공중합체 블록들의 상 분리(phase separation)를 유도하는 특정 온도[질서-무질서 전이 온도(order-disorder transition temperature: To/d)] 아래로의 냉각 시 질서-무질서 전이를 겪을 수 있기 때문에 나노제작(nanofabrication)에 유용한 화합물이다. 도메인들의 크기 및 형상은 공중합체의 상이한 블록 타입들의 조성 및 분자량을 조작함으로써 제어될 수 있다. 도메인들 간의 계면들은 약 1 내지 5 nm 정도의 라인 폭 거칠기(line width roughness)를 가질 수 있으며, 공중합체의 블록들의 화학적 조성들의 개질(modification)에 의해 조작될 수 있다.
자가-조립 템플릿으로서 BCP의 얇은 막을 이용하는 것의 실현가능성은 Chaikin 및 Register 외, Science 276, 1401(1997)에 의해 입증되었다. 20 nm의 치수들을 갖는 도트들 및 홀들의 조밀한 어레이들이 폴리(스티렌-블록-이소프렌)의 얇은 막으로부터 실리콘 질화물 기판으로 전이되었다.
BCP는 상이한 블록들을 포함하며, 그 각각은 통상적으로 1 이상의 동일한 단량체들을 포함하고 중합체 사슬(polymer chain)을 따라 나란히(side-by-side) 배치된다. 각각의 블록은 그 각각의 타입의 다수 단량체들을 포함할 수 있다. 그러므로, 예를 들어 A-B BCP가 A 블록(또는 각각의 A 블록)에 복수의 타입 A 단량체들을 가질 수 있고, B 블록(또는 각각의 B 블록)에 복수의 타입 B 단량체들을 가질 수 있다. 적절한 BCP의 일 예시로는, 예를 들어 폴리스티렌(PS) 단량체[소수성 블록(hydrophobic block)] 및 폴리메틸메타크릴레이트(PMMA) 단량체[친수성 블록(hydrophilic block)]의 공유 결합된 블록들(covalently linked blocks)을 갖는 중합체가 있다. 상이한 소수성(hydrophobicity)/친수성(hydrophilicity)의 블록들을 갖는 다른 BCP들이 유용할 수 있다. 예를 들어, (A-B-C) BCP와 같은 삼중-블록(tri-block) 공중합체가 유용할 수 있으며, 교번하는 또는 주기적인 BCP, 예를 들어 [-A-B-A-B-A-B-]n 또는 [-A-B-C-A-B-C]m(이때, n 및 m은 정수임)일 수도 있다. 블록들은 선형 또는 분지형 방식(branched fashion)[예를 들어, 성형(star) 또는 분지형 구성]으로 공유 결합에 의해 서로 연결될 수 있다.
BCP는 블록들의 부피율(volume fractions), 각 블록 타입 내의 중합도(degree of polymerization)(즉, 각각의 블록 내의 각각의 타입의 단량체들의 수), 용매의 선택적 사용 및 표면 상호작용에 의존하여, 자가-조립 시 다수의 상이한 상들을 형성할 수 있다. 얇은 막에 적용될 때, 기하학적 한정(geometric confinement)은 형성되는 상들을 제한할 수 있는 추가 경계 조건들을 가질 수 있다. 일반적으로, 스피어형(spherical)[예를 들어, 큐빅(cubic)], 실린더형[예를 들어, 테트라고날(tetragonal) 또는 헥사고날(hexagonal)] 및 라멜라형(lamellar) 상들[즉, 큐빅, 헥사고날 또는 라멜라형 공간-충전 대칭(space-filling symmetry)을 갖는 자가-조립된 상들]이 자가-조립된 BCP들의 얇은 막들에서 실제로 관찰된다.
관찰된 상 타입은 상이한 중합체 블록들의 상대 분자 부피율에 의존할 수 있다. 예를 들어, 80:20의 분자 부피 비가 더 높은 부피 블록의 연속 도메인에 배치된 낮은 부피 블록의 불연속 스피어형 도메인의 큐빅 상을 제공할 것이다. 부피 비가 70:30으로 감소할 때, 불연속 도메인들이 더 낮은 부피 블록의 실린더들인 실린더형 상이 형성될 것이다. 50:50 비에서, 라멜라형 상이 형성된다. 30:70의 비를 이용하면, 반전된(inverted) 실린더형 상이 형성될 수 있고, 20:80의 비에서 반전된 큐빅 상이 형성될 수 있다.
자가-조립가능한 중합체로서 사용하기에 적절한 BCP들은 폴리(스티렌-b-메틸메타크릴레이트), 폴리(스티렌-b-2-비닐피리돈), 폴리(스티렌-b-부타디엔), 폴리(스티렌-b-페로세닐디메틸실란), 폴리(스티렌-b-에틸렌옥사이드), 폴리(에틸렌옥사이드-b-이소프렌)을 포함하며, 이에 제한되지는 않는다. 기호 "b"는 "블록"을 나타낸다. 이들은 이중-블록(di-block) 공중합체 예시들이지만, 자가-조립은 삼중-블록, 사중-블록 또는 다른 다중-블록 공중합체를 채택할 수도 있음이 분명할 것이다.
기판 표면 상으로 (BCP와 같은) 중합체의 자가-조립을 안내 또는 지향하기 위해 사용되는 한 가지 방법은 그래포에피택시(graphoepitaxy)로 알려져 있다. 이 방법은 레지스트의 구성된 1 이상의 피처들(또는 레지스트로부터 기판 표면 상에 전사된 1 이상의 피처들, 또는 기판 표면 상에 증착된 필름 스택(film stack) 상에 전사된 1 이상의 피처들)을 이용하여 기판 상의 토폴로지 사전-패터닝(topological pre-patterning)에 의해 안내되는 BCP의 자가-조직화(self-organization)를 수반한다. 사전-패터닝은 기판 베이스 및 레지스트의 측벽, 예를 들어 한 쌍의 마주하는 측벽들(또는 필름 내에 형성된 측벽 또는 기판 내에 형성된 측벽)을 포함한 "트렌치" 또는 포위부(enclosure)를 형성하는 데 사용된다.
전형적으로, 그래포에피택시 템플릿의 피처의 높이는 질서배열될 BCP 층의 두께 정도이므로, 예를 들어 약 20 nm 내지 약 150 nm일 수 있다.
라멜라형 자가-조립된 BCP는 트렌치들에 상이한 중합체 블록 도메인들의 인접한 라인들을 갖는 리소그래피 피처들의 평행한 선형 패턴을 형성할 수 있다. 예를 들어, BCP가 중합체 사슬 내에 A 및 B 블록들을 갖는 이중-블록 공중합체인 경우, BCP는 각각의 트렌치에서 질서배열된 층으로 자가-조립가능할 수 있고, 상기 층은 B 블록들의 제 2 도메인들과 교번하는, 일정하게 이격된 A 블록들의 제 1 도메인들을 포함한다.
이와 유사하게, 실린더형 자가-조립된 BCP는 제 2 연속 도메인에 의해 둘러싸인 실린더형 불연속 제 1 도메인들의 일정하게 이격된 평행한 라인들을 포함한 리소그래피 피처들의 질서배열된 패턴을 형성할 수 있다. 예를 들어, BCP가 중합체 사슬 내에 A 및 B 블록들을 갖는 이중-블록 공중합체인 경우, A 블록들은 트렌치 전체에 걸쳐 일정하게 이격되고 B 블록들의 연속 도메인에 의해 둘러싸인 실린더형 불연속 도메인들로 조립가능할 수 있다.
그러므로, 그래포에피택시는 BCP 패턴이 교번하는 공중합체 패턴들의 도메인들로 측벽(들)의 간격을 세분화하도록 라멜라형 또는 실린더형 상들의 자가-조직화를 안내하기 위해 사용될 수 있다.
나노제작에서 BCP 자가-조립의 사용을 구현하기 위한 공정에서, 기판에 대한 자가-조립 패턴의 바람직한 배향을 유도하기 위해, 그래포에피택시 템플릿의 일부분으로서, 중성 배향 제어 층(neutral orientation control layer)으로 기판이 개질될 수 있다. 자가-조립가능한 중합체 층들에 사용되는 몇몇 BCP들에 대하여, 배향을 유도할 수 있는 기판 표면과 블록들 중 하나 사이의 우선적인 상호작용이 존재할 수 있다. 예를 들어, 폴리스티렌(PS)-b-PMMA BCP에 대하여, PMMA 블록은 우선적으로 산화물 표면을 습식화할(즉, 산화물 표면과 높은 화학적 친화력을 가질) 것이며, 이는 표면의 평면에 실질적으로 평행하게 배향되어 놓이도록 자가-조립된 패턴을 유도하는 데 사용될 수 있다. 예를 들어, 표면 상에 중성 배향 층을 증착하여 기판 표면을 두 블록들에 대해 중성화함으로써 실질적으로 수직 배향이 유도될 수 있으며, 다시 말해 중성 배향 층이 각각의 블록에 대해 유사한 화학적 친화력을 가져 두 블록들이 유사한 방식으로 표면에서 중성 배향 층을 습식화하게 된다. "수직 배향"은, 각각의 블록의 도메인들이 기판 표면에 나란히 위치되고 상이한 블록들의 인접한 도메인들 사이의 계면 영역들이 표면의 평면에 실질적으로 수직으로 놓일 것을 의미한다.
A 및 B 블록들을 갖는 이중-블록 공중합체를 정렬하기 위한 그래포에피택시 템플릿에서(여기서, 본질적으로 A는 친수성이고 B는 소수성임), 그래포에피택시 패턴은 소수성 레지스트 피처들 사이에 중성 배향 베이스를 갖는 소수성 레지스트 측벽 피처들을 포함할 수 있다. B 도메인은 소수성 레지스트 피처들 옆에 우선적으로 조립될 수 있으며, A 및 B 블록들의 수 개의 교번하는 도메인들이 그래포에피택시 템플릿의 피닝 레지스트 피처들(pinning resist features) 사이의 중성 배향 영역에 걸쳐 정렬된다.
중성 배향 층은, 예를 들어 기판 표면에서 산화물에 대한 하이드록실 말단기 또는 몇몇 다른 반응성 말단기의 반응에 의해 기판에 공유 결합되는 랜덤 공중합체 브러시들(random copolymer brushes)의 사용에 의해 생성될 수 있다. 중성 배향 층 형성을 위한 다른 구성들에서, 가교결합가능한 랜덤 공중합체(crosslinkable random copolymer) 또는 적절한 실란[즉, (트리)클로로실란 또는 (트리)메톡시실란(또한, 실릴이라고도 알려짐) 말단기와 같은 치환된 반응성 실란을 갖는 분자들]이 자가-조립가능한 중합체의 층과 기판 표면 사이의 중간 층으로서 작용함으로써 표면을 중성화하는 데 사용될 수 있다. 이러한 실란계 중성 배향 층은 통상적으로 단분자층(monolayer)으로서 존재하는 반면, 가교결합가능한 중합체는 통상적으로 단분자층으로서 존재하지 않고, 통상적으로 약 40 nm 또는 그보다 얇은 층 두께, 또는 약 20 nm 또는 그보다 얇은 층 두께를 가질 수 있다.
자가-조립가능한 BCP의 얇은 층이 앞서 설명된 바와 같은 그래포에피택시 템플릿을 갖는 기판 상에 증착될 수 있다. 자가-조립가능한 중합체의 증착에 적절한 방법은 스핀-코팅인데, 이는 이 공정이 명확하게 정의된(well-defined) 균일한 얇은 층의 자가-조립가능한 중합체를 제공할 수 있기 때문이다. 증착된 자가-조립가능한 중합체 막에 대한 적절한 층 두께는 약 10 nm 내지 150 nm이다.
BCP 막의 증착 후, 막은 여전히 무질서하거나 부분적으로만 질서배열될 수 있으며, 자가-조립을 촉진 및/또는 완성하기 위해 1 이상의 추가 단계들이 요구될 수 있다. 예를 들어, 자가-조립가능한 중합체는 용매 내의 용액으로서 증착될 수 있으며, 용매는 자가-조립 이전에, 예를 들어 증발에 의해 제거된다.
BCP의 자가-조립은 다수의 작은 성분들(BCP)의 조립이 더 크고 더 복잡한 구조체(자가-조립된 패턴의 나노미터 크기의 피처들, 본 명세서에서는 도메인들이라고 함)의 형성을 유도하는 공정이다. 중합체의 자가-조립을 제어하는 물리적 현상(physics)으로부터 자연적으로 결함들이 발생한다. 자가-조립은, 고려중인 시스템에 대하여 플로리-허긴스 이론(Flory-Huggins theory)에 의해 설명되는 상 분리에 대한 구동력으로, A-B BCP의 A/A, B/B 및 A/B(또는 B/A) 블록 쌍들 사이의 상호작용들의 차이(즉, 상호간 화학적 친화력의 차이)들에 의해 구동된다. 그래포에피택시의 사용은 결함 형성을 크게 감소시킬 수 있다.
자가-조립을 겪는 중합체에 대하여, 자가-조립가능한 중합체는 질서-무질서 온도(To/d)를 나타낼 것이다. To/d는 시차 주사 열량측정법(differential scanning calorimetry: DSC)과 같이 중합체의 질서/무질서 상태를 평가하기 위한 여하한의 적절한 기술에 의해 측정될 수 있다. 층 형성이 이 온도 아래에서 일어나는 경우, 분자들은 자가-조립되도록 구동될 것이다. 온도(To/d) 이상에서는, 무질서한 층이 층 내의 이웃하는 A-A 및 B-B 블록 쌍들 간의 우호적인 상호작용들에 기인한 엔탈피 기여(enthalpy contribution)보다 큰 무질서한 A/B 도메인들로부터의 엔트로피 기여(entropy contribution)로 형성될 것이다. 또한, 자가-조립가능한 중합체는 유리 전이 온도(glass transition temperature: Tg)를 나타낼 수 있으며, 이 온도 아래에서 중합체는 효과적으로 부동화(immobilize)되고, 이 온도 이상에서 공중합체 분자들은 이웃하는 공중합체 분자들에 대해 층 내에서 여전히 재배향(reorient)될 수 있다. 유리 전이 온도는 시차 주사 열량측정법(DSC)에 의해 적절히 측정된다.
앞서 설명된 바와 같은 질서배열(ordering) 동안 형성된 결함들은 어닐링에 의해 부분적으로 제거될 수 있다. [회전 대칭이 어긋난(violated), 예를 들어 디렉터(director)의 배향에 결함이 존재하는 라인 결함인] 회위(disclination)와 같은 결함은, 부호가 반대인 다른 결함 또는 회위와 쌍을 이룸으로써 소멸(annihilate)될 수 있다. 자가-조립가능한 중합체의 사슬 이동도가 결함 이동(defect migration) 및 소멸을 결정하는 인자일 수 있으므로, 사슬 이동도는 높지만 자가-조립된 질서배열된 패턴이 손실되지 않는 온도에서 어닐링이 수행될 수 있다. 이는 중합체에 대한 질서/무질서 온도(To/d) 위 또는 아래로 몇 ℃까지의 온도를 의미한다.
질서배열 및 결함 소멸이 단일 어닐링 공정 내로 조합될 수 있거나, 복수의 공정들이 상이한 화학적 타입의 도메인들(상이한 블록 타입들의 도메인들)의 질서배열된 패턴을 갖는 BCP와 같은 자가-조립된 중합체의 층을 제공하기 위해 사용될 수 있다.
자가-조립된 중합체 층으로부터, 자가-조립된 중합체가 증착되는 기판 내로 디바이스 구조(device architecture) 또는 토폴로지(topology)와 같은 패턴을 전사하기 위해, 통상적으로 제 1 도메인 타입이 소위 브레이크스루 에칭(breakthrough etching)에 의해 제거되어 제 2 도메인 타입의 피처들 사이에 기판이 드러난 상태에서 기판의 표면에 제 2 도메인 타입의 패턴을 제공할 것이다. 평행한 실린더형 상 도메인들을 갖는 패턴이 건식 에칭 또는 반응성 이온 에칭 기술을 이용하여 에칭될 수 있다. 라멜라형 상 도메인들을 갖는 패턴이 평행한 실린더형 상 도메인들의 에칭에 적절한 것들에 추가하여, 또는 이에 대안적으로 습식 에칭 기술을 이용할 수 있다.
브레이크스루 에칭 후 패턴은, 제 2 도메인 타입이 견디는(resist), 및 이에 따라 표면이 드러난 기판 표면에 후퇴부들을 형성하는 에칭 수단을 이용하여 소위 전사 에칭(transfer etching)에 의해 전사될 수 있다.
리소그래피 피처들 사이의 간격은 리소그래피 피처의 하나의 반복 단위의 폭(즉, 피처-간 간격을 더한 피처 폭)으로서 정의되는 피치(pitch)로 알려져 있다. 특히 낮은 피치, 통상적으로 30 내지 50 nm 미만의 피치를 갖는 리소그래피 피처들을 생성하기 위해 BCP를 이용한 자가-조립 공정이 사용될 수 있다.
예를 들어, 하나의 처리 단계를 이용하여 리소그래피 피처들의 다수 세트들을 구성할 수 있는 데 유용할 것이며, 하나의 세트의 리소그래피 피처들은 또 다른 세트의 리소그래피 피처들과 상이한 피치로 이루어진다. 현재 방법들은 다수 처리 단계들을 수반한다["피치 분할(pitch division)" 및 "다중-패터닝 분할(multi-patterning split)" 모두 다수 처리 단계들을 수반함].
본 발명의 일 실시예의 목적은, 예를 들어 본 명세서에 기재된 1 이상의 단점들, 또는 본 기술분야와 연계된 1 이상의 다른 단점들을 제거하거나 완화시키는 것이다.
일 실시예에 따르면, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법이 제공되고, 상기 방법은:
기판 상에 복수의 트렌치들을 제공하는 단계 -각각의 트렌치는 마주하는 측벽들 및 베이스를 포함하고, 측벽들은 이들 사이에 폭을 가지며, 제 1 트렌치가 제 2 트렌치보다 더 큰 폭을 가짐- ;
복수의 트렌치들에 제 1 및 제 2 블록들을 갖는 자가-조립가능한 블록 공중합체를 제공하는 단계;
자가-조립가능한 블록 공중합체가 각각의 트렌치에서 질서배열된 층으로 자가-조립하게 하는 단계 -상기 층은 제 2 블록의 제 2 도메인과 교번하는 제 1 블록의 제 1 도메인을 포함하고, 제 1 및 제 2 트렌치들은 동일한 수의 각각의 도메인을 가짐- ; 및
각각의 트렌치를 따라 제 2 도메인으로 구성된 리소그래피 피처들의 일정하게 이격된 열(row)들을 형성하도록 제 1 도메인을 선택적으로 제거하는 단계
를 포함하며, 제 1 트렌치에서의 피처들의 피치는 제 2 트렌치에서의 피처들의 피치보다 더 크다.
기판은 다양한 폭들의 트렌치들을 가질 수 있다. 여하한의 주어진 트렌치 폭에 대해, 블록 공중합체(BCP)의 다수 도메인들이 형성될 수 있으며, 상이한 타입들의 BCP들이 우선적으로 소정 수의 도메인들을 채택한다. 대체로, 더 큰 트렌치들이 더 작은 트렌치들보다 더 많은 수의 도메인들을 갖는 질서배열된 층들의 형성을 초래할 것이다. 따라서, 도메인들의 수는 (주어진 BCP에 대해) 트렌치 크기와 선형 관계를 따르는 것으로 언급될 수 있다.
트렌치 크기와 도메인들의 수의 선형 관계 이외에, 트렌치 폭의 비교적 작은 증가(이는 형성된 도메인들의 수를 증가시키도록 충분히 크지는 않음)가 더 큰 피치의 도메인들의 형성을 초래[즉, 피치는 늘어남(stretched)]한다는 것이 예기치 않게 발견되었다. 그 후, 트렌치 폭의 추가 증가는 각각의 도메인의 피치가 늘어나지 않은 상태로 되돌아가는 지점에서 형성된 도메인들의 수를 증가시키기에 충분할 수 있다, 즉 피치는 추가 도메인을 수용하도록 감소한다. 그러므로, 트렌치 폭의 적절한 선택에 의해 각각의 트렌치 내에 소정 수의 도메인들 및 도메인 피치를 갖는 자가-조립된 BCP의 질서배열된 층을 생성하는 것이 가능하다. 추가적으로, 도메인들의 상이한 피치들의 질서배열된 층들이 BCP의 단일 타입을 이용하여 생성될 수 있기 때문에, 하나의 단계에서 리소그래피 피처들의 다수 트렌치들을 갖는 기판을 생성하는 것이 가능하며, 상이한 트렌치들의 리소그래피 피처들은 상이한 피치로 이루어진다. 또한, BCP의 선택 및 트렌치 폭의 적절한 고려에 의해, 특정 피치들의 소정 수의 리소그래피 피처들을 갖는 이러한 트렌치들을 일관적으로 생성하는 것이 가능하다.
적절하다면, 본 발명의 각각의 실시형태에 다음 특징들이 적용가능하다. 적절한 경우, 다음 특징들의 조합들이 예를 들어 청구항들에 설명되는 바와 같이 본 발명의 일부로서 채택될 수 있다. 본 발명은 디바이스 리소그래피에서 사용하기에 특히 적절하다. 예를 들어, 본 발명은 직접 디바이스를 형성하기 위해 사용되는 기판을 패터닝하는 데 유용할 수 있으며, 또는 임프린트 리소그래피에서 사용되는 임프린트 템플릿을 패터닝하는 데(이는 그 후 디바이스들을 형성하기 위해 사용될 수 있음) 유용할 수 있다.
4 이상의 트렌치들이 제공될 수 있으며, 제 3 및 제 4 트렌치들은 동일한 수의 각각의 도메인을 갖고, 제 2 및 제 3 트렌치들은 상이한 수의 각각의 도메인을 갖는다.
제 3 트렌치는 제 4 트렌치보다 더 큰 폭을 가질 수 있다.
리소그래피 피처들은 작게는 약 20 nm까지의 피치를 가질 수 있고, 작게는 약 10 nm까지의 피치를 가질 수 있다. 리소그래피 피처들은 크게는 약 40 nm까지의 피치를 가질 수 있다.
기판은 반도체 기판일 수 있고, 기판을 형성하는 복수의 층들을 포함할 수 있다. 예를 들어, 기판의 가장 바깥쪽 층은 ARC(반사-방지 코팅) 층일 수 있다.
기판의 가장 바깥쪽 층은 BCP의 도메인들에 대해 중성일 수 있으며, 이는 이것이 BCP의 도메인 타입들 각각에 대해 유사한 화학적 친화력을 갖는다는 것을 의미한다. 중성 배향 층은, 예를 들어 랜덤 공중합체 브러시들의 사용에 의해 생성될 수 있다. 대안적으로, 기판에 대한 자가-조립 패턴의 바람직한 배향을 유도하기 위해, 기판의 최상위 또는 가장 바깥쪽 표면 층으로서 배향 제어 층이 제공될 수 있다.
마주하는 측벽들을 포함한 트렌치는 포토리소그래피에 의해, 예를 들어 UV, EUV 또는 DUV(deep UV) 방사선과 같은 화학 방사선으로 형성될 수 있다. 예를 들어, 트렌치는 레지스트 내에 형성될 수 있다. 예를 들어, 트렌치는 (예를 들어, 레지스트로부터 기판 상으로 전사되어) 기판 표면 상에 형성될 수 있다. 예를 들어, 트렌치는 (예를 들어, 레지스트로부터 필름 스택 상으로 전사되어) 필름 스택 내에 형성될 수 있다.
트렌치의 높이는 질서배열될 BCP 층의 두께 정도일 수 있다. 트렌치의 높이는, 예를 들어 약 20 nm 내지 약 150 nm(예를 들어, 약 100 nm)일 수 있다. 트렌치는 약 200 nm 이하의 폭을 가질 수 있다.
자가 조립을 지향하고 결함들을 감소시키기 위해, 측벽들은 BCP의 블록 중 하나에 대해 더 높은 화학적 친화력을 가져, 조립 시 측벽과 더 높은 화학적 친화력을 갖는 블록이 그 측벽 옆에 조립하게 되도록 할 수 있다. 화학적 친화력은 소수성 또는 친수성 측벽 피처들을 이용함으로써 제공될 수 있다.
BCP의 용액의 스핀 코팅 후 용매의 제거에 의해, 트렌치 내에 자가-조립가능한 BCP의 층을 제공하는 것이 수행될 수 있다.
자가-조립가능한 BCP는 트렌치 내에 자가-조립된 BCP의 질서배열된 층을 제공하기 위해, BCP에 대해 To/d보다 낮은 온도로 온도를 낮춤으로써 자가-조립하게 될 수 있다.
BCP는 제 2 블록의 1 이상의 제 2 도메인들과 교번하는, 슬롯 내에 나란히 자가-조립된 제 1 블록의 1 이상의 제 1 도메인들의 열을 포함한 질서배열된 층을 형성하도록 구성될 수 있다. 방법은 실린더형 어레이의 제 1 블록의 제 1 불연속 도메인들 -이들 사이에 제 2 블록의 제 2 연속 도메인이 교번함- 을 갖는 질서배열된 층을 형성하도록 구성되는 자가-조립가능한 BCP를 수반할 수 있으며, 상기 도메인들은 측벽들에 실질적으로 평행하게 및 기판에 실질적으로 평행하게 배향된다. 또 다른 적절한 구성에서, BCP는 라멜라형 질서배열된 층인 질서배열된 층을 형성하도록 구성될 수 있으며, 제 1 도메인들은 라멜라이고, 역시 라멜라인 제 2 도메인들과 교번하며, 제 1 및 제 2 도메인들의 라멜라는 기판에 실질적으로 수직으로 및 측벽들과 실질적으로 평행하게 놓이는 평면들로 배향된다. 실린더형 어레이들이 라멜라형 상들보다 더 넓은 범위의 피치들을 커버할 수 있다.
도메인들 중 하나를 선택적으로 제거하는 것은 예를 들어 에칭에 의해 달성될 수 있으며, 자가-조립된 BCP의 질서배열된 층은 기판 상의 트렌치를 따라 일정하게 이격된 리소그래피 피처들의 열을 에칭하는 레지스트 층으로서 작용한다. 선택적인 에칭은 상이한 에칭 레지스트 특성들을 갖는 중합체들을 이용함으로써, 그리고 중합체 도메인들 중 1 이상을 선택적으로 에칭할 수 있는 에천트(etchant)의 선택에 의해 달성될 수 있다. 선택적인 제거는, 예를 들어 공중합체의 블록들 사이의 결합제(linking agent)의 선택적인 광-분해 또는 광-절단, 및 블록들 중 하나의 후속한 가용화(solubilization)에 의해 달성될 수 있다.
본 발명의 일 실시예는 리소그래피 방법에 관한 것이다. 상기 방법은 전자 디바이스 및 집적 회로와 같은 디바이스의 제조를 위한 공정, 또는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드, 유기 발광 다이오드 등의 제조와 같이 다른 적용예들에서 사용될 수 있다. 본 발명의 일 실시예는 집적 회로, 자기 저장 디바이스(예를 들어, 하드 드라이브)용 비트-패턴 매체(bit-patterned media) 및/또는 이산 트랙 매체(discrete track media)의 제조에 사용하기 위해 표면에 규칙적인 나노구조체를 생성하는 데 사용된다.
본 발명의 일 실시예는 전계 효과 트랜지스터(FET)의 제작에 유용하며, 특히 핀펫(FinFET)의 제작에 유용하다. 핀펫은 1 이상의 키 크고 얇은 전도 채널들(핀과 유사하고, 트랜지스터 소스 및 드레인 사이의 경로를 정의함)이 전압의 적용에 의해 채널 전도도를 변조시킬 수 있는 게이트에 의해 감싸이는 특정 타입의 FET이다. 핀펫 채널들은 일관된 피치를 가져야 하는데, 이는 채널 피치가 트랜지스터의 전도 채널을 통해 전자 흐름을 허용하기 위해 필요한 게이트 전압에 영향을 주기 때문이다[이 전압은 임계 전압(VTH)이라고 알려짐]. 낮은 피치, 낮은 임계 전압의 핀펫들은 빠른/낮은 파워(fast/low-power) 적용들에 유용한 한편, 높은 피치, 높은 임계 전압의 핀펫들은 낮은 전자 누출이 요구되는 적용들에 유용하다. 통상적으로, 단일 디바이스가 높고 낮은 임계 전압 핀펫들을 둘 다 포함한다.
본 명세서에서 설명된 방법을 이용하여 생성될 수 있는 일정하게 이격된 리소그래피 피처들은 핀펫 적용들을 위한 전도 채널들로서 사용하기에 적절하다. 앞서 언급된 바와 같이, 상기 방법은 동일한 기판 상에 상이한 피치들의 리소그래피 피처들을 갖는 다수 트렌치들을 생성할 수 있다. 이 방법을 핀펫 구성에 적용하는 경우, 이는 단일 기판 상에, 하나의 핀펫의 채널 피치가 또 다른 핀펫의 채널 피치와 상이하게 만들어질 수 있는 다수 핀펫들의 형성을 허용한다. 상이한 피치들을 갖는 채널들이 단일 단계에서 만들어질 수 있다. 이에 따라, 그리고 트렌치 폭의 적절한 선택에 의해, 본 명세서에서 설명된 방법은 다수 핀펫들을 갖는 디바이스들의 구성에 유용하며, 핀펫들 중 일부는 낮은 임계 전압을 갖는 한편(즉, 빠른/낮은 파워 적용들을 수행할 수 있음), 다른 것들은 높은 임계 전압을 갖는다(즉, 낮은 전자 누출을 필요로 하는 적용들을 수행할 수 있음).
자가-조립가능한 BCP는 제 1 및 제 2 도메인 타입들로 연계되는 상이한 블록 타입들을 갖는 질서배열된 중합체 층으로 자가-조립가능한 적어도 2 개의 상이한 블록 타입들 -제 1 및 제 2 중합체 블록들로 언급됨- 을 포함하는 앞서 설명된 바와 같은 BCP일 수 있다. BCP는 이중-블록 공중합체, 삼중-블록 및/또는 다중-블록 공중합체를 포함할 수 있다. 또한, 교번하는 또는 주기적인 BCP들이 자가-조립가능한 BCP에서 사용될 수 있다.
본 명세서에서 "화학적 친화력"은 2 개의 상이한 화학종(chemical species)이 함께 연계되는 성향을 의미한다. 예를 들어, 본질적으로 친수성인 화학종이 물에 대해 높은 화학적 친화력을 갖는 반면, 소수성 화합물은 물에 대해 낮은 화학적 친화력을 갖지만, 알칸에 대해서는 높은 화학적 친화력을 갖는다. 본질적으로 극성이 있는 화학종은 다른 극성 화합물들 및 물에 대해 높은 화학적 친화력을 갖는 반면, 무극성(apolar), 비-극성 또는 소수성 화합물들은 물 및 극성 종에 대해 낮은 화학적 친화력을 갖지만, 알칸 등과 같은 다른 비-극성 종에 대해서는 높은 화학적 친화력을 나타낼 수 있다. 화학적 친화력은 2 개의 화학종 사이의 계면과 연계된 자유 에너지와 관련이 있다: 계면 자유 에너지가 높은 경우, 2 개의 종들은 서로에 대해 낮은 화학적 친화력을 갖는 반면, 계면 자유 에너지가 낮은 경우, 2 개의 종들은 서로에 대해 높은 화학적 친화력을 갖는다. 또한, 화학적 친화력은 "습식화(wetting)"라는 용어로도 표현될 수 있으며, 액체 및 표면이 서로에 대해 높은 화학적 친화력을 갖는 경우 액체가 고체 표면을 습식화하는 반면, 낮은 화학적 친화력이 존재하는 경우 액체는 표면을 습식화하지 않을 것이다. 표면들의 화학적 친화력은 예를 들어 다양한 액체들을 이용한 접촉각 측정들에 의해 측정될 수 있으며, 하나의 표면이 또 다른 표면과 액체에 대해 동일한 접촉각을 갖는 경우 두 표면들은 액체에 대해 실질적으로 동일한 화학적 친화력을 갖는 것으로 언급될 수 있다. 접촉각들이 두 표면들에 대해 상이한 경우, 더 작은 접촉각을 갖는 표면이 더 큰 접촉각을 갖는 표면보다 액체에 대해 더 높은 화학적 친화력을 갖는다.
본 명세서에서 "화학종"은 분자, 소중합체(oligomer) 또는 중합체와 같은 화학적 화합물을 의미하거나, 또는 양친매성 분자[즉, 상이한 화학적 친화력을 갖는 적어도 2 개의 상호연결 성분(interconnected moiety)을 갖는 분자]의 경우 "화학종"이라는 용어는 이러한 분자들의 상이한 성분들을 칭할 수 있다. 예를 들어, 이중-블록 공중합체의 경우, 블록 공중합체 분자를 구성하는 2 개의 상이한 중합체 블록들이 상이한 화학적 친화력을 갖는 2 개의 상이한 화학종이라고 간주된다.
본 명세서 전체에서, "포함하는" 또는 "포함한다"라는 용어는 명시된 구성요소(들)를 포함하되 다른 구성요소들의 존재도 배제하지 않음을 의미한다. "필수적으로 구성되는" 또는 "필수적으로 구성된다"라는 용어는 명시된 구성요소들을 포함하되, 본 발명의 기술적 효과를 달성하는 것 이외의 목적을 위해 추가된 구성요소들, 명시된 구성요소들을 제공하는 데 사용된 공정들의 결과로서 존재하는 불가피한 재료들, 및 불순물들로서 존재하는 재료들을 제외한 다른 구성요소들을 배제함을 의미한다. 전형적으로, 구성요소들의 일 세트로 필수적으로 구성되는 조성은 명시되지 않은 구성요소들을 5 중량% 미만, 전형적으로는 3 중량% 미만, 더 전형적으로는 1 중량% 미만 포함할 것이다. "구성된다" 또는 "구성되는"이라는 용어는 명시된 구성요소들을 포함하되 다른 구성요소들의 의도적인 추가는 배제함을 의미한다.
적절한 경우, "포함한다" 또는 "포함하는"이라는 용어의 사용은 "구성된다" 또는 "구성되는", "필수적으로 구성된다" 또는 "필수적으로 구성되는"의 의미를 포함하는 것으로 취해질 수도 있다.
본 명세서에서, 피처의 두께가 언급되는 경우, 두께는 적절하게는 피처의 중심(centroid)을 통과하고 기판 표면에 실질적으로 수직인 축선을 따라 적절한 수단에 의해 측정된다. 두께는 적절하게는 에칭률(etch rate)의 정보를 통해 평가되거나, 간섭법과 같은 기술에 의해 측정될 수 있다.
본 명세서에서 "층"을 언급한 어떤 경우에도, 언급된 층은 존재한다면 실질적으로 균일한 두께의 층인 것으로 고려되어야 한다. "실질적으로 균일한 두께"는 두께가 층을 가로질러 그 평균 값의 10 % 이하, 바람직하게는 5 % 이하까지만 변동함을 의미한다.
본 명세서에서, "기판"이라는 용어는 기판의 표면에 존재할 수 있거나 이를 형성할 수 있는 1 이상의 평탄화 층들 또는 반사-방지 코팅 층들과 같이, 기판에 제공되거나 기판의 일부분을 형성하는 여하한의 표면 층을 포함하는 것으로 의도되며, 또는 본 명세서에 구체적으로 언급되는 것과 같은 1 이상의 다른 층들을 포함할 수 있다.
첨부된 도면들을 참조하여 본 발명의 특정 실시예들을 설명할 것이다:
도 1a 내지 도 1c는 그래포에피택시에 의한 기판 상으로의 A-B 블록 공중합체의 지향된 자가-조립, 및 하나의 도메인의 선택적인 에칭에 의한 일정하게 이격된 리소그래피 피처들의 형성을 개략적으로 도시하는 도면;
도 2a 내지 도 2c는 본 발명의 일 실시예에 따라 트렌치 폭을 증가시킴으로써 달성가능한 도메인 피치의 증가를 개략적으로 도시하는 도면;
도 3a 내지 도 3c는 그래포에피택시에 의한 기판 상으로의 A-B 블록 공중합체의 지향된 자가-조립, 트렌치 폭을 증가시킴으로써 달성가능한 도메인 피치의 증가, 및 하나의 도메인의 선택적인 에칭에 의한 일정하게 이격된 리소그래피 피처들의 형성을 개략적으로 도시하는 도면;
도 4는 본 발명의 일 실시예에 따라 생성된 핀펫을 개략적으로 도시하는 도면;
도 5는 상이한 피치의 리소그래피 피처들이 제공된 상이한 폭들의 다수 트렌치들을 갖는 디바이스의 개략적인 표현; 및
도 6은 본 발명의 일 실시예에서 생성된 피처들의 피치 및 열들의 수 및 트렌치 폭 간의 관계를 나타내는 도면이다.
설명되고 예시된 실시예들은 예시적이고 특징을 제한하지 않는 것으로 간주되어야 하며, 바람직한 실시예들만이 도시 및/또는 설명되었고 청구항들에 정의된 바와 같은 본 발명의 범위 내에 있는 모든 변형들 및 수정들이 보호되도록 요구된다는 것을 이해한다.
도 1a는 1 이상의 측벽(3)들 및 저부면(4)에 의해 한정되고 트렌치(2)가 형성되어 있는 기판(1)을 나타낸다. 도 1b에서, BCP의 증착 시 별개의 마이크로-간격의 주기적인 도메인들로 분리된 라멜라형 상으로서 증착시킨 A 및 B 도메인들의 교번하는 스트라이프(stripe)들을 갖는 층(5)을 형성하기 위해, 친수성 A(음영 부분) 및 소수성 B(음영이 아닌 부분) 블록들을 갖는 자가-조립가능한 A-B 블록 공중합체가 트렌치 내에 증착되었다. 도 1c에서, 타입 A 도메인들은 선택적인 제거에 의해 제거되어, 리소그래피 피처(6)들의 다수의 일정하게 이격된 열들로서 타입 B 도메인들이 남았다.
선택적인 제거는 예를 들어 화학적 에칭에 의해 달성될 수 있으며, 이는 에칭을 향한 상대적 민감성(relative susceptibility)으로 인해 달성될 수 있고, A 블록이 비교적 에칭이 쉬운 한편, B 블록은 비교적 에칭에 잘 견딘다. 예를 들어, 공중합체의 블록들 사이의 결합제의 선택적인 광-분해 또는 광-절단, 및 블록들 중 하나의 후속한 가용화에 의해 선택적인 제거가 달성될 수 있다. 일 실시예가 자가-조립된 BCP를 이용하여 기판 상에, 기판을 따라 나란히 위치된 일정하게 이격된 리소그래피 피처들의 열들의 형성을 허용하여, 이에 따라 밀접하게 배치되고 크기가 작은 피처들을 제공한다.
일 실시예에서(예시되지 않음), 에칭(또는 다른 제거 공정)은 기판(1)에 에칭될 수 있다. 이후, 타입 B 도메인이 제거되어, 기판에 형성된 리소그래피 피처들의 일정하게 이격된 열들을 남길 수 있다.
나타낸 실시예에 대한 변형예에서, 트렌치의 1 이상의 측벽들이 BCP의 블록들 중 하나에 대해 더 높은 화학적 친화력을 가질 수 있다. 예를 들어, A 및 B 블록들을 갖는 이중-블록 공중합체를 정렬하기 위한 트렌치에서 -이때, 본질적으로 A는 소수성이고 B는 친수성임- , 트렌치는 소수성 레지스트 측벽 피처들을 포함할 수 있고, 이들 사이에 중성 배향 베이스를 갖는다. A 도메인은 소수성 레지스트 피처들 옆에 우선적으로 조립될 수 있으며, A 및 B 블록들의 수 개의 교번하는 도메인들이 트렌치의 레지스트 피처들 사이의 중성 배향 베이스에 걸쳐 정렬된다.
도 2a는 자가-조립된 BCP를 이용하여 일정하게 이격된 리소그래피 피처(6)들의 열들이 위치된 기판(1)을 나타낸다. 리소그래피 피처들의 3 열이 트렌치(2) 내에 형성되었고, 각각의 피처는 "X"로 표시된 소정 피치를 갖는다. 앞서 언급된 바와 같이, 피치는 도면에서 2 개의 가장 왼쪽 피처들의 중심 아래로의 점선들에 의해 나타낸 바와 같은 리소그래피 피처의 하나의 반복 단위의 폭으로서 정의된다. 도 2b에서 트렌치의 폭은, 형성된 피처들의 열의 수는 동일하지만, ("Y"로 표시된) 각각의 피처의 피치가 도 2a의 피처들의 피치에 비해 증가되도록 약간 증가되었다. 도 2c에서 트렌치의 폭은 더 확대되었고, 이때 형성된 피처들의 열의 수가 4 개로 증가한 한편, ("Z"로 표시된) 각각의 피처의 피치는 도 2a의 것과 유사한 값으로 감소하였다(즉, Z는 X와 거의 같음).
도 3은 도 1과 유사한 방식으로 자가-조립된 BCP를 이용하여 일정하게 이격된 리소그래피 피처(6)들의 열들이 위치된 기판(1)을 나타낸다. 도 3에서는, 도 1의 라멜라형 도메인들 대신에 실린더형 도메인들이 형성된다. A(음영 부분) 및 B(음영이 아닌 부분) 블록들을 갖는 자가-조립가능한 A-B 블록 공중합체가 트렌치 내에 증착되어 층(5)을 형성한다. 도 3b에 나타낸 바와 같이, 트렌치의 폭은 형성된 피처들의 열의 수는 동일하지만, 각각의 피처의 피치가 (도 2의 라멜라형 도메인들로 나타낸 것과 동일한 방식으로) 도 3a의 피처들의 피치에 비해 증가되도록 약간 증가되었다. 도 3c에서, 도 3b의 타입 B 도메인이 선택적인 에칭에 의해 제거되어, 리소그래피 피처(6)들의 다수의 일정하게 이격된 열들로서 타입 A 도메인들이 남았다. A 도메인들 바로 아래에 위치된 B 도메인의 작은 부분들이 에칭 후 남는다.
도 4는 도 1 내지 도 3에서 분명히 나타낸 방식으로 생성된 리소그래피 피처들이 핀펫(7)을 구성하는 데 사용된 방법의 특정 적용예를 나타낸다. 핀펫은 게이트(9)로 감싸이는 (핀들로 언급되는) 다수 전도 채널(8)들을 포함한다. 이 실시예에서, (도 1에서 6으로 나타낸) 리소그래피 피처들은 직접 핀펫 전도 채널들로서 사용되었고, 게이트(9)가 채널들에 걸쳐 배치되어 핀펫의 구성을 완료하였다.
도 4는 3 개의 핀들을 갖는 핀펫을 나타내지만, 본 발명의 실시예들은 다른 수의 핀들(예를 들어, 2 개의 핀, 4 개의 핀, 5 개의 핀, 6 개의 핀 또는 그 이상의 핀)을 갖는 핀펫들을 생성하는 데 사용될 수 있다. 여하한의 주어진 수의 핀들에 대해, 인접한 핀들 사이의 간격은 (도 2 및 도 3에 관하여 앞서 설명된 방식으로) 핀들이 형성되는 트렌치의 폭의 적절한 선택을 통해 선택될 수 있다. 핀들은 예를 들어 작게는 약 20 nm까지의 피치를 가질 수 있고, 예를 들어 작게는 약 10 nm까지의 피치를 가질 수 있다. 핀들은 예를 들어 크게는 약 40 nm까지의 피치를 가질 수 있다.
도 5는 [(a), (b) 및 (c)로 나타낸] 핀펫들의 다수 영역들이 본 명세서에서 설명된 방법을 이용하여 단일 디바이스(예를 들어, 집적 회로)에 생성될 수 있음을 분명히 나타낸 디바이스 레이아웃의 개략적인 표현이다. 핀펫들의 각 영역은 특정 임계 전압 또는 다른 특성을 갖는 핀펫들을 포함할 수 있다. 따라서, 예를 들어 영역들 (a) 및 (b)는 둘 다 3 개의 핀들을 갖는 핀펫들을 포함할 수 있지만, 상이한 핀 간격들을 갖는다. 그러므로, 영역 (a)의 핀펫들에 대한 임계 전압은 영역 (b)의 핀펫들에 대한 임계 전압과 상이할 수 있다. 영역 (c)는 예를 들어 4 개의 핀들을 갖는 핀펫들을 포함할 수 있다. 핀들의 수는 트랜지스터 구동 전류(이온) 강도에 영향을 주고, 이는 차례로 스위칭 주파수와 같은 트랜지스터 스위칭 특성에 영향을 미친다. 영역 (c)에서의 핀펫들의 핀들의 간격은, 예를 들어 영역 (a)에서의 핀들의 간격 또는 영역 (b)에서의 핀들의 간격과 동일할 수 있으며, 또는 몇몇 다른 간격일 수 있다.
도 5에 나타낸 디바이스 레이아웃은 단일 공정 단계를 이용하여 형성된다(즉, 디바이스 레이아웃을 얻기 위해 기판 상에 연속 패턴들을 투영시킬 필요가 없음). 일반적으로, 본 발명의 일 실시예는 기판의 단일 리소그래피 공정을 이용하여 상이한 간격들(또는 피치들)을 갖는 리소그래피 피처들의 제작을 허용한다. 본 발명의 일 실시예는 (예를 들어, 도 2 및 도 3에 개략적으로 나타낸 바와 같이) 리소그래피 피처들의 간격들(또는 피치들)의 미세 조정을 허용한다.
도 5 및 연계된 설명은 단지 일 예시이다. 핀펫들의 상이한 수의 영역들을 갖는 집적 회로가 본 발명의 일 실시예를 이용하여 만들어질 수 있다. 상이한 영역들에서의 핀펫들은 상이한 간격들을 갖는 핀들을 가질 수 있고, 및/또는 상이한 수의 핀들을 가질 수 있다. 추가적으로, 각각의 영역에서 임계 전압은 (핀들 간의 간격이 임계 전압을 변화시키는 데 사용되는 것과 동일한 방식으로) 게이트들 사이의 간격을 변화시킴으로써 조정될 수 있다.
예시들
열 피치 및 열들의 수 모두에 대해 트렌치 폭을 증가시킨 효과를 평가하기 위해, 상이한 폭들의 다수의 트렌치들이 실험적으로 형성되었다.
실리콘 웨이퍼들이 노광 전에 반사방지 코팅(93 nm의 층 두께)으로, 및 후속하여 레지스트(100 nm의 층 두께)로 코팅되었다. 100 ℃에서의 60 초 동안의 노광-후 베이크(post-exposure bake) 이후, 레지스트는 웨이퍼 상에 트렌치들의 패턴을 생성하기 위해 네거티브 톤 현상(negative tone development)을 이용하여 현상되었다. 그 후, 웨이퍼는 190 ℃에서 10 분 동안 추가 베이크를 거쳤다. BCP의 어닐링 온도 및 BCP가 용해된 용매 모두에 대해 우수한 저항성을 갖는 레지스트 패턴들을 생성하기 위해 네거티브 톤 현상이 사용되었다. 현상 단계 후, 폴리스티렌-b-메틸메타크릴레이트(PSPMMA) BCP(P2784-SMMA, 37.0-b-16.8, 다분산 지수 1.07)(레지스트 피처들 외부의 층 두께는 40 nm임)가 톨루엔 용액(0.5 내지 2.5 wt%의 BCP 농도)으로부터 스핀 코팅을 통해 적용되었고, 코팅된 층은 2 분동안 90 ℃에서 건조되었다. 웨이퍼는 질소 분위기 하에 30 분 동안 약 200 ℃의 오븐에서 어닐링되었다. BCP 어닐링 후, 웨이퍼는 40 초 동안 반응성 이온 에칭 툴에서 에칭되어, PMMA 상의 완전한 제거를 유도하였다. 기판에 실질적으로 수직으로 놓인 일정하게 이격된 실린더형 상 리소그래피 피처들의 열들이 트렌치들 내에 형성되었다. 열 피치 및 열들의 수는 각각의 경우에 표준 자동 메트롤로지 주사 전자 현미경(SEM)을 이용하여 측정되었고, 결과들은 도 6에 나타낸다.
도 6은 트렌치 폭의 작은 증가들이 열 피치를 어느 정도까지(up until a point) 증가하게(늘어나게) 할 수 있음을 입증한다. 그 후, 트렌치 폭의 추가 증가들은 형성된 도메인들의 수를 증가시키기에 충분할 수 있으며, 이 시점에 각각의 도메인의 피치는 거의 늘어나지 않은 상태로 되돌아간다, 즉 피치는 추가 도메인을 수용하도록 감소한다. 예를 들어, 및 도 6으로부터 판독하면, 대략 50 내지 70 nm의 폭을 갖는 트렌치는 우선적으로 2 열의 피처들을 갖는 질서배열된 층을 형성할 것이다; 이 피처들의 피치는 대략 22 nm 내지 27 nm의 범위에 있다(더 큰 피치들이 더 넓은 트렌치 폭들에 대응함). 하지만, 대략 70 nm 내지 90 nm의 폭을 갖는 트렌치는 우선적으로 3 열의 피처들을 갖는 질서배열된 층을 형성할 것이다; 이 피처들의 피치는 대략 23 내지 28 nm의 범위에 있다.
리소그래피 피처들은 기판에 실질적으로 수직으로 놓이도록 형성되었지만, 기판에 실질적으로 수직으로 놓인 피처들에 대해 유사한 거동이 관찰될 것으로 기대된다는 것을 유의하여야 한다.
앞선 예시에서 트렌치들은 레지스트 내에 형성되지만, 트렌치들은 여하한의 적절한 재료 내에 형성될 수 있다. 예를 들어, 트렌치들은 (레지스트로부터 기판으로 전사되어) 기판 내에 형성될 수 있다. 대안적으로, 트렌치들은 기판 표면 상에 증착된 필름 스택 내에 형성될 수 있다.
본 발명의 실시형태들은 여하한의 편리한 형태로 구현될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명의 일 실시예는 유형 캐리어 매체(tangible carrier media)(예를 들어, 디스크) 또는 무형 캐리어 매체(예를 들어, 통신 신호)일 수 있는 적절한 캐리어 매체에서 전달될 수 있는 1 이상의 적절한 컴퓨터 프로그램들에 의해 구현될 수 있다. 본 발명의 일 실시형태는, 명확하게는 본 발명의 일 실시예를 구현하도록 구성된 컴퓨터 프로그램을 실행하는 프로그램가능한 컴퓨터의 형태를 취할 수 있는 적절한 장치를 이용하여 구현될 수 있다.

Claims (17)

  1. 복수의 일정하게 이격된 리소그래피 피처(regularly spaced lithography feature)들을 형성하는 방법에 있어서:
    기판 상의 복수의 트렌치(trench)들에 제 1 및 제 2 블록들을 갖는 자가-조립가능한 블록 공중합체(self-assemblable block copolymer)를 제공하는 단계 -각각의 트렌치는 마주하는 측벽들 및 베이스를 포함하고, 상기 측벽들 사이에 폭을 가지며, 제 1 트렌치는 제 2 트렌치보다 더 큰 폭을 가짐- ;
    상기 자가-조립가능한 블록 공중합체가 각각의 트렌치에서 질서배열된 층(ordered layer)으로 자가-조립하게 하는 단계 -상기 층은 상기 제 2 블록의 제 2 도메인과 교번하는 상기 제 1 블록의 제 1 도메인을 포함하고, 상기 제 1 및 제 2 트렌치들은 동일한 수의 각각의 도메인을 가짐- ; 및
    각각의 트렌치를 따라 상기 제 2 도메인으로 구성된 리소그래피 피처들의 1 이상의 일정하게 이격된 열(row)들을 형성하도록 상기 제 1 도메인을 선택적으로 제거하는 단계
    를 포함하며, 상기 제 1 트렌치에서의 피처들의 피치(pitch)는 상기 제 2 트렌치에서의 피처들의 피치보다 더 크고,
    상기 일정하게 이격된 리소그래피 피처들의 1 이상의 열들은 FET들의 채널들을 형성하는데 사용되는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 복수의 트렌치들은 4 이상의 트렌치들을 포함하며, 제 3 및 제 4 트렌치들은 동일한 수의 각각의 도메인을 갖고, 제 2 및 제 3 트렌치들은 상이한 수의 각각의 도메인을 갖는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 제 3 트렌치는 상기 제 4 트렌치보다 더 큰 폭을 갖는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 FET들은 핀펫(FinFET)들인, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  6. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 리소그래피 피처들은 10 nm 이상의 피치를 갖는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  7. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 리소그래피 피처들은 40 nm 이하의 피치를 갖는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  8. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 측벽들은 포토리소그래피를 이용하여 형성되는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  9. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 측벽들은 20 nm 내지 150 nm의 높이를 갖도록 구성되는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  10. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 트렌치들은 200 nm 이하의 폭을 갖는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  11. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 측벽들은 상기 블록들 중 하나에 대해 상기 블록들 중 상기 하나를 제외한 나머지보다 더 높은 화학적 친화력을 갖는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  12. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 자가-조립가능한 블록 공중합체는 실린더형 어레이(cylindrical array)의 제 1 블록의 제 1 불연속 도메인들 -이들 사이에 제 2 블록의 제 2 연속 도메인이 교번함- 을 갖는 실린더형 질서배열된 층을 형성하도록 구성되고, 상기 도메인들은 상기 측벽들에 평행하게 및 상기 기판에 평행하게 배향되는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  13. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 자가-조립가능한 블록 공중합체는 라멜라형(lamellar) 질서배열된 층을 형성하도록 구성되며, 상기 제 1 도메인들은 라멜라이고, 역시 라멜라인 제 2 도메인들과 교번하며, 상기 제 1 및 제 2 도메인들의 라멜라는 상기 기판에 수직으로 및 상기 측벽들과 평행하게 놓이는 평면들로 배향되는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  14. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 도메인들 중 하나는 에칭에 의해 선택적으로 제거되는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  15. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 도메인들 중 하나는 광-분해 또는 광-절단에 의해 선택적으로 제거되는 , 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  16. 제 1 항 내지 제 3 항 및 제 5 항 중 어느 한 항에 있어서,
    상기 트렌치들은 레지스트 내에 형성되는, 복수의 일정하게 이격된 리소그래피 피처들을 형성하는 방법.
  17. 삭제
KR1020157025039A 2013-02-14 2014-01-24 블록 공중합체의 자가­조립에 의해 기판 상에 이격된 리소그래피 피처들을 제공하는 방법들 KR101769888B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361764881P 2013-02-14 2013-02-14
US61/764,881 2013-02-14
PCT/EP2014/051451 WO2014124795A1 (en) 2013-02-14 2014-01-24 Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers

Publications (2)

Publication Number Publication Date
KR20150120416A KR20150120416A (ko) 2015-10-27
KR101769888B1 true KR101769888B1 (ko) 2017-08-21

Family

ID=50001004

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157025039A KR101769888B1 (ko) 2013-02-14 2014-01-24 블록 공중합체의 자가­조립에 의해 기판 상에 이격된 리소그래피 피처들을 제공하는 방법들

Country Status (7)

Country Link
US (1) US9368366B2 (ko)
JP (1) JP6194371B2 (ko)
KR (1) KR101769888B1 (ko)
CN (1) CN104995715B (ko)
NL (1) NL2012143A (ko)
TW (1) TWI529816B (ko)
WO (1) WO2014124795A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3078654B1 (en) 2013-12-06 2021-07-07 LG Chem, Ltd. Monomer and block copolymer
CN105873968B (zh) 2013-12-06 2018-09-28 株式会社Lg化学 嵌段共聚物
JP6419820B2 (ja) 2013-12-06 2018-11-07 エルジー・ケム・リミテッド ブロック共重合体
EP3078694B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
EP3101043B1 (en) 2013-12-06 2021-01-27 LG Chem, Ltd. Block copolymer
JP6334706B2 (ja) 2013-12-06 2018-05-30 エルジー・ケム・リミテッド ブロック共重合体
WO2015084131A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
WO2015084123A1 (ko) 2013-12-06 2015-06-11 주식회사 엘지화학 블록 공중합체
CN105899557B (zh) 2013-12-06 2018-10-26 株式会社Lg化学 嵌段共聚物
CN105960422B (zh) 2013-12-06 2019-01-18 株式会社Lg化学 嵌段共聚物
JP6432846B2 (ja) 2013-12-06 2018-12-05 エルジー・ケム・リミテッド ブロック共重合体
EP3078688B1 (en) 2013-12-06 2020-03-04 LG Chem, Ltd. Block copolymer
EP3078687B1 (en) 2013-12-06 2020-06-03 LG Chem, Ltd. Block copolymer
US10227437B2 (en) 2013-12-06 2019-03-12 Lg Chem, Ltd. Block copolymer
KR20150101875A (ko) * 2014-02-27 2015-09-04 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
WO2016053011A1 (ko) 2014-09-30 2016-04-07 주식회사 엘지화학 블록 공중합체
CN107078026B (zh) * 2014-09-30 2020-03-27 株式会社Lg化学 图案化基底的制备方法
US10310378B2 (en) 2014-09-30 2019-06-04 Lg Chem, Ltd. Block copolymer
JP6394798B2 (ja) 2014-09-30 2018-09-26 エルジー・ケム・リミテッド ブロック共重合体
CN107075054B (zh) 2014-09-30 2020-05-05 株式会社Lg化学 嵌段共聚物
CN107077066B9 (zh) * 2014-09-30 2021-05-14 株式会社Lg化学 制造图案化基底的方法
JP6538159B2 (ja) 2014-09-30 2019-07-03 エルジー・ケム・リミテッド ブロック共重合体
US10287429B2 (en) 2014-09-30 2019-05-14 Lg Chem, Ltd. Block copolymer
US10240035B2 (en) 2014-09-30 2019-03-26 Lg Chem, Ltd. Block copolymer
CN107075051B (zh) 2014-09-30 2019-09-03 株式会社Lg化学 嵌段共聚物
EP3454121A1 (en) * 2017-09-06 2019-03-13 IMEC vzw Method for manufacturing a mask
CN112768529B (zh) * 2021-01-28 2022-09-23 福建省晋华集成电路有限公司 一种半导体器件制备方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7625790B2 (en) * 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US8425982B2 (en) * 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8119017B2 (en) * 2008-06-17 2012-02-21 Hitachi Global Storage Technologies Netherlands B.V. Method using block copolymers for making a master mold with high bit-aspect-ratio for nanoimprinting patterned magnetic recording disks
US8349203B2 (en) * 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
WO2011104045A1 (en) * 2010-02-26 2011-09-01 Asml Netherlands B.V. Method and apparatus for treatment of self-assemblable polymer layers for use in lithography
JP5802740B2 (ja) * 2010-04-14 2015-11-04 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィで使用される自己組織化可能な重合体の秩序化された層を提供する方法
NL2006639A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Self-assemblable polymer and method for use in lithography.
JP2012005939A (ja) * 2010-06-23 2012-01-12 Toshiba Corp パターン形成方法
JP5259661B2 (ja) * 2010-09-07 2013-08-07 株式会社東芝 パターン形成方法
WO2012031818A2 (en) * 2010-09-09 2012-03-15 Asml Netherlands B.V. Lithography using self-assembled polymers
JP5171909B2 (ja) 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
US9388268B2 (en) 2010-10-11 2016-07-12 Wisconsin Alumni Research Foundation Patternable polymer block brush layers
US8673541B2 (en) 2010-10-29 2014-03-18 Seagate Technology Llc Block copolymer assembly methods and patterns formed thereby
US20120135159A1 (en) * 2010-11-30 2012-05-31 Seagate Technology Llc System and method for imprint-guided block copolymer nano-patterning
JP4815010B2 (ja) * 2010-12-27 2011-11-16 パナソニック株式会社 ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
US20120196094A1 (en) 2011-01-31 2012-08-02 Seagate Technology Llc Hybrid-guided block copolymer assembly
JP5292423B2 (ja) * 2011-02-25 2013-09-18 株式会社東芝 パターンデータ生成装置、パターンデータ生成方法、及びパターン形成方法
TWI511084B (zh) * 2012-01-20 2015-12-01 Asml Netherlands Bv 可自組聚合物及用於微影之方法
US9235125B2 (en) * 2012-03-02 2016-01-12 Asml Netherlands B.V. Methods of providing patterned chemical epitaxy templates for self-assemblable block copolymers for use in device lithography
US9250528B2 (en) * 2012-04-27 2016-02-02 Asml Netherlands B.V. Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US8771929B2 (en) * 2012-08-16 2014-07-08 International Business Machines Corporation Tone inversion of self-assembled self-aligned structures
US9107291B2 (en) * 2012-11-21 2015-08-11 International Business Machines Corporation Formation of a composite pattern including a periodic pattern self-aligned to a prepattern
US9508562B2 (en) * 2014-06-27 2016-11-29 Globalfoundries Inc. Sidewall image templates for directed self-assembly materials

Also Published As

Publication number Publication date
KR20150120416A (ko) 2015-10-27
JP6194371B2 (ja) 2017-09-06
US9368366B2 (en) 2016-06-14
TW201438114A (zh) 2014-10-01
US20150364335A1 (en) 2015-12-17
JP2016513368A (ja) 2016-05-12
TWI529816B (zh) 2016-04-11
NL2012143A (en) 2014-08-18
CN104995715B (zh) 2018-06-19
WO2014124795A1 (en) 2014-08-21
CN104995715A (zh) 2015-10-21

Similar Documents

Publication Publication Date Title
KR101769888B1 (ko) 블록 공중합체의 자가­조립에 의해 기판 상에 이격된 리소그래피 피처들을 제공하는 방법들
KR102026270B1 (ko) 자가-조립가능한 중합체에 대한 패터닝된 방위 템플릿을 제공하는 방법
US9250528B2 (en) Methods and compositions for providing spaced lithography features on a substrate by self-assembly of block copolymers
US9086621B2 (en) Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
KR101772038B1 (ko) 블록 공중합체의 자가-조립에 의해 기판에 리소그래피 피처들을 제공하는 방법들
US20130034811A1 (en) Method for providing an ordered layer of self-assemblable polymer for use in lithography
US20140346141A1 (en) Self-assemblable polymer and methods for use in lithography
NL2007161A (en) Lithography using self-assembled polymers.
US9513553B2 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
KR20150013441A (ko) 디바이스 리소그래피에서 사용되는 자가­조립가능한 블록 공중합체들에 대한 패터닝된 화학적 에피택시 템플릿을 제공하는 방법들
KR101721127B1 (ko) 블록 공중합체의 자가-조립에 의해 기판에 이격된 리소그래피 피처들을 제공하는 방법들

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)