CN104882444A - 鳍式场效应晶体管sram的结构和方法 - Google Patents

鳍式场效应晶体管sram的结构和方法 Download PDF

Info

Publication number
CN104882444A
CN104882444A CN201410187533.5A CN201410187533A CN104882444A CN 104882444 A CN104882444 A CN 104882444A CN 201410187533 A CN201410187533 A CN 201410187533A CN 104882444 A CN104882444 A CN 104882444A
Authority
CN
China
Prior art keywords
spacing
pattern
fin
sram
along
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410187533.5A
Other languages
English (en)
Other versions
CN104882444B (zh
Inventor
廖忠志
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104882444A publication Critical patent/CN104882444A/zh
Application granted granted Critical
Publication of CN104882444B publication Critical patent/CN104882444B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明提供了一种嵌入式FinFET SRAM结构及其制造方法。该嵌入式FinFET SRAM结构包括SRAM单元的阵列。该SRAM单元具有沿第一方向的第一间距和沿第二方向的第二间距,其中第二方向垂直于第一方向。第一和第二间距被配置为以便SRAM单元的鳍式有源线和栅极部件与外围逻辑电路的鳍式有源线和栅极部件对准。SRAM结构的布局包括三层,其中,第一层限定了用于形成鳍的芯轴图案,第二层限定了用于去除伪鳍的第一切割图案,和第三层限定了用于缩短鳍端的第二切割图案。这三层共同地限定了SRAM结构的鳍式有源线。

Description

鳍式场效应晶体管SRAM的结构和方法
技术领域
本发明一般地涉及半导体技术领域,更具体地,涉及半导体器件。
背景技术
半导体集成电路(IC)工业经历了快速发展。在IC发展过程中,功能密度(即,单位芯片面积上互连器件的数量)通常已经增加,而几何尺寸(即,可使用制造工艺制造的最小部件(或线))却已减小。这种按比例缩小工艺通常通过增加生产效率和降低相关成本而带来益处。这种按比例缩小还增加了处理和制造IC的复杂性,并且为实现这些进步,需要IC制造过程中的类似的发展。
例如,通常将逻辑电路和嵌入式静态随机存取存储器(SRAM)单元集成到半导体器件中来增加功能密度。这些应用的范围覆盖工业和科学子系统、汽车电子、手机、数码相机、和微处理器等。仅仅按比例缩小半导体部件尺寸已经不足以满足更高SRAM密度的需求。例如,当被制造成具有较小半导体几何尺寸时,具有平面型晶体管的传统SRAM单元结构已经经历了器件性能降低和泄漏增加。应对这种挑战的一种方法是使用具有单鳍或多鳍结构(例如,FinFET)的三维晶体管。例如,FinFET能够实施为控制金属氧化物半导体场效应晶体管(MOSFET)的短沟道效应。为实现最优地短沟道控制和面积减小,期望鳍结构尽可能薄。制造极薄的鳍结构的技术之一是间隔件光刻。例如,在芯轴图案的侧壁上形成间隔件。在去除芯轴图案之后,间隔件在形成鳍结构的过程中成为蚀刻硅衬底的蚀刻掩模。芯轴图案和间隔件的尺寸控制鳍结构的宽度和间距。芯轴图案和间隔件的临界尺寸(CD)均匀性的严格控制是嵌入式鳍式场效应晶体管FinFETSRAM的设计挑战。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种集成电路(IC)布局,包括:第一矩形区域,其中,所述第一矩形区域具有沿第一方向的较长边和沿第二方向的较短边,所述第二方向垂直于所述第一方向;并且沿所述第一方向穿过所述第一矩形区域的几何中心的第一虚线和沿所述第二方向穿过所述几何中心的第二虚线以逆时针顺序将所述第一矩形区域划分为第一子区域、第二子区域、第三子区域和第四子区域,所述第一子区域位于所述第一矩形区域的右上部分处;至少八个第一图案,位于所述IC布局的第一层中,其中,每个所述第一图案均为沿所述第二方向在所述第一矩形区域上方纵向地延伸的矩形形状;所述第一图案沿所述第一方向彼此地间隔开;所述第一图案的第一部分、第二部分、第三部分和第四部分分别与所述第一子区域、所述第二子区域、所述第三子区域和所述第四子区域重叠;所述第一图案的第一部分和第二部分为所述第一图案的相应的第四部分和第三部分关于所述第一虚线的镜像;并且所述第一图案的第一部分和第四部分为所述第一图案的相应的第二部分和第三部分的平移;至少八个第二图案,位于所述IC布局的第二层中,其中,每个所述第二图案均为沿所述第二方向纵向地延伸的矩形形状,所述第二图案沿所述第一方向彼此间隔开,当所述第一层与所述第二层叠置时,每个所述第二图案均与所述第一图案中的一个部分地重叠并完全覆盖相应的第一图案的较长边;以及多个第三图案,位于所述IC布局的第三层中,其中,每个所述第三图案均为矩形形状,所述第三图案彼此间隔开,当所述第一层、所述第二层和所述第三层叠置时,每个所述第三图案均与所述第一图案中的一个部分地重叠并覆盖所述相应的第一图案中未被所述第二图案覆盖的较长边的一部分,其中:所述第一图案、所述第二图案和所述第三图案用于共同地限定多个有源区域以形成晶体管;并且当所述第一层、所述第二层和所述第三层叠置时,沿着所述第一图案中未被所述第二图案和所述第三图案覆盖的较长边限定所述多个有源区域。
在该IC布局中,所述有源区域是用于形成FinFET型晶体管的鳍式有源线。
该IC布局还包括:位于所述IC布局的栅极层中的多个栅极部件,其中,每个所述栅极部件均为沿所述第一方向纵向地延伸的矩形形状;所述栅极部件沿所述第二方向彼此间隔开栅极间距;所述栅极部件的第一部分、第二部分、第三部分和第四部分分别与所述第一子区域、所述第二子区域、所述第三子区域和所述第四子区域重叠;所述栅极部件的第一部分和第二部分为所述栅极部件的相应的第四部分和第三部分关于所述第一虚线的镜像;所述栅极部件的第一部分和第四部分为所述栅极的相应的第二部分和第三部分关于所述第二虚线的镜像;一些所述栅极部件用于形成具有相应的有源区域的P型晶体管而一些所述栅极部件用于形成具有相应的有源区域的N型晶体管。
在该IC布局中,所述栅极部件和所述有源区域在每个子区域中形成至少六个晶体管,并且所述每个子区域中的所述至少六个晶体管形成SRAM单元。
在该IC布局中,所述每个子区域中的所述至少六个晶体管为FinFET晶体管。
该IC布局还包括第二矩形区域,所述第二矩形区域与所述第一矩形区域基本上相同并且与沿所述第二方向延伸的所述第一矩形区域并排设置,其中,所述第一图案和所述第二图案至少在所述第一矩形区域和所述第二矩形区域上方延伸。
在该IC布局中,八个第一图案在所述第一矩形区域上方延伸,并且当所述第一层与所述第二层叠置时,所述八个第一图案中的每个均与所述第二图案中的一个重叠。
在该IC布局中,十个第一图案在所述第一矩形区域上方延伸,并且当所述第一层与所述第二层叠置时,所述十个第一图案中的两个与所述第二图案中的任意一个都不重叠。
根据本发明的另一方面,提供了一种半导体器件,包括:第一SRAM宏,其中,所述第一SRAM宏包括第一多个单端口SRAM单元和第二多个外围逻辑电路,所述第一多个单端口SRAM单元布置成具有沿第一方向的第一间距和沿第二方向的第二间距,所述第二方向垂直于所述第一方向,所述第一多个单端口SRAM单元包括由第一栅极部件和第一鳍式有源线所形成的FinFET晶体管,所述第二多个外围逻辑电路包括由第二栅极部件和第二鳍式有源线所形成的FinFET晶体管,所述第二栅极部件布置成具有沿所述第二方向的第三间距,并且所述第二鳍式有源线布置成具有沿所述第一方向的第四间距;以及第二SRAM宏,其中,所述第二SRAM宏包括第三多个单端口SRAM单元和第四多个外围逻辑电路,所述第三多个单端口SRAM单元布置成具有沿所述第一方向的第五间距和沿所述第二方向的第六间距,所述第三多个单端口SRAM单元包括由第三栅极部件和第三鳍式有源线所形成的FinFET晶体管,所述第四多个外围逻辑电路包括由第四栅极部件和第四鳍式有源线所形成的FinFET晶体管,所述第四栅极部件布置成具有沿所述第二方向的第三间距,并且所述第四鳍式有源线布置成具有沿所述第一方向的第四间距,其中:所述第二间距为所述第三间距的约两倍;所述第六间距大约与所述第二间距相同;并且所述第五间距比所述第一间距大大约两倍的所述第四间距。
在该半导体器件中,所述第一间距与所述第四间距之间的比率为以下其中之一:8、8.5和9。
在该半导体器件中,所述第一间距与所述第四间距之间的比率为以下其中之一:10、10.5和11。
在该半导体器件中,所述第一间距与所述第二间距之间的比率在约2.25至约2.28的范围内。
在该半导体器件中,所述第一间距和所述第四间距之间的比率不是整数;以及所述比率的两倍为整数。
在该半导体器件中,所述第一多个单端口SRAM单元中的电源线、位线和位线条在第一金属层中进行布线;所述第一多个单端口SRAM单元中的字线和地线在第二金属层中进行布线;以及所述第一金属层位于所述第二金属层和具有所述第一鳍式有源线的半导体器件的层之间。
在该半导体器件中,所述第一多个单端口SRAM单元中的字线在第一金属层中进行布线;所述第一多个单端口SRAM单元中的电源线、位线、位线条和地线在第二金属层中进行布线;以及所述第一金属层位于所述第二金属层和具有所述第一鳍式有源线的半导体器件的层之间。
根据本发明的又一方面,提供了一种半导体器件,包括:第一SRAM宏,其中,所述第一SRAM宏包括第一多个单端口SRAM单元和第二多个外围逻辑电路,所述第一多个单端口SRAM单元布置成具有沿第一方向的第一间距和沿第二方向的第二间距,所述第二方向垂直于所述第一方向,所述第一多个单端口SRAM单元包括由第一栅极部件和第一鳍式有源线所形成的第一FinFET晶体管,所述第二多个外围逻辑电路包括由第二栅极部件和第二鳍式有源线所形成的第二FinFET晶体管,所述第二栅极部件布置成具有沿所述第二方向的第三间距,并且所述第二鳍式有源线布置成具有沿所述第一方向的第四间距;以及第二SRAM宏,其中,所述第二SRAM宏包括第三多个两端口SRAM单元和第四多个外围逻辑电路,所述第三多个两端口SRAM单元布置成具有沿所述第一方向的第五间距和沿所述第二方向的第六间距,所述第三多个两端口SRAM单元包括由第三栅极部件和第三鳍式有源线所形成的第三FinFET晶体管,所述第四多个外围逻辑电路包括由第四栅极部件和第四鳍式有源线所形成的第四FinFET晶体管,所述第四栅极部件布置成具有沿所述第二方向的第三间距,并且所述第四鳍式有源线布置成具有沿所述第一方向的第四间距,其中:所述第二间距为所述第三间距的约两倍;所述第六间距大约与所述第二间距相同;所述第一间距与所述第四间距之间的第一比率不是整数;并且所述第五间距与所述第四间距之间的第二比率是整数。
在该半导体器件中,所述第一比率为10.5并且所述第二比率为15。
在该半导体器件中,每个所述第一FinFET晶体管均形成有位于一条鳍式有源线上方的一个栅极部件。
在该半导体器件中,所述第一FinFET晶体管中的至少两个形成有位于两条鳍式有源线上方的一个栅极部件。
在该半导体器件中,所述两端口SRAM单元包括写入端口部分和读出端口部分;以及所述写入端口部分与所述单端口SRAM单元基本上相同。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的各个方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的论述,各种部件的尺寸可以被任意增大或缩小。
图1是根据本发明的各个方面具有嵌入式SRAM单元的集成电路(IC)的简化框图。
图2根据本发明的各个方面示出具有外围逻辑电路的嵌入式SRAM单元。
图3根据实施例示出图2的外围逻辑电路的一些部件。
图4A和图4B根据实施例示出了六晶体管(6T)单端口(SP)SRAM单元的示意图。
图5至图7根据一些实施例示出了图4A的6T SP SRAM单元的布局的一部分。
图8根据实施例示出了两端口(TP)SRAM单元的示意图。
图9根据实施例示出了图8的TP SRAM单元的布局的一部分。
图10A和图10B根据本发明的各个方面示出了嵌入式SRAM设计的金属层布线。
图11是根据本发明的各个方面的具有嵌入式SRAM单元的集成电路(IC)的简化框图。
图12A根据本发明的各个方面示出四个SRAM单元的鳍式有源线的布局。
图12B根据本发明的实施例示出了图12A的鳍式有源线布局的三层分区(partition)。
图12C根据实施例示出了图12A中的四个SRAM单元与其鳍式有源线重叠的栅极部件。
图13根据本发明的各个方面示出了形成具有嵌入式SRAM单元的IC的方法。
图14至图20B根据实施例示出了利用图13中的方法所制造的嵌入式SRAM单元的一部分的俯视图和/或截面图。
图21根据本发明的各个方面示出了形成具有嵌入式SRAM单元的IC的方法。
图22A至图24C根据实施例示出了利用图21中的方法所制造的嵌入式SRAM单元的一部分的俯视图和/或截面图。
图25A根据本发明的各个方面示出了四个SRAM单元的鳍式有源线的布局。
图25B根据实施例示出了图25A的鳍式有源线布局的三层分区(partition)。
图25C根据实施例示出了图25A中的四个SRAM单元与其鳍式有源线重叠的栅极部件。
具体实施方式
以下公开内容提供了用于实现所提供的主题的不同特征的许多不同的实施例或实例。以下描述部件和配置的具体实例以简化本发明。当然,这些仅仅是实例而不用于限制。例如,在以下描述中第一部件形成在第二部件上方或第二部件上可以包括以直接接触的方式形成第一和第二部件的实施例,并且还可以包括在第一和第二部件之间形成附加部件,使得第一和第二部件不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简明和清楚,而且其本身没有规定所述的各个实施例和/或结构之间的关系。
此外,为了便于描述,诸如“在…之下”、“在…下面”、“下部”、“在…之上”、“上部”等的空间相对位置术语在本文中可用于描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中描述的方位外,这些空间相对位置术语意在包含器件在使用或操作中的不同方位。该装置可以其他方式定向(转动90度或在其他方位上),并因此对本文中使用的空间相对位置描述符进行同样的解释。
图1示出了具有SRAM宏102的半导体器件100。例如,该半导体器件可以是微处理器、专用集成电路(ASIC)、现场可编程门阵列(FPGA)或数字信号处理器(DSP)。半导体器件100的确切功能不限于所提供的主题。
图2根据本发明的各个方面示出了SRAM宏102的一部分的更详细的示图。参考图2,SRAM宏102包括多个SRAM单元202和多个外围逻辑电路210。每个SRAM单元202均用以存储一个存储位,而外围逻辑电路210用于实施诸如写入和/或读出地址译码器、字/位选择器、数据驱动器、存储器自测试等的各种逻辑功能。逻辑电路210包括具有栅极部件218和鳍式有源线212的多个FinFET。虽然未示出,但是每个SRAM单元202也都包括具有栅极部件和鳍式有源线的多个FinFET。此外,尽管图2仅示出16个SRAM单元202,但是对于给定的半导体器件100,SRAM宏102可包括大量的SRAM单元202。例如,SRAM宏102可包括成千或上万个SRAM单元202。
如图2所示,在多个P阱或P扩散区(例如,对于n型FinFET或N-FinFET)和N阱或N扩散区(例如,对于p型FinFET或P-FinFET)上方形成SRAM单元202,其中,P阱和N阱为在X方向上以交替顺序布置的矩形半导体区域。如稍后所示,每个SRAM单元202均包括多个N-FinFET和多个P-FinFET。此外,SRAM单元202布置在SRAM单元彼此邻接的阵列中。每个SRAM单元202均占据SRAM宏102的矩形区域,其中,该矩形区域在X方向上具有第一尺寸204和在与X方向垂直的Y方向上具有第二尺寸206。在下面的论述中,还将第一尺寸204称作SRAM单元202的X间距,并且将第二尺寸206称作SRAM单元202的Y间距。
此外,每个SRAM单元202均配置在四个方向的其中一个方向上。如图2所示,组203包括2×2阵列中的四个SRAM单元202,为便于讨论,四个SRAM单元202分别标记为单元R0、单元Mx、单元My和单元R180。在实施例中,单元R0的栅极部件和鳍式有源线为单元Mx的那些相应的部件关于虚线A-A的镜像(或映射),其中,虚线A-A沿X方向穿过组203的几何中心。类似地,单元R0的栅极部件和鳍式有源线为单元My的那些相应的部件关于虚线B-B的镜像,其中,虚线B-B沿Y方向穿过组203的几何中心。类似地,单元R180为单元Mx关于虚线B-B的镜像,且同时为单元My关于虚线A-A的镜像。
因为半导体技术已发展到诸如32纳米(nm)、20纳米甚至更小的小部件尺寸,通常要遵守受限制的设计规则以改进设计可制造性。如图2所示,SRAM宏102的结构允许外围逻辑电路210的部件(例如,栅极部件218和鳍式有源线212)与SRAM单元202的那些相应的部件对准。这可通过仔细考虑X间距204与鳍间距214之间的比率及Y间距206与栅极间距216之间的比率来实现。鉴于光学邻近效应,这种对准能够定义并形成密集的鳍式有源线,从而提供诸多益处,诸如较高的SRAM单元密度、较高制造可靠性等。此外,在Y间距206与栅极间距216之间具有固定比率允许自动地生成某些的外围逻辑电路(例如,字线驱动器、译码器等)作为电路块,然后沿着SRAM单元重复地放置某些的外围逻辑电路。类似地,在X间距204与鳍间距214之间具有固定比率允许自动地生成并放置某些逻辑电路(例如,列选择器、位线预充电电路、译码器等)。
图3示出了外围逻辑电路210的一部分的俯视图。每条鳍式有源线212均具有矩形形状,其长边沿Y方向延伸而其短边沿X方向延伸。在本实施例中,将鳍间距214限定为两条相邻鳍式有源线212之间的边与边间隔。可选地,可将鳍间距214限定为两条相邻鳍式有源线212之间的中心线与中心线间隔。栅极部件218被定向为与鳍式有源线212垂直。每个栅极部件218均具有矩形形状,其长边沿X方向延伸而其短边Y沿方向延伸。在本实施例中,将栅极间距216限定为两个相邻栅极部件218之间的边与边间隔。可选地,可将栅极间距216限定为两个相邻栅极部件218之间的中心线与中心线间隔。外围逻辑电路210还包括连接多条鳍式有源线212的多个有源接触件220,以形成各个FinFET的共同漏极/源极。
图4A示出了可以实施为图2的SRAM单元202的六晶体管(6T)单端口(SP)SRAM单元的示意图。参考图4A,该6T SP SRAM单元202包括作为上拉晶体管的两个P-FinFET(PU-1和PU-2)、作为下拉晶体管的两个N-FinFET(PD-1和PD-2)以及作为传输栅极晶体管的两个N-FinFET(PG-1和PG-2)。PD-1和PU-1连接为形成反相器(图4B中的反相器-1)。PD-2和PU-2连接为形成另一反相器(图4B中的反相器-2)。使反相器(反相器-1和反相器-2)交叉耦合以形成SRAM单元202的存储单元。图4A还示出了用于访问SRAM单元202的存储单元的字线(WL)、位线(BL)和位线条(bit line bar,又称为反相位线)。
在实践中,能够以多种方式物理地(例如,布局)实施图4A的SRAM单元202。以下讨论会描述根据本发明的各个方面的SRAM单元202的三个实施例(即,SRAM单元202A、202B和202C)的一些布局设计。本领域技术人员应当理解,这三个实施例仅仅为实例,且不旨在限制所提供主题的创造性范围。
图5示出了包括SRAM单元202A的SRAM宏102的布局的一部分的俯视图。参考图5,SRAM单元202A被示出为具有矩形边界(虚线),该矩形边界具有第一尺寸(X间距)204A和第二尺寸(Y间距)206A。该布局包括一个N阱有源区域和两个P阱有源区域,每个P阱有源区域沿X方向分别位于N阱有源区域的每一侧。该布局还包括两条鳍式有源线222A和224A,每个P阱有源区域中都具有一条鳍式有源线,两条鳍式有源线222A和224A沿Y方向纵向地延伸并与SRAM单元202A重叠。该布局还包括位于N阱有源区域中的两条鳍式有源线226A和228A,它们沿Y方向纵向地延伸并与SRAM单元202A部分地重叠。缩短鳍式有源线226A和228A的长度以减小单元面积。这四条鳍式有源线222A、226A、228A和224A的边与边间隔为鳍间距214的约两倍。在一些实施例中,这些鳍式有源线之间的间隔被设定为鳍间距214的约2倍和2.5倍之间,以在形成SRAM单元鳍式线时具有足够的设计裕度和工艺裕度。在这些情况下,X间距204A仍能被维持为鳍间距214的整数倍。此外,该布局包括两个栅极部件232A和234A,它们沿X方向纵向地延伸并与SRAM单元202A部分地重叠,同时还在SRAM单元202A和相邻SRAM单元(未示出)之间共享;该布局还包括两个栅极部件236A和238A,它们沿X方向在SRAM单元222A内纵向地延伸。上述栅极部件和鳍式有源线共同限定了六个晶体管,即,图4中的PU-1/PU-2、PD-1/PD-2和PG-1/PG-2。Y间距206A基本上等于传输栅极晶体管(PG-1或PG-2)间距与下拉晶体管(PD-1或PD-2)间距之和,其中,晶体管的间距指的是晶体管的源极与漏极之间的距离。
在实施例中,将Y间距206A设置为栅极间距216(图3)的约两倍,而将X间距204A设置为鳍间距214(图3)的约8倍、8.5倍或9倍。这种设置考虑了下述事实:SRAM单元202A与外围逻辑电路210之间的相应部件的适当对准提高了具有SRAM宏102(图1和图2)的半导体器件100的整体可制造性。例如,在光刻工艺期间,在SRAM单元202A与外围电路210之间具有单个鳍间距的规则有助于提高鳍式有源线的临界尺寸均匀性。由于其紧凑性布局,SRAM单元202A良好地适合于高密度嵌入式SRAM应用。在期望高存储单元密度的实施例中,SRAM宏102(图2)仅包括这种类型的SRAM单元,且将X间距204A设置为鳍间距214(图3)的约8倍。在另一实施例中,将X间距204A设置为鳍间距214的约9倍。在一些实施例中,将X间距204A设置为鳍间距214的非整数倍,诸如8.5倍。这通过将SRAM单元202A配置在SRAM宏102(图2)中可能实现:其中,四个相邻的SRAM单元202A具有的总的X尺寸是鳍间距214的整数倍(例如,34×)。在放置SRAM单元202A时仍维持SRAM单元202A与外围逻辑电路210之间的鳍式有源线的适当对准的这种灵活性是由本发明提供的诸多益处中的一种。
图6示出了SRAM单元202B的布局的一部分,同时图7示出了SRAM单元202C的布局的一部分。SRAM单元202B和202C的多个方面与SRAM单元202A的多个方面类似,并因此出于简化考虑而省略了论述。
参考图6,SRAM单元202B示出具有矩形边界(虚线),该矩形边界具有第一尺寸(X间距)204B和第二尺寸(Y间距)206B。SRAM单元202B与202A的一个差别在于:SRAM单元202B包括位于两个P阱有源区域的每一个中的两条鳍式有源线222B-1/222B-2与224B-1/224B-2。实际上,SRAM单元202B的晶体管PG-1/PG-2和PD-1/PD-2具有双鳍有源线以增加电流源容量(current sourcing capability)。两个鳍222B-1和222B-2的边与边间隔为一个鳍间距214,两个鳍224B-1和224B-2也同样如此。在本实施例中,X间距204B比X间距204A(图5)大约两倍的鳍间距214(图3)。出于上文结合图5所述的相似原因,Y间距206B为栅极间距216的约两倍。在实施例中,X间距204B与Y间距206B之间的比率在约2.7至约2.9的范围内。
结合图7中的SRAM单元202C进行相似的观察:SRAM单元202C的晶体管PG-1/PG-2和PD-1/PD-2分别具有三条鳍式有源线222C-1/222C-2/222C-3和224C-1/224C-2/224C-3以增加电流源容量;X间距204C比X间距204A(图5)大约四倍的鳍间距214(图3);并且Y间距206C为栅极间距216(图3)的约两倍。这三个鳍222C-1、222C-2和222C-3的边与边间隔为一个鳍间距214,另三条鳍224C-1、224C-2和224C-3也同样如此。
图8示出了可以实施为如图2的SRAM单元202的两端口(TP)SRAM单元202D的示意图。如图8所示,SRAM单元202D包括写入端口部分802和读出端口部分804。写入端口部分802实际上为图4A所示的6T SP SRAM单元。读出端口部分804包括读出下拉晶体管R_PD和读出传输栅极晶体管R_PG。
在实践中,图8的SRAM单元202D能够以多种方式物理地(例如,布局)实施。图9根据实施例示出了SRAM单元202D的布局的一部分的俯视图。参考图9,写入端口部分802的布局与SRAM单元202B(图6)的布局基本上相同,而读出端口部分804的布局包括均为双鳍FinFET的晶体管R_PD和R_PG。两条鳍式有源线902-1和902-2的边与边间隔为一个鳍间距214。SRAM单元202D的很多方面与上面结合图5至图7进行的论述相似,并因此出于简化考虑而省略了其论述。在实施例中,为提高具有SRAM单元202D的SRAM宏102的可制造性和电路密度,可将Y间距206D设置为栅极间距216的约两倍,而将X间距204D设置为鳍间距214的整数倍(例如,15倍)。
图10A和图10B根据一些实施例示出了到目前为止所论述的SRAM单元的金属布线。图10A示出了电源线(CVdd)、位线(BL)和位线条在第一金属层中进行布线,而字线(WL)和地线(Vss)在第二金属层中进行布线。图10B示出字线(WL)在第一金属层中进行布线;而电源线(CVdd)、位线(BL)、位线条和地线(Vss)在第二金属层中进行布线。在实施例中,第一金属层设置在第二金属层和相应的SRAM单元的有源区域之间。在实施例中,通过层间通孔连接第一和第二金属层。
在一些应用中,半导体器件可包括一个以上的SRAM宏。必须仔细考虑来确保每个SRAM宏的可制造性和电路密度以及每个SRAM宏所在的器件层。本发明很好地适用于解决这种问题。图11示出半导体器件100包括除SRAM宏102之外的另一SRAM宏104。尽管SRAM宏102与SRAM宏104在图11中并排示出,但是在实践中,两个SRAM宏可放置在半导体器件100中的任何位置处。此外,这两个宏102和104可包括相同或不同类型的SRAM单元。例如,SRAM宏102包括SRAM单元202A的阵列,而SRAM宏104包括SRAM单元202A、202B、202C或202D的阵列。下面为半导体100的一些实施例,其中,将SRAM宏和外围逻辑电路的各个尺寸设计为用于提高全芯片布局自动化、鳍式有源线临界尺寸均匀性和整体器件可制造性。
在实施例中,SRAM宏102包括SRAM单元202A(图5)的阵列,而SRAM宏104包括SRAM单元202B(图6)的阵列。将X间距204B设置成约等于X间距204A与两倍的鳍间距214(图3)之和。在实施例中,将X间距204A设置为鳍间距214的约8倍并且将X间距204B设置为鳍间距214的约10倍。在另一实施例中,将X间距204A设置为鳍间距214的约8.5倍并且将X间距204B设置为鳍间距214的约10.5倍。在又一实施例中,将X间距204A设置为鳍间距214的约9倍并且将X间距204B设置为鳍间距214的约11倍。将Y间距206A和Y间距206B都设置为栅极间距216的约两倍。此外,X间距204B与Y间距206B的比率在约2.7至约2.9的范围内(诸如2.8);并且X间距204A与Y间距206A的比率在约2.25至约2.28的范围内(诸如2.2667)。
在实施例中,SRAM宏102包括SRAM单元202B(图6)的阵列,而SRAM宏104包括SRAM单元202D(图9)的阵列。将X间距204B设置为鳍间距214(图3)的约10.5倍并且将X间距204D设置为鳍间距214的约15倍。将Y间距206B和Y间距206D都设置为栅极间距216的约两倍。
在实施例中,SRAM宏102包括SRAM单元202B(图6)的阵列而SRAM宏104包括SRAM单元202C(图7)的阵列。将X间距204C设置成约为X间距204B与两倍的鳍间距214(图3)之和。例如,将X间距204B设置为鳍间距214的约10倍并且将X间距204C设置为鳍间距214的约12倍。又例如,将X间距204B设置为鳍间距214的约10.5倍并且将X间距204C设置为鳍间距214的约12.5倍。
图12A示出了组203(图2)的鳍式有源线,该组203包括四个相邻的SRAM单元202A(图5),即,单元R0、单元My、单元Mx和单元R180。将这四个单元布置成两行和两列。虚线A-A表示它们沿X方向的边界,并且虚线B-B表示它们沿Y方向的边界。关于鳍式有源线结构(单元内的有源线的形状、尺寸和位置),单元R0和单元My为单元Mx和单元R180沿着线A-A的镜像,而单元R0和单元Mx为单元My和单元R180沿着线B-B的镜像。在本发明中,如图12B所示,利用三个掩模(或中间掩模)1202、1204和1206使用间隔件光刻工艺形成这些鳍式有源线。
参考图12B,三个掩模1202、1204和1206为SRAM宏102(及半导体器件100)的设计布局的三个层。掩模1202限定用于形成间隔件的芯轴图案,掩模1204限定用于去除伪间隔件(或伪鳍式线)的伪鳍切割图案,以及掩模1206限定了鳍端切割图案,例如,用来缩短上拉晶体管(例如,图5中的PU-1和PU-2)的鳍式线。每个芯轴图案均具有沿Y方向纵向地延伸的矩形形状(俯视图)。在实施例中,尽管未示出,但是每个芯轴图案都在至少四个SRAM单元202A(参见图2)上方延伸。在实施例中,具有在每个SRAM单元202A上方延伸的四个芯轴图案。关于芯轴图案结构(每个单元内的芯轴图案的形状、尺寸和位置),单元R0和单元My为单元Mx和单元R180沿着线A-A的镜像,而单元R0和单元Mx为单元My和单元R180的平移,即,沿X方向移动一个X间距204A。每个伪鳍切割图案1204同样为沿Y方向纵向地延伸的矩形形状(俯视图)。鳍端切割图案1206设置在SRAM单元沿Y方向的边界处以切割鳍式线,例如,用于减小PU-1和PU-2晶体管的有源区域。将图12A的布局划分为三个掩模1202、1204和1206允许利用每个掩模1202、1204和1206制造密集和/或规则的图案,从而在光刻期间大大地提高了图案临界尺寸均匀性。
图12C示出了叠置(superimpose)于组203的鳍式有源线上的相同组的栅极部件。每个栅极部件均为沿X方向纵向地延伸的矩形形状。该栅极部件沿Y方向间隔开Y间距206A的一半的间距。该栅极部件在鳍式有源线上方延伸以形成多个P-FinFET和N-FinFET。关于栅极部件结构(每个单元内的栅极部件的形状、尺寸和位置),单元R0和单元My为单元Mx和单元R180沿线A-A的镜像,而单元R0和单元Mx为单元My和单元R180沿线B-B的镜像。
图13根据实施例示出了采用掩模1202、1204和1206(图12B)形成组203的鳍式有源线(图12A)的方法1300。在方法1300之前、期间或之后,可进行额外的操作,而对于该方法的附加实施例,可替换、省略或前后移动本文中所述的一些操作。结合图14至图24C对方法1300进行描述。
在操作1302中,方法1300(图13)将介电层1404和1406沉积在硅衬底1402上方(例如,半导体晶圆)。参考图14,图中示出了硅衬底1402和形成在其上的第一介电层1404(诸如氧化硅)和第二介电层1406(诸如氮化硅)。适用于介电层1404和1406的材料包括但不限于氧化硅、氮化硅、多晶硅、Si3N4、SiON、TEOS、含氮氧化物、氮氧化物、高K材料(K>5)或它们的组合。由包括沉积的工序来形成介电层1404和1406。例如,通过热氧化来形成氧化硅的第一介电层1404。由化学汽相沉积(CVD)来形成氮化硅(SiN)的第二介电层1406。例如,使用包括六氯乙硅烷(HCD或Si2Cl6)、二氯硅烷(DCS或SiH2Cl2)、双(叔丁基氨基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的化学物质通过CVD来形成SiN层。在实施例中,介电层1406的厚度为约20nm至约200nm。
方法1300(图13)继续进行操作1304,以在介电层1406中形成芯轴图案1502。参考图15A(俯视图)和图15B(沿着图15A中的线A-A所截取的截面图),芯轴图案1502沿着X方向均匀地分布。通过利用包括光刻工艺和蚀刻工艺的工序图案化介电层1406来形成芯轴图案1502。在本实施例中,使用旋涂工艺和软烘工艺在介电层1406上形成光刻胶层。然后,使用掩模1202(图12B)将光刻胶层曝光于辐射。使用曝光后烘焙(PEB)、显影和硬烘使曝光的光刻胶层显影,从而在介电层1406上方形成图案化的光刻胶层。随后,穿过图案化的光刻胶层的开口蚀刻介电层1406,从而形成图案化的介电层1406。此后,使用诸如湿法剥离或等离子体灰化的合适工艺去除图案化的光刻胶层。在一个实例中,蚀刻工艺包括应用干(或等离子体)蚀刻来去除图案化的光刻胶层的开口内的介电层1406。在另一实例中,蚀刻工艺包括通过利用氢氟酸(HF)溶液的湿蚀刻来去除开口内的SiN层1406。在上述光刻工艺期间,鉴于光学邻近效应,芯轴图案1502的图案规律性有助于提高图案临界尺寸均匀性。
方法1300(图13)继续进行操作1306以形成间隔件1602。参考图16A(俯视图)和图16B(沿图16A中的线A-A所截取的截面图),图中示出了形成在芯轴图案1502的侧壁上的间隔件1602。间隔件1602包括与芯轴图案1502不同的一种或多种材料。在实施例中,间隔件1602可包括介电材料,诸如氮化钛、氮化硅或氧化钛。适用于间隔件1602的其他材料包括但不限于多晶硅、SiO2、Si3N4、SiON、TEOS、含氮氧化物、氮氧化物、高K材料(K>5)或它们的组合。通过包括沉积工艺和蚀刻工艺的多种工艺来可以形成间隔件1602。例如,沉积工艺包括化学汽相沉积(CVD)工艺或物理汽相沉积(PVD)工艺。例如,蚀刻工艺包括诸如等离子体蚀刻的各向异性蚀刻。
方法1300(图13)继续进行操作1308以去除芯轴图案1502。参考图17A(俯视图)和图17B(沿着图17A中的A-A线所截取的截面图),例如,在通过选择性地调整的蚀刻工艺以去除介电材料1406但没有去除间隔件材料,去除芯轴图案1502之后,间隔件1602保持在介电层1404上方。蚀刻工艺可以是湿蚀刻、干蚀刻或它们的组合。
方法1300(图13)继续进行操作1310以在硅衬底1402中形成鳍式线1802。参考图18B,图18B是沿着图18A中的A-A线所截取的截面图,利用间隔件1602作为蚀刻掩模来蚀刻硅衬底1402。随后去除间隔件1602和介电层1404,从而在硅衬底1402中形成鳍式线1802(图18C)。
方法1300(图13)继续进行操作1312以利用掩模1204(图12B)执行第一鳍切割工艺,从而去除伪鳍式线。参考图19A(俯视图)和图19B(沿图19A中的A-A线所截取的截面图),去除伪鳍式线1802D,从而使鳍式线1802A保留在硅衬底1402上。在本实施例中,通过包括光刻工艺和蚀刻工艺的工序去除伪鳍式线1802D。例如,使用旋涂工艺和软烘工艺在硅衬底上形成光刻胶层。然后,使用掩模1204使光刻胶层曝光于辐射,其中,图19A的虚线表示要形成的开口。随后显影和剥离曝光的光刻胶层,从而形成图案化的光刻胶层。由图案化的光刻胶层保护鳍式线1802A,而没有同样地保护伪鳍式线1802D。随后,通过图案化的光刻胶层的开口来蚀刻伪鳍式线1802D。之后,使用诸如湿法剥离或等离子体灰化的合适的工艺来去除图案化的光刻胶层。
方法1300(图13)进行操作1314以利用掩模1206(图12B)执行第二鳍切割工艺,从而切割诸如图5的PU-1和PU-2的上拉晶体管的鳍式线。参考图20A(俯视图)和图20B(沿图20A的A-A线所截取的截面图),去除鳍式线1802A横跨SRAM单元202A的边界的多个部分,从而形成上拉晶体管PU-1和PU-2的缩短的鳍式线。在本实施例中,除第二鳍切割工艺使用掩模1206外,第二鳍切割工艺与结合图19A和图19B论述的第一鳍切割工艺类似。
方法1300(图13)继续进行操作1316以形成具有鳍式线1802A的最终器件。例如,操作1316可包括注入用于阱和沟道掺杂的掺杂剂、形成栅极介电层、形成轻掺杂源极/漏极、形成栅叠层等。
图21根据实施例示出了利用图12B的三个掩模1202、1204和1206形成组203(图12A)的鳍式有源线的方法2100。在方法2100之前、期间或之后,可进行附加操作,且对于该方法的附加实施例,可替换、省略或前后移动一些操作。方法2100的一些操作与方法1300的相应操作相同或相似,并因此出于简化考虑而省略其论述。
在操作1308之后,方法2100(图21)已经形成了间隔件1602A和1602D(图22A和图22B),其中,间隔件1602A将用于形成鳍式有源线而间隔件1602D(伪间隔件)则不会。
在操作2110中,方法2100(图21)在掩模1204的帮助下例如通过上面参考图19A和图19B所论述的光刻工艺和蚀刻工艺去除伪间隔件1602D,其中,选择性地调整蚀刻工艺以去除间隔件材料(图22C)。
在操作2112中,方法2100(图21)在掩模1206的帮助下切割横跨SRAM单元202A的边界的间隔件1602A(图23A和图23B)。这可通过与上面参考图20A和图20B所论述的光刻工艺和蚀刻工艺相似的工艺来完成,其中,选择性地调整蚀刻工艺以去除间隔件材料(图23B)。
在操作2114中,方法2100(图21)利用剩余的间隔件1602A作为蚀刻掩模来蚀刻硅衬底1402(图24A和图24B)。随后去除间隔件1602A和介电层1404,从而在硅衬底1402中形成用于晶体管PU-1/PU-2和PG-1/PG-2的鳍式线1802A(图24C)。
方法2100(图21)继续进行操作1316以形成如上所述的具有鳍式线1802A的最终器件。
图25A示出了组203(图2)的鳍式有源线,组203包括四个相邻的SRAM单元202B(图6),即,单元R0、单元My、单元Mx和单元R180。这四个单元被布置成两行和两列。虚线A-A表示它们沿X方向的边界,而虚线B-B表示它们沿Y方向的边界。关于鳍式有源线结构(单元内的有源线的形状、尺寸和位置),单元R0和单元My为单元Mx和单元R180沿着线A-A的镜像,而单元R0和单元Mx为单元My和单元R180沿着线B-B的镜像。在本发明中,如图25B所示,利用三个掩模2502、2504和2506使用间隔件光刻工艺形成这些鳍式有源线。
参考图25B,与图12B中的三个掩模1202、1204和1206类似,三个掩模2502、2504和2506为SRAM宏102(及半导体器件100)的设计布局的三个层。掩模2502限定了用于形成间隔件的芯轴图案,掩模2504限定了用于去除伪鳍式线(或伪间隔件)的伪鳍切割图案,和掩模2506限定了用于缩短上拉晶体管(例如,图5中的PU-1和PU-2)的鳍式线的鳍端切割图案。如图25B所示,芯轴图案沿X方向均匀地分布。每个芯轴图案均具有沿Y方向纵向地延伸的矩形形状(俯视图)。在实施例中,尽管未示出,但每个芯轴图案均在至少四个SRAM单元202B(参见图2)上方延伸。在本实施例中,布局包括在每个SRAM单元202B上方延伸的五个芯轴图案。关于芯轴图案结构(每个单元内的芯轴图案的形状、尺寸和位置),单元R0和单元My为单元Mx和单元R180沿着线A-A的镜像,而单元R0和单元Mx为单元My和单元R180的平移,即,沿X方向移动一个X间距204B。每个伪鳍切割图案同样为沿Y方向纵向地延伸的矩形形状(俯视图)。该鳍端切割图案沿Y方向设置在SRAM单元202B的边界处并用于切割鳍式线,例如用于减小PU-1和PU-2晶体管的有源区域。将图25A的布局划分为图25B的三个掩模允许利用掩模制造密集和/或规则的图案,从而在光刻期间提高了图案临界尺寸均匀性。可利用图25B的掩模使用上述的方法1300(图13)或方法2100(图21)的实施例来形成图25A的鳍式有源线。
图25C示出了叠置于组203中的鳍式有源线(图25A)上的相同组的栅极部件。每个栅极部件均为沿X方向纵向地延伸的矩形形状。该栅极部件沿Y方向间隔开的间距约为Y间距206B的一半。栅极部件在鳍式有源线上方延伸以形成多个P-FinFET和N-FinFET。关于栅极部件结构(每个单元内的栅极部件的形状、尺寸和位置),单元R0和单元My为单元Mx和单元R180沿着线A-A的镜像,而单元R0和单元Mx为单元My和单元R180沿着线B-B的镜像。
尽管并非意欲进行限制,但本发明提供了诸多益处。例如,本发明限定了嵌入式FinFET SRAM宏结构,其使得SRAM单元与外围逻辑电路之间的相应部件(例如,鳍式有源线、栅极部件等)对准。例如,这种对准能够形成密集的鳍式有源线并实现单个鳍间距设计。这种嵌入式FinFETSRAM宏结构的灵活性在于其可包括高密度SRAM单元、高电流SRAM单元、单端口SRAM单元、两端口SRAM单元或它们的组合。因此,其能够配置于非常广泛的应用中,诸如计算、通讯、手机和车载电子。本发明还教导了用于SRAM单元的一些实施例的鳍式有源区域的布局设计及其制造方法。在一些实施例中,将鳍式有源区域布局划分为芯轴图案层(掩模)和两个切割图案层(掩模)。芯轴图案为密集、平行的矩形形状,从而在光刻工艺期间增强了临界尺寸均匀性。
在一个示例性方面中,本发明涉及集成电路(IC)布局。该IC布局包括第一矩形区域,其中,第一矩形区域具有沿第一方向的较长边和沿第二方向的较短边,第二方向垂直于第一方向;并且沿第一方向穿过第一矩形区域的第一虚线和沿第二方向穿过该几何中心的第二虚线以逆时针顺序将第一矩形区域划分成第一、第二、第三和第四子区域,其中,第一子区域位于第一矩形区域的右上方部分。该IC布局还包括位于IC布局的第一层中的至少八个第一图案,其中,每个第一图案均为在第一矩形区域上方沿第二方向纵向地延伸的矩形形状;第一图案沿第一方向彼此间隔开;第一图案的第一、第二、第三和第四部分分别与第一、第二、第三和第四子区域重叠;第一图案的第一和第二部分为第一图案的相应的第四部分和第三部分关于第一虚线的镜像;并且第一图案的第一和第四部分为第一图案的相应的第二和第三部分的平移。该IC布局还包括位于IC布局的第二层的至少八个第二图案,其中,每个第二图案均为沿第二方向纵向地延伸的矩形形状,第二图案沿第一方向彼此间隔开,当第一和第二层叠置时,每个第二图案均与第一图案中的一个部分地重叠并完全覆盖相应的第一图案的较长边。IC布局还包括位于IC布局的第三层的多个第三图案,其中,每个第三图案均为矩形形状,第三图案彼此间隔开,当第一、第二和第三层叠置时,每个第三图案均与第一图案中的一个部分地重叠并覆盖相应的第一图案中未被第二图案覆盖的较长边的一部分。在上述IC布局中,第一、第二和第三图案用于共同地限定多个有源区域以形成晶体管;并且当第一、第二和第三层叠置时,多个有源区域被限定为沿着第一图案中未被第二和第三图案覆盖的较长边。
在另一示例性方面中,本发明涉及一种半导体器件。该半导体器件包括第一SRAM宏,其中,第一SRAM宏包括第一多个单端口SRAM单元和第二多个外围逻辑电路,第一多个单端口SRAM单元布置成具有沿第一方向的第一间距和沿第二方向的第二间距,第二方向垂直于第一方向,第一多个单端口SRAM单元包括由第一栅极部件和第一鳍式有源线形成的FinFET晶体管,第二多个外围逻辑电路包括由第二栅极部件和第二鳍式有源线形成的FinFET晶体管,第二栅极部件布置成具有沿第二方向的第三间距,并且第二鳍式有源线布置成具有沿第一方向的第四间距。该半导体器件还包括第二SRAM宏,其中,第二SRAM宏包括多个第三单端口SRAM单元和第四多个外围逻辑电路,第三多个单端口SRAM单元布置成具有沿第一方向的第五间距和沿第二方向的第六间距,第三多个单端口SRAM单元包括由第三栅极部件和第三鳍式有源线形成的FinFET晶体管,第四多个外围逻辑电路包括由第四栅极部件和第四鳍式有源线形成的FinFET晶体管,第四栅极部件布置成具有沿第二方向的第三间距,第四鳍式有源线布置成具有沿第一方向的第四间距。在上述半导体器件中,第二间距为第三间距的约两倍;第六间距大约与第二间距相同;并且第五间距比第一间距大第四间距的约两倍。
在另一示例性方面中,本发明涉及一种半导体器件。该半导体器件包括第一SRAM宏,其中,第一SRAM宏包括第一多个单端口SRAM单元和第二多个外围逻辑电路,第一多个单端口SRAM单元布置成具有沿第一方向的第一间距和沿第二方向的第二间距,第二方向垂直于第一方向,第一多个单端口SRAM单元包括由第一栅极部件和第一鳍式有源线形成的第一FinFET晶体管,第二多个外围逻辑电路包括由第二栅极部件和第二鳍式有源线形成的第二FinFET晶体管,第二栅极部件布置成具有沿第二方向的第三间距,并且第二鳍式有源线布置成具有沿第一方向的第四间距。该半导体器件还包括第二SRAM宏,其中,第二SRAM宏包括第三多个两端口SRAM单元和第四多个外围逻辑电路,第三多个两端口SRAM单元布置成具有沿第一方向的第五间距和沿第二方向的第六间距,第三多个外围逻辑电路包括由第三栅极部件和第三鳍式有源线形成的第三FinFET晶体管,第四多个外围逻辑电路包括由第四栅极部件和第四鳍式有源线形成的第四FinFET晶体管,该第四栅极部件布置成具有沿第二方向的第三间距,并且第四鳍式有源线布置成具有沿第一方向的第四间距。在上述半导体器件中,第二间距为第三间距的约两倍;第六间距大约与第二间距相同;第一间距与第四间距之间的第一比率不是整数;而第五间距与第四间距之间的第二比率为整数。
前面概述了若干实施例的特征,使得本领域的技术人员可以更好地理解本发明的各个方面。本领域的技术人员应该理解,他们可以容易地使用本公开作为基础来设计或修改用于与本文中介绍的实施例执行相同的目的和/或实现相同优点的其他工艺和结构。本领域的技术人员还应该意识到,这种等效结构不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,他们可以对本发明作出各种改变、替换和变更。

Claims (10)

1.一种集成电路(IC)布局,包括:
第一矩形区域,其中,所述第一矩形区域具有沿第一方向的较长边和沿第二方向的较短边,所述第二方向垂直于所述第一方向;并且沿所述第一方向穿过所述第一矩形区域的几何中心的第一虚线和沿所述第二方向穿过所述几何中心的第二虚线以逆时针顺序将所述第一矩形区域划分为第一子区域、第二子区域、第三子区域和第四子区域,所述第一子区域位于所述第一矩形区域的右上部分处;
至少八个第一图案,位于所述IC布局的第一层中,其中,每个所述第一图案均为沿所述第二方向在所述第一矩形区域上方纵向地延伸的矩形形状;所述第一图案沿所述第一方向彼此地间隔开;所述第一图案的第一部分、第二部分、第三部分和第四部分分别与所述第一子区域、所述第二子区域、所述第三子区域和所述第四子区域重叠;所述第一图案的第一部分和第二部分为所述第一图案的相应的第四部分和第三部分关于所述第一虚线的镜像;并且所述第一图案的第一部分和第四部分为所述第一图案的相应的第二部分和第三部分的平移;
至少八个第二图案,位于所述IC布局的第二层中,其中,每个所述第二图案均为沿所述第二方向纵向地延伸的矩形形状,所述第二图案沿所述第一方向彼此间隔开,当所述第一层与所述第二层叠置时,每个所述第二图案均与所述第一图案中的一个部分地重叠并完全覆盖相应的第一图案的较长边;以及
多个第三图案,位于所述IC布局的第三层中,其中,每个所述第三图案均为矩形形状,所述第三图案彼此间隔开,当所述第一层、所述第二层和所述第三层叠置时,每个所述第三图案均与所述第一图案中的一个部分地重叠并覆盖所述相应的第一图案中未被所述第二图案覆盖的较长边的一部分,
其中:
所述第一图案、所述第二图案和所述第三图案用于共同地限定多个有源区域以形成晶体管;并且
当所述第一层、所述第二层和所述第三层叠置时,沿着所述第一图案中未被所述第二图案和所述第三图案覆盖的较长边限定所述多个有源区域。
2.根据权利要求1所述的IC布局,其中,所述有源区域是用于形成FinFET型晶体管的鳍式有源线。
3.根据权利要求1所述的IC布局,还包括:
位于所述IC布局的栅极层中的多个栅极部件,
其中,每个所述栅极部件均为沿所述第一方向纵向地延伸的矩形形状;所述栅极部件沿所述第二方向彼此间隔开栅极间距;所述栅极部件的第一部分、第二部分、第三部分和第四部分分别与所述第一子区域、所述第二子区域、所述第三子区域和所述第四子区域重叠;所述栅极部件的第一部分和第二部分为所述栅极部件的相应的第四部分和第三部分关于所述第一虚线的镜像;所述栅极部件的第一部分和第四部分为所述栅极的相应的第二部分和第三部分关于所述第二虚线的镜像;一些所述栅极部件用于形成具有相应的有源区域的P型晶体管而一些所述栅极部件用于形成具有相应的有源区域的N型晶体管。
4.根据权利要求3所述的IC布局,其中,所述栅极部件和所述有源区域在每个子区域中形成至少六个晶体管,并且所述每个子区域中的所述至少六个晶体管形成SRAM单元。
5.根据权利要求4所述的IC布局,其中,所述每个子区域中的所述至少六个晶体管为FinFET晶体管。
6.根据权利要求1所述的IC布局,还包括第二矩形区域,所述第二矩形区域与所述第一矩形区域基本上相同并且与沿所述第二方向延伸的所述第一矩形区域并排设置,其中,所述第一图案和所述第二图案至少在所述第一矩形区域和所述第二矩形区域上方延伸。
7.根据权利要求1所述的IC布局,其中,八个第一图案在所述第一矩形区域上方延伸,并且当所述第一层与所述第二层叠置时,所述八个第一图案中的每个均与所述第二图案中的一个重叠。
8.根据权利要求1所述的IC布局,其中,十个第一图案在所述第一矩形区域上方延伸,并且当所述第一层与所述第二层叠置时,所述十个第一图案中的两个与所述第二图案中的任意一个都不重叠。
9.一种半导体器件,包括:
第一SRAM宏,其中,所述第一SRAM宏包括第一多个单端口SRAM单元和第二多个外围逻辑电路,所述第一多个单端口SRAM单元布置成具有沿第一方向的第一间距和沿第二方向的第二间距,所述第二方向垂直于所述第一方向,所述第一多个单端口SRAM单元包括由第一栅极部件和第一鳍式有源线所形成的FinFET晶体管,所述第二多个外围逻辑电路包括由第二栅极部件和第二鳍式有源线所形成的FinFET晶体管,所述第二栅极部件布置成具有沿所述第二方向的第三间距,并且所述第二鳍式有源线布置成具有沿所述第一方向的第四间距;以及
第二SRAM宏,其中,所述第二SRAM宏包括第三多个单端口SRAM单元和第四多个外围逻辑电路,所述第三多个单端口SRAM单元布置成具有沿所述第一方向的第五间距和沿所述第二方向的第六间距,所述第三多个单端口SRAM单元包括由第三栅极部件和第三鳍式有源线所形成的FinFET晶体管,所述第四多个外围逻辑电路包括由第四栅极部件和第四鳍式有源线所形成的FinFET晶体管,所述第四栅极部件布置成具有沿所述第二方向的第三间距,并且所述第四鳍式有源线布置成具有沿所述第一方向的第四间距,
其中:
所述第二间距为所述第三间距的约两倍;
所述第六间距大约与所述第二间距相同;并且
所述第五间距比所述第一间距大大约两倍的所述第四间距。
10.一种半导体器件,包括:
第一SRAM宏,其中,所述第一SRAM宏包括第一多个单端口SRAM单元和第二多个外围逻辑电路,所述第一多个单端口SRAM单元布置成具有沿第一方向的第一间距和沿第二方向的第二间距,所述第二方向垂直于所述第一方向,所述第一多个单端口SRAM单元包括由第一栅极部件和第一鳍式有源线所形成的第一FinFET晶体管,所述第二多个外围逻辑电路包括由第二栅极部件和第二鳍式有源线所形成的第二FinFET晶体管,所述第二栅极部件布置成具有沿所述第二方向的第三间距,并且所述第二鳍式有源线布置成具有沿所述第一方向的第四间距;以及
第二SRAM宏,其中,所述第二SRAM宏包括第三多个两端口SRAM单元和第四多个外围逻辑电路,所述第三多个两端口SRAM单元布置成具有沿所述第一方向的第五间距和沿所述第二方向的第六间距,所述第三多个两端口SRAM单元包括由第三栅极部件和第三鳍式有源线所形成的第三FinFET晶体管,所述第四多个外围逻辑电路包括由第四栅极部件和第四鳍式有源线所形成的第四FinFET晶体管,所述第四栅极部件布置成具有沿所述第二方向的第三间距,并且所述第四鳍式有源线布置成具有沿所述第一方向的第四间距,
其中:
所述第二间距为所述第三间距的约两倍;
所述第六间距大约与所述第二间距相同;
所述第一间距与所述第四间距之间的第一比率不是整数;并且
所述第五间距与所述第四间距之间的第二比率是整数。
CN201410187533.5A 2014-02-27 2014-05-05 鳍式场效应晶体管sram的结构和方法 Active CN104882444B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/191,789 2014-02-27
US14/191,789 US9257439B2 (en) 2014-02-27 2014-02-27 Structure and method for FinFET SRAM

Publications (2)

Publication Number Publication Date
CN104882444A true CN104882444A (zh) 2015-09-02
CN104882444B CN104882444B (zh) 2018-01-26

Family

ID=53882980

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410187533.5A Active CN104882444B (zh) 2014-02-27 2014-05-05 鳍式场效应晶体管sram的结构和方法

Country Status (4)

Country Link
US (6) US9257439B2 (zh)
KR (2) KR101706420B1 (zh)
CN (1) CN104882444B (zh)
TW (1) TWI552314B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106887249A (zh) * 2015-12-15 2017-06-23 北京大学 静态随机存取存储器及其操作方法
CN106972048A (zh) * 2015-09-23 2017-07-21 三星电子株式会社 半导体器件及其制造方法
CN107464811A (zh) * 2016-06-06 2017-12-12 格罗方德半导体公司 半导体装置
CN109148451A (zh) * 2017-06-27 2019-01-04 联华电子股份有限公司 静态随机存取存储器单元阵列及其形成方法
CN109643688A (zh) * 2016-08-01 2019-04-16 株式会社索思未来 半导体集成电路装置
CN109904160A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 静态随机存储器及其制造方法
CN110660788A (zh) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 集成电路器件和集成电路单元的布局图生成方法
CN111508962A (zh) * 2019-01-31 2020-08-07 台湾积体电路制造股份有限公司 集成电路、存储器和存储器阵列
CN113658915A (zh) * 2020-05-12 2021-11-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的形成方法

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9418728B2 (en) * 2014-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port static random-access memory cell
CN105719688B (zh) * 2014-12-04 2019-03-29 中芯国际集成电路制造(上海)有限公司 Sram存储器和形成sram存储器的方法
US10475886B2 (en) * 2014-12-16 2019-11-12 International Business Machines Corporation Modified fin cut after epitaxial growth
KR102347185B1 (ko) * 2015-02-03 2022-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9496854B2 (en) * 2015-03-10 2016-11-15 International Business Machines Corporation High-speed latch circuits by selective use of large gate pitch
US9673056B2 (en) 2015-03-16 2017-06-06 International Business Machines Corporation Method to improve finFET cut overlay
US10079186B2 (en) * 2015-09-23 2018-09-18 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
KR102413371B1 (ko) * 2015-11-25 2022-06-28 삼성전자주식회사 반도체 소자
JP2017108031A (ja) * 2015-12-11 2017-06-15 ルネサスエレクトロニクス株式会社 半導体装置
US9871046B2 (en) * 2016-02-24 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM circuits with aligned gate electrodes
US9786647B1 (en) * 2016-04-07 2017-10-10 United Microelectronics Corp. Semiconductor layout structure
US10510599B2 (en) * 2016-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Company Limited FinFET switch
US10050046B2 (en) 2016-04-27 2018-08-14 United Microelectronics Corp. Static random-access memory (SRAM) cell array and forming method thereof
TWI690056B (zh) * 2016-04-27 2020-04-01 聯華電子股份有限公司 靜態隨機存取記憶體單元陣列及其形成方法
US9728541B1 (en) * 2016-06-17 2017-08-08 United Microelectronics Corp. Static random-access memory (SRAM) cell array and forming method thereof
US10074657B2 (en) 2016-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fins and semiconductor device which includes fins
US9704859B1 (en) 2016-05-06 2017-07-11 International Business Machines Corporation Forming semiconductor fins with self-aligned patterning
KR102637621B1 (ko) 2016-05-25 2024-02-20 삼성전자주식회사 반도체 소자의 제조 방법
JP6875643B2 (ja) * 2016-07-01 2021-05-26 株式会社ソシオネクスト 半導体集積回路装置
JP2018010707A (ja) * 2016-07-12 2018-01-18 ルネサスエレクトロニクス株式会社 半導体装置
US10282504B2 (en) * 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10515969B2 (en) 2016-11-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TW202404049A (zh) 2016-12-14 2024-01-16 成真股份有限公司 標準大宗商品化現場可編程邏輯閘陣列(fpga)積體電路晶片組成之邏輯驅動器
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
US10170625B2 (en) 2017-01-20 2019-01-01 Globalfoundries Singapore Pte. Ltd. Method for manufacturing a compact OTP/MTP technology
US10056390B1 (en) 2017-04-20 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
KR102336784B1 (ko) * 2017-06-09 2021-12-07 삼성전자주식회사 반도체 장치
US10050045B1 (en) 2017-06-16 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell with balanced write port
US10447274B2 (en) 2017-07-11 2019-10-15 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
KR102360410B1 (ko) 2017-08-30 2022-02-08 삼성전자주식회사 반도체 장치
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
US10522528B2 (en) 2017-09-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device layout
US10861692B2 (en) 2017-10-26 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier deterioration detection and repair
KR102484393B1 (ko) 2018-01-17 2023-01-03 삼성전자주식회사 반도체 소자 제조 방법 및 이에 의한 반도체 소자
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
TWI754722B (zh) 2018-02-13 2022-02-11 聯華電子股份有限公司 半導體裝置的布局、半導體裝置及其形成方法
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10515954B2 (en) 2018-03-18 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having fin structures of varying dimensions
US11404423B2 (en) * 2018-04-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US10629706B2 (en) 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US10608638B2 (en) 2018-05-24 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US11094802B2 (en) 2018-08-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and semiconductor device
US10892011B2 (en) 2018-09-11 2021-01-12 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US10763863B2 (en) * 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization
DE102019117897B4 (de) 2018-09-28 2024-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung zur logik- und speicher-co-optimierung sowie schaltung
US10937762B2 (en) 2018-10-04 2021-03-02 iCometrue Company Ltd. Logic drive based on multichip package using interconnection bridge
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US10664638B1 (en) * 2018-12-17 2020-05-26 Globalfoundries Inc. Measuring complex structures in semiconductor fabrication
US11675949B2 (en) * 2019-02-21 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Space optimization between SRAM cells and standard cells
US10818674B2 (en) 2019-03-07 2020-10-27 Globalfoundries Inc. Structures and SRAM bit cells integrating complementary field-effect transistors
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
CN112018042B (zh) * 2019-05-30 2023-10-24 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US10985154B2 (en) 2019-07-02 2021-04-20 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits
TWI715127B (zh) * 2019-07-30 2021-01-01 瑞昱半導體股份有限公司 積體電路佈局方法
US11887930B2 (en) 2019-08-05 2024-01-30 iCometrue Company Ltd. Vertical interconnect elevator based on through silicon vias
KR20210017309A (ko) 2019-08-07 2021-02-17 삼성전자주식회사 멀티-하이트 스탠다드 셀로 구현되는 세미-다이나믹 플립-플롭 및 이를 포함하는 집적 회로의 설계 방법
CN112347728A (zh) * 2019-08-08 2021-02-09 瑞昱半导体股份有限公司 集成电路布局方法
US11087831B2 (en) * 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around memory devices
US11508735B2 (en) * 2019-08-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Cell manufacturing
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US11469238B2 (en) * 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US11557590B2 (en) * 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization
TW202139270A (zh) 2020-02-27 2021-10-16 台灣積體電路製造股份有限公司 半導體裝置的形成方法
US11515211B2 (en) 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
US11404570B2 (en) 2020-02-27 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with embedded ferroelectric field effect transistors
US11527539B2 (en) * 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Four-poly-pitch SRAM cell with backside metal tracks
US11296070B2 (en) * 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Company Limited Integrated circuit with backside power rail and backside interconnect
US11488969B1 (en) 2021-04-08 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Two-port SRAM cells with asymmetric M1 metalization
US11784228B2 (en) 2021-04-09 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process and structure for source/drain contacts
CN113488474A (zh) * 2021-07-15 2021-10-08 广东省大湾区集成电路与系统应用研究院 一种高密度静态随机存储器比特单元结构及其工艺方法
US11665877B1 (en) 2021-12-29 2023-05-30 International Business Machines Corporation Stacked FET SRAM design

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101730864A (zh) * 2007-07-10 2010-06-09 先进微装置公司 间隔件微影蚀刻
CN102122660A (zh) * 2009-12-07 2011-07-13 台湾积体电路制造股份有限公司 集成电路结构
CN102148199A (zh) * 2010-02-08 2011-08-10 台湾积体电路制造股份有限公司 静态随机存取存储器的制造方法与半导体装置的制造方法
CN102298963A (zh) * 2010-06-25 2011-12-28 台湾积体电路制造股份有限公司 双端口静态随机存取存储器的单元结构
US20120299106A1 (en) * 2011-05-23 2012-11-29 Globalfoundries Inc. Semiconductor device and method of fabrication

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142289A (ja) * 2003-11-05 2005-06-02 Toshiba Corp 半導体記憶装置
KR100654535B1 (ko) * 2005-05-18 2006-12-05 인터내셔널 비지네스 머신즈 코포레이션 역방향 FinFET 박막트랜지스터를 이용한FinFET 정적 메모리 셀
KR100675290B1 (ko) 2005-11-24 2007-01-29 삼성전자주식회사 다중채널 전계효과트랜지스터 및 핀 전계효과트랜지스터를갖는 반도체소자의 제조방법 및 관련된 소자
US8124976B2 (en) * 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7812373B2 (en) * 2007-02-12 2010-10-12 Infineon Technologies Ag MuGFET array layout
US7829951B2 (en) * 2008-11-06 2010-11-09 Qualcomm Incorporated Method of fabricating a fin field effect transistor (FinFET) device
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US8004042B2 (en) * 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
JP2011019741A (ja) 2009-07-16 2011-02-03 Hoya Corp 内視鏡用生検鉗子
US8964455B2 (en) * 2010-03-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a SRAM circuit
US8621398B2 (en) * 2010-05-14 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic layout conversion for FinFET device
US9159627B2 (en) * 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8389383B1 (en) * 2011-04-05 2013-03-05 Micron Technology, Inc. Patterned semiconductor bases, and patterning methods
JP5705053B2 (ja) * 2011-07-26 2015-04-22 ルネサスエレクトロニクス株式会社 半導体装置
US9152039B2 (en) * 2011-10-18 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple patterning technology method and system for achieving minimal pattern mismatch
US8669186B2 (en) * 2012-01-26 2014-03-11 Globalfoundries Inc. Methods of forming SRAM devices using sidewall image transfer techniques
US8964453B2 (en) * 2012-06-28 2015-02-24 Synopsys, Inc. SRAM layouts
US9012287B2 (en) * 2012-11-14 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for SRAM FinFET transistors
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9184169B2 (en) * 2014-04-10 2015-11-10 Globalfoundries Inc. Methods of forming FinFET devices in different regions of an integrated circuit product

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101730864A (zh) * 2007-07-10 2010-06-09 先进微装置公司 间隔件微影蚀刻
CN102122660A (zh) * 2009-12-07 2011-07-13 台湾积体电路制造股份有限公司 集成电路结构
CN102148199A (zh) * 2010-02-08 2011-08-10 台湾积体电路制造股份有限公司 静态随机存取存储器的制造方法与半导体装置的制造方法
CN102298963A (zh) * 2010-06-25 2011-12-28 台湾积体电路制造股份有限公司 双端口静态随机存取存储器的单元结构
US20120299106A1 (en) * 2011-05-23 2012-11-29 Globalfoundries Inc. Semiconductor device and method of fabrication

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106972048A (zh) * 2015-09-23 2017-07-21 三星电子株式会社 半导体器件及其制造方法
CN106972048B (zh) * 2015-09-23 2021-08-17 三星电子株式会社 半导体器件及其制造方法
CN106887249A (zh) * 2015-12-15 2017-06-23 北京大学 静态随机存取存储器及其操作方法
CN107464811A (zh) * 2016-06-06 2017-12-12 格罗方德半导体公司 半导体装置
CN109643688B (zh) * 2016-08-01 2023-01-06 株式会社索思未来 半导体集成电路装置
CN109643688A (zh) * 2016-08-01 2019-04-16 株式会社索思未来 半导体集成电路装置
CN111785721A (zh) * 2017-06-27 2020-10-16 联华电子股份有限公司 静态随机存取存储器单元阵列
CN109148451B (zh) * 2017-06-27 2021-09-07 联华电子股份有限公司 静态随机存取存储器单元阵列及其形成方法
CN109148451A (zh) * 2017-06-27 2019-01-04 联华电子股份有限公司 静态随机存取存储器单元阵列及其形成方法
CN109904160A (zh) * 2017-12-11 2019-06-18 中芯国际集成电路制造(北京)有限公司 静态随机存储器及其制造方法
CN110660788A (zh) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 集成电路器件和集成电路单元的布局图生成方法
CN110660788B (zh) * 2018-06-29 2022-06-21 台湾积体电路制造股份有限公司 集成电路器件和集成电路单元的布局图生成方法
CN111508962A (zh) * 2019-01-31 2020-08-07 台湾积体电路制造股份有限公司 集成电路、存储器和存储器阵列
CN111508962B (zh) * 2019-01-31 2023-06-02 台湾积体电路制造股份有限公司 集成电路、存储器和存储器阵列
CN113658915A (zh) * 2020-05-12 2021-11-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件的形成方法
CN113658915B (zh) * 2020-05-12 2023-05-12 中芯国际集成电路制造(上海)有限公司 一种半导体器件的形成方法

Also Published As

Publication number Publication date
US20170271343A1 (en) 2017-09-21
KR101706420B1 (ko) 2017-02-13
US9257439B2 (en) 2016-02-09
CN104882444B (zh) 2018-01-26
US20210225852A1 (en) 2021-07-22
KR20150101894A (ko) 2015-09-04
KR20150123761A (ko) 2015-11-04
US10971503B2 (en) 2021-04-06
US20190096893A1 (en) 2019-03-28
US20200066733A1 (en) 2020-02-27
US11342337B2 (en) 2022-05-24
TW201539718A (zh) 2015-10-16
US10461087B2 (en) 2019-10-29
TWI552314B (zh) 2016-10-01
US20150243667A1 (en) 2015-08-27
US9673202B2 (en) 2017-06-06
KR101594743B1 (ko) 2016-02-16
US10141318B2 (en) 2018-11-27
US20160118390A1 (en) 2016-04-28

Similar Documents

Publication Publication Date Title
CN104882444A (zh) 鳍式场效应晶体管sram的结构和方法
US10692879B2 (en) Semiconductor device including different orientations of memory cell array and peripheral circuit transistors
US10340270B2 (en) Integrated circuit having FinFETS with different fin profiles
US10096520B2 (en) Semiconductor device and method of fabricating the same
JP4659527B2 (ja) 半導体装置の製造方法
US20070170474A1 (en) Semiconductor device and method of fabricating the same
US8759902B2 (en) Non-volatile memory device with vertical memory cells
US11222899B2 (en) Semiconductor device which includes fins and method of making same
JP2013128059A (ja) パターン形成方法
US20120238099A1 (en) Method of manufacturing electronic part
JP2014504015A (ja) 電荷トラップ電界効果トランジスタにおけるプロセスマージンのエンジニアリング
US9219071B1 (en) Semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant