CN104576355A - 等离子体处理方法 - Google Patents

等离子体处理方法 Download PDF

Info

Publication number
CN104576355A
CN104576355A CN201410543621.4A CN201410543621A CN104576355A CN 104576355 A CN104576355 A CN 104576355A CN 201410543621 A CN201410543621 A CN 201410543621A CN 104576355 A CN104576355 A CN 104576355A
Authority
CN
China
Prior art keywords
gas
plasma
plasma processing
film
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410543621.4A
Other languages
English (en)
Other versions
CN104576355B (zh
Inventor
味上俊一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN104576355A publication Critical patent/CN104576355A/zh
Application granted granted Critical
Publication of CN104576355B publication Critical patent/CN104576355B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明目的在于提供能够在保护基底层的同时去除对含硅膜进行蚀刻时产生的反应产物的等离子体处理方法。提供一种等离子体处理方法,其为使用形成有蚀刻图案的蚀刻掩模对形成于基板上的含硅膜进行处理的等离子体处理方法,其包括去除工序:通过由含有卤素、氢气和碳的第一气体生成的等离子体去除对含硅膜进行蚀刻时产生的反应产物。

Description

等离子体处理方法
技术领域
本发明涉及等离子体处理方法。
背景技术
半导体装置的制造工序中,进行通过等离子体蚀刻在半导体晶圆等基板上形成微细图案的操作。此时,存在如下情况:通过等离子体蚀刻,基板上的被蚀刻材料与等离子体蚀刻中所应用的反应气体反应,反应产物主要堆积在蚀刻而得到的图案的侧壁部。
该反应产物成为用于阻止等离子体蚀刻时对蚀刻而得到的图案的侧壁部进行蚀刻的保护膜。另一方面,如果以该反应产物残留的状态在后续工序中进行CVD(化学气相沉积(Chemical Vapor Deposition))膜的形成、布线形成等,则有时反应产物成为微粒的原因、布线故障的原因。因此,必须将主要堆积于图案的侧壁部的反应产物去除。
例如,专利文献1中作为反应产物的去除方法,公开了对形成有图案的基板用稀氢氟酸水溶液进行湿蚀刻处理,在包括蚀刻面的整面上覆盖包含OH或H的有机材料膜之后,用氧等离子体进行灰化的技术。
现有技术文献
专利文献
专利文献1:日本特开2007-73840号公报
发明内容
发明要解决的问题
然而,如果对基板用稀氢氟酸水溶液进行湿蚀刻处理,则堆积于蚀刻而成的图案的侧壁部的反应产物被去除,同时形成于基板上的基底层也被蚀刻,所以基底层受到损害。
因此,本发明的一个方案目的在于提供能够在保护基底层的同时去除对含硅膜进行蚀刻时产生的反应产物的等离子体处理方法。
用于解决问题的方案
一个方案提供一种等离子体处理方法,其为使用形成了蚀刻图案的蚀刻掩模对形成于基板上的含硅膜进行处理的等离子体处理方法,其包括去除工序:通过由含有卤素、氢气和碳的第一气体生成的等离子体去除对含硅膜进行蚀刻时产生的反应产物。
发明的效果
根据一个实施方式,提供能够在保护基底层的同时去除对含硅膜进行蚀刻时产生的反应产物的等离子体处理方法。
附图说明
图1为一个实施方式的等离子体处理装置的整体构成图。
图2为一个实施方式的等离子体处理方法的流程图。
图3为一个实施方式的各工序中的含硅膜的概略剖面图。
图4为表示一个实施方式的堆积物的组成的图。
图5为表示一个实施方式的TEOS和多晶硅的蚀刻速率的图。
具体实施方式
以下,对于本发明的实施方式边参照附图边进行说明。需要说明的是,本说明书和附图中,对于实质上具有同一功能构成的构成要素,通过标注相同的符号而省略重复的说明。
(等离子体处理装置的整体构成)
首先,对于本发明的一个实施方式的等离子体处理装置1的整体构成,边参照图1边进行说明。此处,以电容耦合型平行平板等离子体处理装置为例,对于等离子体处理装置1进行说明。
等离子体处理装置1具有例如由表面经过阳极氧化处理的铝形成的大致圆筒状的腔室10。腔室10被安全接地。
在腔室10的底部,隔着由陶瓷等形成的绝缘板12配置有圆柱状的基座支撑台14,在基座支撑台14上设置有例如由铝形成的基座16。基座16还作为下部电极而起作用,其上部载置有作为被处理基板的半导体晶圆W。
基座16的上表面设置有用静电力吸附保持半导体晶圆W的静电卡盘18。静电卡盘18具有用一对绝缘层或绝缘片材夹着由导电膜形成的电极20的结构。电极20与直流电源22电连接。并且,通过由来自直流电源22的直流电压产生的库仑力等静电力,半导体晶圆W被吸附保持于静电卡盘18。
在静电卡盘18(半导体晶圆W)的周围、基座16的上表面配置有用于提高蚀刻的均匀性的例如由硅形成的导电性的聚焦环24。在基座16和基座支撑台14的侧面设有例如由石英制作的大致圆筒状的内壁部件26。
在基座支撑台14的内部例如在圆周上设有制冷剂室28。由设置于外部的没有图示的冷却装置通过配管30a、30b向制冷剂室28循环供给规定温度的制冷剂例如冷却水,能够通过制冷剂的温度控制基座16上的半导体晶圆W的处理温度。
进而,来自没有图示的导热气体供给机构的导热气体例如氦(He)气体通过气体供给路径32被供给至静电卡盘18的上表面与半导体晶圆W的背面之间。
在基座16的上方,以与基座16相对的方式平行地设有上部电极34,上部电极34和基座16之间的空间成为等离子体生成空间。上部电极34形成与基座16上的半导体晶圆W相对、与等离子体生成空间相接触的面即相对面。
上部电极34介由绝缘性遮蔽部件42被支撑在腔室10的上部。另外,上部电极34由构成基座16的相对面并且具有多个气体排出孔37的电极板36、和可拆卸地支撑电极板36的电极支撑体38构成。电极支撑体38具有由导电性材料例如表面经阳极氧化处理的铝形成的水冷结构。
电极支撑体38的内部设置有气体扩散室40,与气体排出孔37连通的多个气体流通孔41由气体扩散室40向下方延伸。电极支撑体38上形成有向气体扩散室40导入气体的气体导入口62。气体导入口62与气体供给管64连接,气体供给管64与气体供给源66连接。
在气体供给管64上,自上游侧起依次设有流量控制器(MFC)68和开闭阀70。并且,用于蚀刻的气体自气体供给源66经由气体供给管64到达气体扩散室40,经由气体流通孔41和气体排出孔37被喷淋状地排出至等离子体生成空间。即,上部电极34作为用于供给气体的喷头而起作用。
上部电极34经由第一匹配器46和供电棒44与第一高频电源48电连接。第一高频电源48输出例如60MHz的等离子体生成用的高频电力。
第一匹配器46使第一高频电源48的内部(或输出)阻抗与负载阻抗匹配。即,腔室10内生成等离子体时,第一匹配器46起到使第一高频电源48的输出阻抗与负载阻抗表观上一致的作用。第一匹配器46的输出端子与供电棒44的上端连接。
自腔室10的侧壁起、向比上部电极34的高度位置更靠上方延伸地设有大致圆筒状的接地导体10a。接地导体10a的顶壁部分通过筒状的绝缘部件44a与供电棒44电绝缘。
基座16经由第二匹配器88与第二高频电源90电连接。第二高频电源90输出300kHz~13.56MHz范围内的用于引入离子(偏压)的频率为例如13.56MHz的高频电力。由该第二高频电源90向基座16供给高频电力,由此离子被引入至半导体晶圆W侧。
第二匹配器88用于使第二高频电源90的内部(或输出)阻抗与负载阻抗相匹配。即,腔室10内生成等离子体时,第二匹配器88起到使第二高频电源90的内部阻抗与负载阻抗表观上一致的作用。
上部电极34与低通滤波器(LPF)92电连接,所述低通滤波器(LPF)92用于使来自第一高频电源48的高频不通到地面而使来自第二高频电源90的高频通到地面。
LPF92优选由LR滤波器或者LC滤波器构成,即便仅有一根导线也能够对于来自第一高频电源48的高频赋予充分大的电抗,所以一根导线也可以。另一方面,基座16与高通滤波器(HPF)94电连接,所述高通滤波器(HPF)94用于使来自第一高频电源48的高频通到地面。
在腔室10的底部设有排气口80,排气口80经由排气管82与排气装置84相连接。排气装置84具有例如涡轮分子泵等真空泵,能够将腔室10内部减压至所希望的真空度为止。
另外,在腔室10的侧壁上设有半导体晶圆W的输入输出口85,输入输出口85能够通过闸阀86开闭。
另外,沿着腔室10的内壁可拆卸地设置有沉积物屏蔽件11,所述沉积物屏蔽件11用于防止蚀刻副产物(沉积物)附着于腔室10。即,沉积物屏蔽件11构成腔室壁。另外,沉积物屏蔽件11也设置于内壁部件26的外周。
在腔室10的底部的腔室壁侧的沉积物屏蔽件11与内壁部件26侧的沉积物屏蔽件11之间设有排气板83。作为沉积物屏蔽件11和排气板83,可以优选使用在铝上覆盖有氧化钇(Y2O3)等陶瓷而成的物质。
等离子体处理装置1的各构成部与控制部95连接而被控制。控制部95与用户界面96连接,用户界面96由为了工程管理者管理等离子体处理装置1而进行指令输入操作的键盘、可视化显示等离子体处理装置1的运转状况的显示器等构成。
进而,控制部95与存储部97相连接,存储部97存储有制程即用于实施在等离子体处理装置1中执行的各种处理的控制程序、用于根据处理条件对等离子体处理装置1的各构成部实施处理的程序。
制程可以存储于硬盘、半导体存储器,或者也可以以收容于CDROM、DVD等可移动的能够用电脑读取的存储介质的状态设置于存储部97的规定位置。
接着,根据需要,按照来自用户界面96的指示等从存储部97调出任意的制程,在控制部95中执行,在控制部95的控制下进行等离子体处理装置1中所希望的处理。需要说明的是,本发明的实施方式中所述的等离子体处理装置1包含该控制部95。
通过这样构成的等离子体处理装置1,进行后述的图2所示的等离子体处理。
该情况下,首先,打开闸阀86,经由输入输出口85将作为蚀刻对象的半导体晶圆W搬入至腔室10内,载置于基座16上。接着,通过用控制部95控制各部,气体、高频电力被供给至腔室10内,生成所希望的等离子体。通过生成的等离子体的作用实施所希望的等离子体处理,能够在半导体晶圆W上形成所希望的图案。
以上,对于本实施方式的等离子体处理装置1的整体构成进行说明。
(等离子体处理方法)
接着,边参照图2至图5边对于使用了上述的本实施方式的等离子体处理装置1的等离子体处理方法的一个实施方式进行说明。
图2表示本实施方式的等离子体处理方法的流程图。
如图2所示,本实施方式的等离子体处理方法为使用形成有蚀刻图案的蚀刻掩模对含硅膜进行处理的方法,包括:蚀刻工序(S1)、去除工序(S2)、后续工序(S3)这3个工序。
S1为通过由含有卤素的第二气体生成的等离子体对含硅膜进行蚀刻的工序。
S2为如下工序:将S1中对含硅膜进行蚀刻时产生的反应产物通过由含有卤素、氢气(H2)和碳(C)的第一气体生成的等离子体去除。
S3为如下工序:S2中将反应产物去除之后,通过由含有氧气(O2)的第三气体生成的等离子体将蚀刻掩模去除。
需要说明的是,本实施方式中,将在半导体晶圆W上形成TEOS(四乙氧基硅烷(TetraEthOxySilane))膜101、多晶硅膜102、氧化硅膜103和非晶碳膜104的情况作为一例进行说明。
多晶硅膜102为含硅膜的一例,非晶碳膜104为预先形成有所希望的蚀刻图案的蚀刻掩模的一例。
另外,作为对多晶硅膜102进行蚀刻时所使用的第二气体,使用含有溴化氢(HBr)、He和O2的混合气体。另外,作为去除反应产物时所使用的第一气体,使用含有四氟甲烷(CF4)和H2的混合气体。另外,作为去除非晶碳膜104时所使用的气体,使用O2气体。
以下,对于S1至S3的3个工序依次进行说明。
[含硅膜的蚀刻工序S1]
S1中,将含硅膜(多晶硅膜102)蚀刻成所希望的图案。
首先,对于载置于基座16上的半导体晶圆W,由气体供给源66供给含有HBr、He和O2的混合气体。此时,混合气体的流量比优选为HBr/He/O2=400~600/350~530/7~11sccm。
接着,由第一高频电源48供给60MHz的等离子体生成用的高频电力。另外,由第二高频电源90供给13.56MHz的用于引入离子的高频电力。
由此,如图3的(A)所示,多晶硅膜102被蚀刻成非晶碳膜104的图案。另外,溴化氧化硅(SiBrO)膜111的反应产物同时堆积在图案的侧壁部113(多晶硅膜102、氧化硅膜103和非晶碳膜104的侧壁部)和非晶碳膜104的上部。
形成于图案的侧壁部113的SiBrO膜111抑制图案的侧壁部113被过度地蚀刻,选择地进行深度方向的蚀刻。
此时,以HBr气体为主,主要通过化学反应进行蚀刻,此外由不含有碳的第二气体生成等离子体,所以图案的侧壁部113和多晶硅膜102的表面不会形成基于碳键(C-C)的保护膜112。
因此,S1中,能够将多晶硅膜102以高的长宽比(纵横比)进行蚀刻。
需要说明的是,对于含硅膜的蚀刻工序S1中的工艺条件(压力、高频电力的频率·功率、气体流量、处理时间等),本领域技术人员可以根据使用的反应气体的种类、含硅膜的种类等适宜选择。
[反应产物的去除工序S2]
S2中,对于通过S1蚀刻成所希望的图案的多晶硅膜102,将堆积于该图案的侧壁部113的反应产物(SiBrO膜111)去除。
首先,由气体供给源66供给含有CF4和H2的混合气体。此时,混合气体的流量比优选为CF4/H2=80~120/160~240sccm。
接着,由第一高频电源48供给60MHz的高频电力。由此,如图3的(B)所示,不蚀刻TEOS膜101、多晶硅膜102而将堆积于图案的侧壁部113的SiBrO膜111去除。
需要说明的是,S2中设为如下的工艺条件:停止S1中由第二高频电源90向基座16施加的用于引入离子的高频电力的供给,不施加高频电力。由此,由于能够减小等离子体中的离子的加速电压,所以对于形成于半导体晶圆W上的TEOS膜101、多晶硅膜102的离子损害减小。
以下,对于S2中的效果,与等离子体处理的机理一起进行说明。
通常地,如果用含有卤素的气体生成的等离子体进行基板的蚀刻,则在侧壁部堆积有保护膜,侧壁部的蚀刻被阻止,主要在深度方向上进行蚀刻。侧壁部的保护膜能够用稀氢氟酸水溶液等的湿蚀刻去除。
然而,如果将基板用稀氢氟酸水溶液进行湿蚀刻处理,则堆积于侧壁部的反应产物被去除,同时地,基底层也被蚀刻,因此基底层受到损害。另外,由于需要在不同的装置内进行S1中所示的含硅膜的蚀刻与反应产物的去除,所以吞吐量降低、制造成本增大。
另一方面,本实施方式的等离子体处理方法中,通过由含有卤素、氢气和碳的第一气体生成的等离子体去除对多晶硅膜102进行蚀刻时产生的SiBrO膜111。
S2中,SiBrO膜111与由所述第一气体生成的等离子体之间发生后述的化学反应,由此能够在保护TEOS膜101的同时将对多晶硅膜102进行蚀刻时产生的SiBrO膜111去除。
图4为对PR(光致抗蚀剂(Photo Resist))用由CF4气体或CF4与H2的混合气体生成的等离子体进行等离子体处理之后,将堆积于PR上的堆积物用XPS(X射线光电子能谱(X-ray Photoelectron Spectroscopy))进行分析的结果。
如图4所示,如果对PR用由CF4与H2的混合气体生成的等离子体进行等离子体处理,则与用只由CF4气体生成的等离子体对PR进行等离子体处理的情况相比较,堆积物中所包含的C的比例大、F的比例小。
即,作为第一气体的CF4与H2的混合气体在等离子体中通过电子碰撞解离,如(1)所示进行化学反应。
上述反应中生成的各个等离子体中的原子和分子与反应产物之间如下进行反应。
即,图3的(A)中堆积于图案的侧壁部113的SiBrO膜111,如化学反应式(2)所示,被H自由基还原而生成溴化硅(SiBr)。SiBr如化学反应式(3)所示,与CFx自由基反应,由此,如图3的(B)所示,以挥发性的SiF气体的形式由图案的侧壁部113脱离,由腔室10排出。
另一方面,(1)中形成的C-C在TEOS膜101的表面和图案的侧壁部113堆积,由此形成保护膜112,所述保护膜112抑制TEOS膜101的表面和图案的侧壁部113被CFx自由基蚀刻。
即,利用去除SiBrO膜111之后堆积的包含碳键(C-C)的保护膜112,TEOS膜101的表面和多晶硅膜102的表面几部不被CFx自由基蚀刻。
图5的(A)和(B)分别表示用由CF4和H2的混合气体生成的等离子体对形成于基板上的TEOS膜101和多晶硅膜102进行等离子体处理情况下的蚀刻速率。需要说明的是,图5中,横轴表示基板的位置,纵轴分别表示TEOS膜101和多晶硅膜102的蚀刻速率。
另外,图例的X轴、Y轴、V轴和W轴分别表示半导体晶圆W中任意选择的一个径向。
如图5的(A)和(B)所示,TEOS膜101和多晶硅膜102无论在基板面内的哪个方向都基本不被由CF4和H2的混合气体生成的等离子体蚀刻。
由以上,在反应产物的去除工序S2中,能够在保护基底层(TEOS膜101)的同时去除对含硅膜(多晶硅膜102)进行蚀刻时产生的反应产物(SiBrO膜111)。另外,由于能够在同一装置内进行含硅膜的蚀刻工序S1和反应产物的去除工序S2,所以能够提高吞吐量,降低制造成本。
[蚀刻掩模的去除工序S3]
S3中,用由含有氧气(O2)的第三气体生成的等离子体,去除蚀刻掩模(非晶碳膜104)和包含碳键(C-C)的保护膜112。
首先,对于通过S2去除了SiBrO膜111的多晶硅膜102,由气体供给源66供给O2气体。此时,O2气体的流量优选为280~420sccm。
接着,由第一高频电源48供给60MHz的高频电力,由第二高频电源90供给13.56MHz的高频电力。由此,如图3的(C)所示,氧化硅膜103、非晶碳膜104和保护膜112被去除,形成具有所希望的图案的多晶硅膜102。
以上,通过实施例对使用了等离子体处理装置1的等离子体处理方法进行了说明,但本发明不限定于上述实施例,可以在本发明的范围内进行各种变形和改良。
例如,含硅膜的蚀刻工序S1所使用的蚀刻气体不限定于上述实施方式的说明中使用的HBr、He和O2的混合气体,只要是含有卤素的气体就可以使用任意气体。作为含有卤素的气体的一例,可以为CF4、六氟化硫(SF6)、三氟化氮(NF3)、氯气(Cl2),也可以为这些含有卤素的气体的混合气体。
通过使用含有卤素的气体作为蚀刻气体,能够将被蚀刻材料制成为挥发性高的卤素化合物而去除。
需要说明的是,使用Cl2作为含有卤素的气体的情况下,代替SiBrO生成SiClO作为反应产物,然而能用与本实施方式同样的方法去除。
另外,反应产物的去除工序S2中所使用的处理气体不限于上述实施方式的说明中使用的CF4和H2的混合气体,只要是至少含有卤素、氢气和碳的气体,就可以为任意的气体。优选使用氟化碳气体与氢气、或者氟代烃气体与氢气的混合气体。氟化碳气体和氟代烃气体进而优选使用CF4、二氟甲烷(CH2F2)、氟代甲烷(CH3F)的至少任一者的混合气体。
另外,作为本发明的产生等离子体的单元,可以使用:电容耦合型等离子体(CCP:Capacitively Coupled Plasma)产生单元、电感耦合型等离子体(ICP:Inductively Coupled Plasma)产生单元、螺旋波等离子体(HWP:Helicon WavePlasma)产生单元、包含由径向线缝隙天线(RLSA:Radial Line Slot Antenna)生成的微波等离子体、SPA(槽平面天线(Slot Plane Antenna))等离子体的微波激发表面波等离子体产生单元、电子回旋共振等离子体(ECR:ElectronCyclotron Resonance Plasma)产生单元、使用了上述产生单元的远程等离子体产生单元等。
另外,基板不限于半导体晶圆W,也可以为平板显示器用的大型基板、太阳能电池用的基板等。
附图标记说明
1   等离子体处理装置
101 TEOS膜
102 多晶硅膜
103 氧化硅膜
104 非晶碳膜
111 SiBrO膜
112 保护膜
113 侧壁部

Claims (7)

1.一种等离子体处理方法,
其为使用形成有蚀刻图案的蚀刻掩模对形成于基板上的含硅膜进行处理的等离子体处理方法,其包括:
去除工序:通过由含有卤素、氢气和碳的第一气体生成的等离子体去除对所述含硅膜进行蚀刻时产生的反应产物。
2.根据权利要求1所述的等离子体处理方法,其包括:
蚀刻工序:通过由含有卤素的第二气体生成的等离子体对所述含硅膜进行蚀刻,
所述去除工序将所述蚀刻工序中产生的反应产物去除。
3.根据权利要求1或2所述的等离子体处理方法,其包括:
后续工序:在所述去除工序之后,通过由含氧气的第三气体生成的等离子体去除所述蚀刻掩模。
4.根据权利要求1~3中的任一项所述的等离子体处理方法,其中,
所述第一气体为氟化碳气体与氢气、或者氟代烃气体与氢气。
5.根据权利要求4所述的等离子体处理方法,其中,
所述氟化碳气体和氟代烃气体为CF4、CH2F2和CH3F的至少任一者。
6.根据权利要求2~5中的任一项所述的等离子体处理方法,其中,
所述第二气体为不含有碳的气体。
7.根据权利要求2~6中的任一项所述的等离子体处理方法,其中,
所述去除工序停止所述蚀刻工序中对载置有所述基板的基座施加的用于引入离子的高频电力的供给。
CN201410543621.4A 2013-10-15 2014-10-15 等离子体处理方法 Active CN104576355B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013-214780 2013-10-15
JP2013214780A JP2015079793A (ja) 2013-10-15 2013-10-15 プラズマ処理方法

Publications (2)

Publication Number Publication Date
CN104576355A true CN104576355A (zh) 2015-04-29
CN104576355B CN104576355B (zh) 2018-12-14

Family

ID=52810035

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410543621.4A Active CN104576355B (zh) 2013-10-15 2014-10-15 等离子体处理方法

Country Status (5)

Country Link
US (1) US9653321B2 (zh)
JP (1) JP2015079793A (zh)
KR (1) KR102280572B1 (zh)
CN (1) CN104576355B (zh)
TW (1) TWI658508B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107533970A (zh) * 2015-05-14 2018-01-02 东京毅力科创株式会社 清洁方法和等离子体处理方法
CN108206133A (zh) * 2016-12-20 2018-06-26 东京毅力科创株式会社 微粒去除方法和基板处理方法
CN109326517A (zh) * 2017-08-01 2019-02-12 东京毅力科创株式会社 对多层膜进行蚀刻的方法
CN111640663A (zh) * 2019-03-01 2020-09-08 东京毅力科创株式会社 基片处理方法和基片处理装置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6385915B2 (ja) * 2015-12-22 2018-09-05 東京エレクトロン株式会社 エッチング方法
JP2019036650A (ja) * 2017-08-17 2019-03-07 株式会社Screenホールディングス 基板エッチング方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5777370A (en) * 1996-06-12 1998-07-07 Advanced Micro Devices, Inc. Trench isolation of field effect transistors
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US20010028093A1 (en) * 2000-03-30 2001-10-11 Kazuo Yamazaki Fabrication method for semiconductor integrated circuit devices and semiconductor integrated circuit device
US20050196967A1 (en) * 2004-01-20 2005-09-08 Savas Stephen E. System and method for removal of photoresist and residues following contact etch with a stop layer present
CN101276746A (zh) * 2007-03-28 2008-10-01 株式会社东芝 表面处理方法、蚀刻处理方法及电子装置的制造方法
CN102347230A (zh) * 2010-08-03 2012-02-08 东京毅力科创株式会社 等离子体处理方法以及等离子体处理装置
CN102403183A (zh) * 2010-09-15 2012-04-04 东京毅力科创株式会社 等离子体蚀刻处理装置及其方法和半导体元件制造方法
CN102891061A (zh) * 2011-07-20 2013-01-23 株式会社日立高新技术 等离子体处理方法及等离子体灰化装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4465552A (en) * 1983-08-11 1984-08-14 Allied Corporation Method of selectively etching silicon dioxide with SF6 /nitriding component gas
US6008139A (en) * 1996-06-17 1999-12-28 Applied Materials Inc. Method of etching polycide structures
US6207565B1 (en) * 2000-01-13 2001-03-27 Vlsi Technology, Inc Integrated process for ashing resist and treating silicon after masked spacer etch
JP2004266249A (ja) * 2003-02-10 2004-09-24 Nec Electronics Corp 半導体装置の製造方法
US7446050B2 (en) * 2003-08-04 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and plasma treatment process to improve a gate profile
JP2007073840A (ja) 2005-09-08 2007-03-22 Toshiba Corp 半導体装置の製造方法
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5777370A (en) * 1996-06-12 1998-07-07 Advanced Micro Devices, Inc. Trench isolation of field effect transistors
US20010028093A1 (en) * 2000-03-30 2001-10-11 Kazuo Yamazaki Fabrication method for semiconductor integrated circuit devices and semiconductor integrated circuit device
US20050196967A1 (en) * 2004-01-20 2005-09-08 Savas Stephen E. System and method for removal of photoresist and residues following contact etch with a stop layer present
CN101276746A (zh) * 2007-03-28 2008-10-01 株式会社东芝 表面处理方法、蚀刻处理方法及电子装置的制造方法
CN102347230A (zh) * 2010-08-03 2012-02-08 东京毅力科创株式会社 等离子体处理方法以及等离子体处理装置
CN102403183A (zh) * 2010-09-15 2012-04-04 东京毅力科创株式会社 等离子体蚀刻处理装置及其方法和半导体元件制造方法
CN102891061A (zh) * 2011-07-20 2013-01-23 株式会社日立高新技术 等离子体处理方法及等离子体灰化装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107533970A (zh) * 2015-05-14 2018-01-02 东京毅力科创株式会社 清洁方法和等离子体处理方法
CN107533970B (zh) * 2015-05-14 2020-10-09 东京毅力科创株式会社 清洁方法和等离子体处理方法
CN108206133A (zh) * 2016-12-20 2018-06-26 东京毅力科创株式会社 微粒去除方法和基板处理方法
CN108206133B (zh) * 2016-12-20 2023-04-11 东京毅力科创株式会社 微粒去除方法和基板处理方法
CN109326517A (zh) * 2017-08-01 2019-02-12 东京毅力科创株式会社 对多层膜进行蚀刻的方法
CN109326517B (zh) * 2017-08-01 2023-07-28 东京毅力科创株式会社 对多层膜进行蚀刻的方法
CN111640663A (zh) * 2019-03-01 2020-09-08 东京毅力科创株式会社 基片处理方法和基片处理装置

Also Published As

Publication number Publication date
US9653321B2 (en) 2017-05-16
TWI658508B (zh) 2019-05-01
JP2015079793A (ja) 2015-04-23
KR20150043978A (ko) 2015-04-23
KR102280572B1 (ko) 2021-07-22
US20150104950A1 (en) 2015-04-16
CN104576355B (zh) 2018-12-14
TW201532134A (zh) 2015-08-16

Similar Documents

Publication Publication Date Title
TWI828619B (zh) 半導體裝置製造中之氧化錫膜
EP3038142A1 (en) Selective nitride etch
CN102169823B (zh) 半导体装置的制造方法和等离子体蚀刻装置
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
US11664236B2 (en) Method of etching film and plasma processing apparatus
CN104576355B (zh) 等离子体处理方法
KR20170093080A (ko) 3D 구조체들의 원자층 에칭: 수평 표면과 수직 표면 상의 Si 및 SiGe 및 Ge 평활도
CN102655086A (zh) 半导体器件的制造方法和计算机存储介质
TWI692029B (zh) 電漿處理方法
WO2014046083A1 (ja) プラズマエッチング方法及びプラズマエッチング装置
CN104882360A (zh) 等离子体处理装置的清洁方法
JP2005508078A (ja) 高アスペクト比形態のエッチング方法
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
TW201801184A (zh) 蝕刻介電層中之特徵部的方法
KR101276258B1 (ko) 반도체 제조 장치 및 반도체 제조 방법
CN104285282A (zh) 等离子体蚀刻方法
KR20140121357A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US20200168468A1 (en) Etching method and substrate processing apparatus
CN104701159A (zh) 蚀刻方法
US11404279B2 (en) Etching method and substrate processing apparatus
US11651965B2 (en) Method and system for capping of cores for self-aligned multiple patterning
KR20160003624A (ko) 에칭 방법
JP2022034956A (ja) エッチング方法及びプラズマ処理装置
JP2002134472A (ja) エッチング方法、エッチング装置および半導体装置の製造方法
JP7296912B2 (ja) 基板処理方法及び基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant