CN104488079B - 具有合并鳍和垂直硅化物的finfet - Google Patents

具有合并鳍和垂直硅化物的finfet Download PDF

Info

Publication number
CN104488079B
CN104488079B CN201280064723.7A CN201280064723A CN104488079B CN 104488079 B CN104488079 B CN 104488079B CN 201280064723 A CN201280064723 A CN 201280064723A CN 104488079 B CN104488079 B CN 104488079B
Authority
CN
China
Prior art keywords
layer
fin structure
box
gate stack
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280064723.7A
Other languages
English (en)
Other versions
CN104488079A (zh
Inventor
A·布赖恩特
V·S·巴斯克
卜惠明
W·亨施
E·莱奥班顿
林崇勳
T·E·斯坦德尔特
山下典洪
叶俊呈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN104488079A publication Critical patent/CN104488079A/zh
Application granted granted Critical
Publication of CN104488079B publication Critical patent/CN104488079B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)

Abstract

提供了一种用于制造finFET器件的方法。在BOX层之上形成鳍结构。所述鳍结构包括半导体层并且在第一方向上延伸。栅极叠层形成在所述鳍结构上方的所述BOX层上,并且在第二方向上延伸。所述栅极叠层包括高K电介质层和金属栅极。在所述栅极叠层的侧壁上形成栅极间隔物,并且沉积外延层以合并所述鳍结构。注入离子以形成源极区和漏极区,并且在所述栅极间隔物的侧壁上形成伪间隔物。使用所述伪间隔物作为掩膜来使外延层的暴露部分凹陷或者完全去除。硅化形成邻接所述源极区和漏极区的硅化物区,每一个所述硅化物区包括位于所述源极区或漏极区的垂直侧壁上的垂直部分。

Description

具有合并鳍和垂直硅化物的FINFET
技术领域
本发明总体上涉及半导体领域,更具体地,涉及具有合并鳍和垂直硅化物的鳍式场效应晶体管(finFET)。
背景技术
诸如鳍式场效应晶体管(finFET)的完全耗尽器件是实现栅极长度按比例缩小到25nm以下的首席候选者。然而,实现finFET的一个挑战是增加的接触电阻。当接触变小时,接触电阻增加。因此,随着栅极-栅极距离按比例缩小以增加密度(即,接触的栅极间距(CPP)按比例缩小),接触电阻增加。常规finFET具有的接触电阻是同样面积的平面器件的接触电阻的1.5倍。另一个挑战是3D损失(penalty)。如果仅鳍的顶部具有硅化物,则常规finFET具有3D损失,这是因为电流不得不从底部到顶部垂直行进。
发明内容
本发明的一个实施例提供了一种制造finFET器件的方法。根据该方法,在掩埋氧化物(BOX)层之上形成多个鳍结构,其中每一个所述鳍结构包括半导体层并且在第一方向上延伸。栅极叠层形成在所述鳍结构之上的BOX层上,并且在与所述第一方向垂直的第二方向上延伸。所述栅极叠层包括高k电介质层和金属栅极。在所述栅极叠层的垂直侧壁上形成栅极间隔物(spacer),并且在所述鳍结构之上沉积外延硅(外延)层以将所述鳍结构合并到一起。离子被注入以在所述鳍结构的所述半导体层中形成源极区和漏极区,并且在所述栅极间隔物的垂直侧壁上形成伪间隔物。所述伪间隔物用作掩膜来使所述外延层的暴露部分凹陷或者完全去除。进行硅化以形成邻接(abut)所述源极区和漏极区的硅化物区。每一个所述硅化物区包括位于所述源极区或漏极区的所述垂直侧壁上的垂直部分。
本发明的另一个实施例提供了一种finFET器件。所述finFET器件包括掩埋氧化物(BOX)层、位于所述BOX层之上的多个鳍结构、以及位于所述BOX层上的所述鳍结构之上的栅极叠层。每个所述鳍结构包括半导体层并且在第一方向上延伸,所述栅极叠层在与所述第一方向垂直的第二方向上延伸。所述栅极叠层包括高K电介质层和金属栅极。所述finFET器件还包括位于所述栅极叠层的垂直侧壁上的栅极间隔物、覆盖所述鳍结构并且将所述鳍结构合并到一起的外延硅(外延)层、位于所述鳍结构的所述半导体层中的源极区和漏极区、以及邻接所述源极区和漏极区的硅化物区。每一个所述硅化物区包括位于所述源极区或漏极区的所述垂直侧壁上的垂直部分。
从下面的详细描述中,本发明的其它目的、特征和优点将变得显而易见。然而应当理解,所述详细描述和具体例子,尽管指示了本发明的优选实施例,但是仅通过示例的目的给出,在不偏离本发明的情况下,自然可以进行各种修改。
附图说明
图1是根据本公开的一个实施例的finFET器件的横截面视图;
图2示例出了根据本发明的第一实施例在制造finFET器件的过程中在SOI衬底上方形成的多晶硅结构;
图3示例出了在第一实施例的制造过程期间形成在所述多晶硅结构侧面上的硅氮化物结构;
图4示例出了在第一实施例的制造过程期间鳍结构的形成;
图5示例出了在第一实施例的制造过程期间与所述鳍结构垂直的栅极叠层的形成;
图6示例出了在第一实施例的制造过程期间沿着所述栅极叠层的侧面形成的栅极间隔物;
图7示例出了在第一实施例的制造过程期间在所述鳍结构之上沉积的外延硅层;
图8示例出了在第一实施例的制造过程期间用于形成源极区和漏极区的离子注入;
图9示例出了在第一实施例的制造过程期间在所述栅极间隔物的侧面上形成的伪间隔物;
图10示例出了在第一实施例的制造过程期间凹陷的外延层的形成;
图11示例出了在第一实施例的制造过程期间硅化物区的形成;以及
图12是根据本公开的另一个实施例的finFET器件的横截面视图。
具体实施方式
下文中将参考附图详细描述本发明的优选实施例。
本发明的实施例提供了具有合并的鳍(源极区和漏极区)和垂直硅化物的鳍式场效应晶体管(finFET)。外延硅(外延)层在硅化物形成之前凹陷(或被部分去除),并且然后垂直于沟道方向形成硅化物。具有该垂直硅化物的finFET克服了上面讨论的问题,这是因为接触面积增加了。因此,当栅极-栅极距离按比例缩小以增加密度(即,接触的栅极间距(CPP)按比例缩小)时,接触电阻减小。换而言之,垂直硅化物使得接触电阻与间距无关。此外,通过从底部到顶部的电流,不存在3D损失。并且所述外延层合并源极区和漏极区以提供减小的电阻和提高的性能。
图1示出了根据本发明的一个实施例的finFET器件的横截面视图(沿着图11的线A-A截取)。finFET器件100形成在绝缘体上硅(SOI)衬底上。SOI衬底包括被设置在掩埋氧化物(BOX)层112上的半导体(例如,硅)层111上,所述BOX层112被设置在半导体衬底上。在另一个实施例中,finFET器件形成在体硅衬底上。
finFET器件100包括被设置在硬掩膜104(即,电介质)上的栅极叠层102。本实施例的栅极叠层102包括多晶硅层105、金属栅极103和高K层107。栅极叠层102被设置在硅层111的掺杂区(N型或P型)上。所述掺杂区包括源极区108和漏极区110,其中栅极叠层102位于沟道区212上方,沟道区212位于源极区108和漏极区110之间。栅极间隔物106形成在栅极叠层102的垂直侧壁上。
在该实施例中,栅极间隔物106由一层或多层硅氮化物(SiN)和/或硅氧化物(SiO2)形成。另外,伪间隔物109形成在栅极间隔物106的垂直侧壁上。在该实施例中,栅极间隔物106由硅氮化物(SiN)或硅氧化物(SiOx)形成,并且伪间隔物109由二氧化硅(SiO2)形成。硅化物区116和118包括位于源极区108和漏极区110的垂直侧壁上的垂直部分。另外,硅化物区116和118包括形成在BOX层112上方的水平部分。
图2-11示例出了根据本发明的一个实施例制造图1的finFET器件的过程。该过程以SOI衬底开始,该SOI衬底包括被设置在掩埋氧化物(BOX)层112上的硅层111。如图2中所示,在硅层111上形成硬掩膜(电介质)层104。该实施例的硬掩膜104是二氧化硅(SiO2)或硅氮化物(SiN)。多晶硅结构204被沉积在硬掩膜层104上并且然后被蚀刻。如图3中所示,通过标准沉积和蚀刻工艺在多晶硅结构204的垂直侧壁上形成硅氮化物可去除结构206。
如图4中所示,多晶硅结构204被去除,并且硬掩膜104和硅层111被蚀刻以形成鳍结构208。然后去除所述可去除结构206,如图5中所示。这产生了鳍结构208,鳍结构208由位于可去除结构206下方的硬掩膜层104和硅层111的部分形成。栅极叠层102形成在与鳍结构208垂直的BOX层112上,并且SiN层210形成在栅极叠层102上。本实施例的栅极叠层102包括多晶硅层、金属栅极和高K层(例如HfO2)。如图6中所示,SiN层210和硬掩膜层104的上部被去除。栅极间隔物106沿着栅极叠层102的垂直侧壁形成。
然后在鳍结构208之上沉积外延硅(外延)层214,如图7中所示。在所示例的实施例中,外延层是原位掺杂的外延膜。原位掺杂的膜使得能够形成均匀的结,这导致电阻减小。外延层214在所述鳍上产生均匀的延伸以便将单独的鳍结构208合并在一起。所述外延层提供器件的保形(conformal)掺杂,减小电阻并且显著提高性能。然后将离子203注入到硅层111中以形成源极区和漏极区,如图8中所示。(图1、8-10和12示出了沿着穿过所述鳍结构之一的中心延伸的线截取的所述finFET器件的横截面视图)。外延形成和离子注入的结果是具有合并的源极区108和漏极区110的finFET器件。沟道区212位于源极区108和漏极区110之间。接下来,在栅极间隔物106的垂直侧壁上形成伪间隔物109,如图9中所示。在该实施例中,由二氧化硅(SiO2)形成伪间隔物109。
然后使用伪间隔物109作为掩膜进行蚀刻。这去除了所述外延层的一部分,从而形成凹陷的外延层119,如图10中所示。在该实施例中,外延层最初具有30-50nm的厚度,并且然后被蚀刻以产生厚度为10-15nm的凹陷外延层119。在一个实施例中,约一半的外延层厚度被去除。一般而言,凹陷外延层119的厚度被选择,以便随后产生具有充足厚度的水平硅化物,该水平硅化物同时足够薄以允许该水平硅化物到达BOX层112。
接下来,进行硅化。如图1和11中所示,这在栅极叠层102之上形成了硅化物层116,以及从侧面与源极区108和漏极区110邻接的硅化物区117和118。硅化物区116和118各自包括位于源极区或漏极区的垂直侧壁上的垂直部分以及形成在BOX层112上方的水平部分。在所示例的实施例中,形成镍硅化物。在另外的实施例中,使用镍、钛、钴或其组合或合金形成所述硅化物。可选地,在硅化之后去除伪间隔物109。然后在硅化物区上形成接触,并且以常规方式形成金属线,从而完成器件。
图12示出了根据本发明的一个实施例的finFET器件的横截面视图。在该备选实施例中,用于源极和漏极的硅化物区不包括水平部分。更具体地,当使用伪间隔物109作为掩膜进行外延层的蚀刻时,在暴露的区域中去除所述外延层的整个厚度。然后,进行硅化以形成栅极叠层102之上的硅化物层116以及从侧面与源极区108和漏极区110邻接的硅化物区124和126。
如图12所示,硅化物区124和126中的每一者包括位于源极区或漏极区的垂直侧壁上的垂直部分,但是不包括先前实施例的水平部分。在各种实施例中,使用镍、钛、钴或其组合或合金形成所述硅化物。可选地,在硅化之后去除伪间隔物109。然后在硅化物区上形成接触,并且以常规方式形成金属线,从而完成器件。
因此,本发明的实施例提供了具有合并的源极区和漏极区(鳍)以及所述源极区和漏极区上的垂直硅化物的finFET器件。在硅化物形成之前使所述外延层凹陷(或被部分去除),并且然后垂直于沟道方向形成硅化物。该垂直硅化物增加了接触面积同时减小了延伸距离。因此,当栅极-栅极距离按比例缩小以增加密度(即,接触的栅极间距(CPP)按比例缩小)时,接触电阻减小。换言之,垂直硅化物使得接触电阻与间距无关。
此外,通过从底部到顶部的电流,不存在3D损失。此外,通过使用伪间隔物蚀刻所述外延层,所述垂直硅化物被自对准。所述伪间隔物在源极/漏极注入之后形成,以防止硅化物侵蚀。并且所述外延层合并源极区和漏极区,从而提供减小的电阻和改善的性能。
应当注意,本发明的一些特征可以在不使用本发明的其它特征的情况下用于其实施例。因此,前面的描述应当理解为仅仅是对本发明原理、教导、实例和示例性实施例的示例而非对其的限制。
应当理解,这些实施例仅仅是本申请的革新性教导的很多有利用途的例子。一般而言,在本申请的说明书中进行的陈述不必限制各种要求保护的发明中的任何发明。此外,一些陈述可以应用于一些创造性特征但不能应用于其它特征。
如上所述的电路是集成电路芯片设计的一部分。所述芯片设计以图形计算机编程语言创建,并存储在计算机存储介质(例如,磁盘、磁带、物理硬盘驱动器、或诸如存储访问网络中的虚拟硬盘驱动器)中。如果设计人员不制造芯片或不制造用于制造芯片的光刻掩模,设计人员会通过物理手段(例如,提供存储该设计的存储介质副本)或以电子方式(例如,通过因特网)直接或间接地将所产生的设计发送到这些实体。然后将存储的设计转换为用于制造光刻掩模的适当格式(例如,GDSII),这些掩模典型地包括所关注的要形成于晶片上的芯片设计的多个副本。光刻掩模用于定义要蚀刻或以其他方式处理的晶片区域(和/或其上的层)。
上述方法用于集成电路芯片制造。
制造者可以以原始晶片形式(即,作为具有多个未封装芯片的单晶片)、作为裸小片或以封装的形式分发所得到的集成电路芯片。在后者的情况中,以单芯片封装(例如,引线固定到母板的塑料载体或其他更高级别的载体)或多芯片封装(例如,具有一个或两个表面互连或掩埋互连的陶瓷载体)来安装芯片。在任何情况下,所述芯片然后都作为(a)中间产品(如母板)或(b)最终产品的一部分与其他芯片、分立电路元件和/或其他信号处理装置集成。最终产品可以是任何包括集成电路芯片的产品,范围从玩具和其他低端应用到具有显示器、键盘或其他输入设备及中央处理器的高级计算机产品(例如但不限于,信息处理系统)。
按照要求,在本文中公开了本发明的详细实施例;然而,应当理解,所公开的实施例仅仅是本发明的示例,而本发明可以体现为各种形式。因此,本文中公开的具体的结构性和功能性细节不应当被解释为限制性的,而是仅仅应当解释为权利要求的基础并且解释为教导本领域技术人员在实际上任何适当的具体结构中以各种方式采用本发明的代表性基础。此外,本文中使用的术语和短语并不旨在限制;而是更确切地,是为了提供对本发明的可理解的描述。
本文中使用的词语“一”或“一个”被定义为一个或多于一个。本文中使用的词语“多个”被定义为两个或多于两个。除非另外明确声明,否则复数和单数词语是相同的。本文中使用的词语“另一个”被定义为至少又一个或更多。本问中使用的词语“包括”和/或“具有”被定义为包括(即,开放式语言)。本文中使用的术语“耦合”被定义为连接,但不一定是直接地连接,并且不一定是机械地连接。本文中使用的术语“程序”、“软件应用程序”等被定义为被设计用于在计算机系统上执行的指令序列。程序、计算机程序或软件应用程序可以包括子例程、函数、过程、对象方法、对象实现、可执行应用、小应用程序(applet)、小服务程序(servlet)、源代码、目标代码、共享库/动态加载库和/或被设计用于在计算机系统上执行的其它指令序列。
尽管已经公开了本发明的具体实施例,但是本领域普通技术人员将理解,可以在不脱离本发明的精神和范围的情况下对这些具体实施例进行变化。因此,本发明的范围并不限于具体实施例,并且旨在所附权利要求涵盖本发明范围内的任何以及全部这些应用、修改和实施例。

Claims (19)

1.一种制造finFET器件的方法,所述方法包括:
在掩埋氧化物(BOX)层之上形成多个鳍结构,每一个所述鳍结构包括半导体层并且在第一方向上延伸;
在所述BOX层上形成栅极叠层,所述栅极叠层形成在所述鳍结构之上并且在与所述第一方向垂直的第二方向上延伸,所述栅极叠层包括高K电介质层和金属栅极;
在所述栅极叠层的垂直侧壁上形成栅极间隔物;
在所述鳍结构之上沉积外延硅(外延)层,所述外延层将所述鳍结构合并在一起;
注入离子以在所述鳍结构的所述半导体层中形成源极区和漏极区;
在所述栅极间隔物的垂直侧壁上形成伪间隔物;
使用所述伪间隔物作为掩膜来使所述外延层的暴露部分凹陷或者将所述外延层的暴露部分完全去除;以及
进行硅化以形成邻接所述源极区和漏极区的硅化物区,每一个所述硅化物区包括位于所述源极区或漏极区的所述垂直侧壁上的垂直部分。
2.根据权利要求1所述的方法,
其中使用所述伪间隔物作为掩膜包括使所述外延层的所述暴露部分凹陷,因此凹陷的外延层保留在所述BOX层上,并且
每一个所述硅化物区包括形成在所述BOX层上方的水平部分。
3.根据权利要求2所述的方法,其中所述外延层的厚度为30-50nm,并且所述凹陷的外延层的厚度为10-15nm。
4.根据权利要求2所述的方法,其中在进行了硅化之后,所述凹陷的外延层的整个厚度被硅化。
5.根据权利要求1所述的方法,
其中使用所述伪间隔物作为掩膜包括完全去除所述外延层的所述暴露部分,并且
所述硅化物区不包括形成在所述BOX层上方的水平部分。
6.根据权利要求1所述的方法,还包括在每一个所述硅化物区上形成接触。
7.根据权利要求1所述的方法,其中形成所述鳍结构包括:
在绝缘体上半导体衬底上形成电介质层,所述衬底包括位于所述掩埋氧化物(BOX)层之上的所述半导体层;
在所述电介质层上形成至少两个可去除的结构,所述可去除的结构彼此分隔开;
使用所述可去除的结构作为掩膜蚀刻所述电介质层和所述半导体层,从而在所述可去除的结构下方形成所述鳍结构;以及
去除所述可去除的结构。
8.一种finFET器件,包括:
掩埋氧化物(BOX)层;
位于所述BOX层之上的多个鳍结构,每一个所述鳍结构包括半导体层并且在第一方向上延伸;
位于所述BOX层上的所述鳍结构之上的栅极叠层,所述栅极叠层在与所述第一方向垂直的第二方向上延伸,所述栅极叠层包括高K电介质层和金属栅极;
位于所述栅极叠层的垂直侧壁上的栅极间隔物;
覆盖所述鳍结构的外延硅(外延)层,所述外延层将所述鳍结构合并在一起;
位于所述鳍结构的所述半导体层中的源极区和漏极区;
邻接所述源极区和漏极区的硅化物区,每一个所述硅化物区包括位于所述源极区或漏极区的所述垂直侧壁上的垂直部分;以及
位于所述栅极间隔物的垂直侧壁上的伪间隔物,每一个源极区和漏极区的所述垂直侧壁与所述伪间隔物之一的垂直侧壁对准。
9.根据权利要求8所述的finFET器件,其中每一个所述硅化物区也包括水平部分,所述水平部分形成在所述BOX层上方并且在所述第一方向上从所述垂直部分延伸。
10.根据权利要求9所述的finFET器件,其中所述硅化物区的所述水平部分的厚度为10-15nm。
11.根据权利要求9所述的finFET器件,其中所述硅化物区的所述水平部分的下表面与所述BOX层直接接触。
12.根据权利要求8所述的finFET器件,还包括:在每一个所述硅化物区上的接触。
13.根据权利要求8所述的finFET器件,
其中每一个所述鳍结构包括位于所述半导体层上方的电介质层,并且
所述半导体层是硅层。
14.一种包括多个finFET器件的集成电路,所述finFET器件中的至少一个finFET器件包括:
掩埋氧化物(BOX)层;
位于所述掩埋氧化物(BOX)层之上的多个鳍结构,每一个所述鳍结构包括半导体层并且在第一方向上延伸;
位于所述BOX层上的所述鳍结构之上的栅极叠层,所述栅极叠层在与所述第一方向垂直的第二方向上延伸,所述栅极叠层包括高K电介质层和金属栅极;
位于所述栅极叠层的垂直侧壁上的栅极间隔物;
覆盖所述鳍结构的外延硅(外延)层,所述外延层将所述鳍结构合并在一起;
位于所述鳍结构的所述半导体层中的源极区和漏极区;
邻接所述源极区和漏极区的硅化物区,每一个所述硅化物区包括位于所述源极区或漏极区的所述垂直侧壁上的垂直部分;以及
位于所述栅极间隔物的垂直侧壁上的伪间隔物,每一个源极区和漏极区的所述垂直侧壁与所述伪间隔物之一的垂直侧壁对准。
15.根据权利要求14所述的集成电路,其中每一个所述硅化物区也包括水平部分,所述水平部分形成在所述BOX层上方并且在所述第一方向上从所述垂直部分延伸。
16.根据权利要求15所述的集成电路,其中,所述硅化物区的所述水平部分的厚度为10-15nm。
17.根据权利要求15所述的集成电路,其中所述硅化物区的所述水平部分的下表面与所述BOX层直接接触。
18.根据权利要求14所述的集成电路,其中所述至少一个finFET器件还包括位于每个所述硅化物区上的接触。
19.根据权利要求14所述的集成电路,
其中每一个所述鳍结构包括位于所述半导体层上方的电介质层,并且
所述半导体层是硅层。
CN201280064723.7A 2011-12-27 2012-12-24 具有合并鳍和垂直硅化物的finfet Active CN104488079B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/337,874 US8637931B2 (en) 2011-12-27 2011-12-27 finFET with merged fins and vertical silicide
US13/337,874 2011-12-27
PCT/US2012/071579 WO2013101790A2 (en) 2011-12-27 2012-12-24 Finfet with merged fins and vertical silicide

Publications (2)

Publication Number Publication Date
CN104488079A CN104488079A (zh) 2015-04-01
CN104488079B true CN104488079B (zh) 2017-04-26

Family

ID=48484259

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280064723.7A Active CN104488079B (zh) 2011-12-27 2012-12-24 具有合并鳍和垂直硅化物的finfet

Country Status (5)

Country Link
US (2) US8637931B2 (zh)
CN (1) CN104488079B (zh)
DE (1) DE112012004934B4 (zh)
GB (1) GB2511445B (zh)
WO (1) WO2013101790A2 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778744B2 (en) * 2011-06-24 2014-07-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor field effect transistor
US9634000B2 (en) * 2013-03-14 2017-04-25 International Business Machines Corporation Partially isolated fin-shaped field effect transistors
US8951870B2 (en) * 2013-03-14 2015-02-10 International Business Machines Corporation Forming strained and relaxed silicon and silicon germanium fins on the same wafer
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US8993406B1 (en) 2013-09-10 2015-03-31 International Business Machines Corporation FinFET device having a merged source drain region under contact areas and unmerged fins between contact areas, and a method of manufacturing same
US9040380B2 (en) * 2013-09-11 2015-05-26 GlobalFoundries, Inc. Integrated circuits having laterally confined epitaxial material overlying fin structures and methods for fabricating same
US9842928B2 (en) 2013-12-23 2017-12-12 Intel Corporation Tensile source drain III-V transistors for mobility improved n-MOS
US9159617B2 (en) 2014-01-24 2015-10-13 Globalfoundries Inc. Structure and method of forming silicide on fins
KR102178831B1 (ko) 2014-03-13 2020-11-13 삼성전자 주식회사 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자
US9299780B2 (en) 2014-03-26 2016-03-29 International Business Machines Corporation Constrained epitaxial source/drain regions on semiconductor-on-insulator finFET device
US9590105B2 (en) * 2014-04-07 2017-03-07 National Chiao-Tung University Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof
US9214462B2 (en) 2014-05-01 2015-12-15 International Business Machines Corporation Recessed source drain contact regions independent of device pitch by unmerged epitaxy on fin portions
US9391200B2 (en) 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9716160B2 (en) 2014-08-01 2017-07-25 International Business Machines Corporation Extended contact area using undercut silicide extensions
US10475886B2 (en) * 2014-12-16 2019-11-12 International Business Machines Corporation Modified fin cut after epitaxial growth
US9577096B2 (en) 2015-05-19 2017-02-21 International Business Machines Corporation Salicide formation on replacement metal gate finFet devices
US10158003B2 (en) 2015-08-12 2018-12-18 International Business Machines Corporation Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
US9691897B2 (en) * 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region
US9570555B1 (en) 2015-10-29 2017-02-14 International Business Machines Corporation Source and drain epitaxial semiconductor material integration for high voltage semiconductor devices
US9466693B1 (en) 2015-11-17 2016-10-11 International Business Machines Corporation Self aligned replacement metal source/drain finFET
US9425108B1 (en) 2015-12-05 2016-08-23 International Business Machines Corporation Method to prevent lateral epitaxial growth in semiconductor devices
US9590074B1 (en) 2015-12-05 2017-03-07 International Business Machines Corporation Method to prevent lateral epitaxial growth in semiconductor devices
US9853127B1 (en) * 2016-06-22 2017-12-26 International Business Machines Corporation Silicidation of bottom source/drain sheet using pinch-off sacrificial spacer process
US9859403B1 (en) 2016-07-22 2018-01-02 Globalfoundries Inc. Multiple step thin film deposition method for high conformality
US11374126B2 (en) * 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same
US11935887B2 (en) * 2019-03-28 2024-03-19 Intel Corporation Source or drain structures with vertical trenches

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079182A (en) 1990-04-02 1992-01-07 National Semiconductor Corporation Bicmos device having self-aligned well tap and method of fabrication
FI85205C (fi) 1990-04-12 1992-03-10 Nokia Mobile Phones Ltd Antennomkopplare.
US6690072B2 (en) 2002-05-24 2004-02-10 International Business Machines Corporation Method and structure for ultra-low contact resistance CMOS formed by vertically self-aligned COSI2 on raised source drain Si/SiGe device
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7176522B2 (en) 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
JP2005294789A (ja) * 2004-03-10 2005-10-20 Toshiba Corp 半導体装置及びその製造方法
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7736984B2 (en) 2005-09-23 2010-06-15 Semiconductor Components Industries, Llc Method of forming a low resistance semiconductor contact and structure therefor
US7531423B2 (en) 2005-12-22 2009-05-12 International Business Machines Corporation Reduced-resistance finFETs by sidewall silicidation and methods of manufacturing the same
US7479437B2 (en) 2006-04-28 2009-01-20 International Business Machines Corporation Method to reduce contact resistance on thin silicon-on-insulator device
JP4473889B2 (ja) * 2007-04-26 2010-06-02 株式会社東芝 半導体装置
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7812370B2 (en) 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US8264048B2 (en) 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US8008145B2 (en) 2008-09-10 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-K metal gate structure fabrication method including hard mask
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US7736094B1 (en) 2009-02-24 2010-06-15 The United States Of America As Represented By The Secretary Of The Navy Self-contained burying device for submerged environments
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8110467B2 (en) * 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US20110001169A1 (en) 2009-07-01 2011-01-06 International Business Machines Corporation Forming uniform silicide on 3d structures
US8202780B2 (en) 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8362568B2 (en) * 2009-08-28 2013-01-29 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US8138030B2 (en) * 2009-09-15 2012-03-20 International Business Machines Corporation Asymmetric finFET device with improved parasitic resistance and capacitance
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US8426923B2 (en) * 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8373238B2 (en) * 2009-12-03 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple Fin heights
US8242561B2 (en) 2010-02-09 2012-08-14 International Business Machines Corporation Semiconductor devices with improved self-aligned contact areas
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US8377759B2 (en) * 2010-08-17 2013-02-19 International Business Machines Corporation Controlled fin-merging for fin type FET devices
US8445334B1 (en) * 2011-12-20 2013-05-21 International Business Machines Corporation SOI FinFET with recessed merged Fins and liner for enhanced stress coupling

Also Published As

Publication number Publication date
DE112012004934T5 (de) 2014-09-11
US8637931B2 (en) 2014-01-28
WO2013101790A2 (en) 2013-07-04
US8455313B1 (en) 2013-06-04
CN104488079A (zh) 2015-04-01
WO2013101790A3 (en) 2015-06-11
US20130161744A1 (en) 2013-06-27
US20130164890A1 (en) 2013-06-27
GB2511445A (en) 2014-09-03
GB2511445B (en) 2015-11-25
DE112012004934B4 (de) 2017-01-26
GB201408705D0 (en) 2014-07-02

Similar Documents

Publication Publication Date Title
CN104488079B (zh) 具有合并鳍和垂直硅化物的finfet
US8609480B2 (en) Methods of forming isolation structures on FinFET semiconductor devices
CN105932060B (zh) 无轻掺杂漏极的半导体结构及其制造方法
KR101441747B1 (ko) FinFET 디바이스를 위한 구조 및 방법
CN105895693A (zh) 具有包裹环绕的硅化物的FinFET及其形成方法
US9564434B2 (en) Semiconductor device with body spacer at the bottom of the fin and method for manufacturing the same
CN106328711A (zh) 鳍式场效应晶体管(FinFET)器件结构及其形成方法
US9337306B2 (en) Multi-phase source/drain/gate spacer-epi formation
CN106952956A (zh) 半导体器件及其制造方法
US9299701B2 (en) Method for producing semiconductor device and semiconductor device
CN106098775A (zh) 半导体器件
US8969963B2 (en) Vertical source/drain junctions for a finFET including a plurality of fins
TW200947608A (en) FinFETs having dielectric punch-through stoppers
TW201403825A (zh) 積體電路裝置及其製造方法
CN107068565A (zh) 半导体器件及其制造方法
JP5822326B1 (ja) 半導体装置の製造方法、及び、半導体装置
KR20240100487A (ko) 반도체 디바이스, 그 제조 방법 및 상기 반도체 디바이스를 포함하는 전자 기기
CN103811340B (zh) 半导体器件及其制造方法
CN109599399A (zh) 在先进装置中用于增进装置效能的侧壁工程
US20150145041A1 (en) Substrate local interconnect integration with finfets
JP5775650B1 (ja) 半導体装置の製造方法、及び、半導体装置
KR102155327B1 (ko) 전계 효과 트랜지스터 및 그 제조 방법
JP5838529B1 (ja) 半導体装置の製造方法、及び、半導体装置
US20160086952A1 (en) Preventing epi damage for cap nitride strip scheme in a fin-shaped field effect transistor (finfet) device
CN110534433A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171107

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171107

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right