US20130164890A1 - Method for fabricating finfet with merged fins and vertical silicide - Google Patents

Method for fabricating finfet with merged fins and vertical silicide Download PDF

Info

Publication number
US20130164890A1
US20130164890A1 US13/617,709 US201213617709A US2013164890A1 US 20130164890 A1 US20130164890 A1 US 20130164890A1 US 201213617709 A US201213617709 A US 201213617709A US 2013164890 A1 US2013164890 A1 US 2013164890A1
Authority
US
United States
Prior art keywords
layer
fin structures
gate
epi
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/617,709
Other versions
US8455313B1 (en
Inventor
Veeraraghavan S. Basker
Andres Bryant
Huiming Bu
Wilfried Haensch
Effendi Leobandung
Chung-Hsun Lin
Theodorus E. Standaert
Tenko Yamashita
Chun-Chen Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/617,709 priority Critical patent/US8455313B1/en
Application granted granted Critical
Publication of US8455313B1 publication Critical patent/US8455313B1/en
Publication of US20130164890A1 publication Critical patent/US20130164890A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention generally relates to the field of semiconductors, and more particularly relates to fin field effect transistors (finFETs) with merged fins and vertical silicide.
  • finFETs fin field effect transistors
  • finFETs Fully-depleted devices such as fin field effect transistors (finFETs) are leading candidates to enable the scaling of gate lengths to 25 nm and below.
  • one challenge in realizing finFETs is increased contact resistance.
  • the contact resistance increases when the contact is made smaller.
  • the contact resistance increases as gate-to-gate distance is scaled down to increase density (i.e., contacted gate pitch (CPP) scaling).
  • CPP contacted gate pitch
  • a conventional finFET has 1.5 times the contact resistance of a planar device of the same area.
  • Another challenge is a 3D penalty.
  • a conventional finFET has a 3D penalty if only the top of the fin has silicide because the current has to travel vertically from bottom to top.
  • One embodiment of the present invention provides a method for fabricating a finFET device.
  • multiple fin structures are formed over a buried oxide (BOX) layer, with the fin structures each including a semiconductor layer and extending in a first direction.
  • a gate stack is formed on the BOX layer over the fin structures and extending in a second direction that is perpendicular to the first direction.
  • the gate stack includes a high-K dielectric layer and a metal gate.
  • Gate spacers are formed on vertical sidewalls of the gate stack, and an epitaxial silicon (epi) layer is deposited over the fin structures to merge the fin structures together.
  • epi epitaxial silicon
  • Ions are implanted to form source and drain regions in the semiconductor layers of the fin structures, and dummy spacers are formed on vertical sidewalls of the gate spacers.
  • the dummy spacers are used as a mask to recess or completely remove an exposed portion of the epi layer.
  • Silicidation is performed to form silicide regions that abut the source and drain regions.
  • the silicide regions each include a vertical portion located on the vertical sidewall of the source or drain region.
  • FIG. 1 is a cross-sectional view of a finFET device according to one embodiment of the present invention
  • FIG. 2 illustrates a polysilicon structure formed above an SOI substrate during a process for fabricating a finFET device in accordance with a first embodiment of the present invention
  • FIG. 3 illustrates silicon nitride structures formed on the sides of the polysilicon structure during the fabrication process of the first embodiment
  • FIG. 4 illustrates the formation of fin structures during the fabrication process of the first embodiment
  • FIG. 5 illustrates formation of a gate stack perpendicular to the fin structures during the fabrication process of the first embodiment
  • FIG. 6 illustrates gate spacers formed along the sides of the gate stack during the fabrication process of the first embodiment
  • FIG. 7 illustrates an epitaxial silicon layer deposited over the fin structures during the fabrication process of the first embodiment
  • FIG. 8 illustrates implantation of ions to form source and drain regions during the fabrication process of the first embodiment
  • FIG. 9 illustrates dummy spacer formed on the sides of the gate spacers during the fabrication process of the first embodiment
  • FIG. 10 illustrates formation of a recessed epi layer during the fabrication process of the first embodiment
  • FIG. 11 illustrates formation of silicide regions during the process of the first embodiment
  • FIG. 12 is a cross-sectional view of a finFET device according to another embodiment of the present invention.
  • Embodiments of the present invention provide fin field effect transistors (finFETs) with merged fins (source and drain regions) and vertical silicide.
  • the epitaxial silicon (epi) layer is recessed (or partially removed) prior to silicide formation, and then silicide is formed perpendicular to the channel direction.
  • the finFET with this vertical silicide overcomes the problems discussed above because contact area is increased.
  • the contact resistance is reduced when the gate-to-gate distance is scaled down to increase density (i.e., contacted gate pitch (CPP) scaling).
  • CPP contacted gate pitch
  • the vertical silicide makes the contact resistance independent of the pitch.
  • the epi layer merges the source and drain regions to provide reduced resistance and improved performance.
  • FIG. 1 shows a cross-sectional view of a finFET device (taken along line A-A of FIG. 11 ) according to one embodiment of the present invention.
  • the finFET device 100 is formed on a silicon-on-insulator (SOI) substrate.
  • SOI substrate includes a semiconductor (e.g., silicon) layer 111 disposed on a buried oxide (BOX) layer 112 , which is disposed on a semiconductor substrate.
  • the finFET device is formed on a bulk silicon substrate.
  • the finFET device 100 includes a gate stack 102 disposed on a hardmask 104 (i.e., dielectric).
  • the gate stack 102 of this embodiment includes a polysilicon layer 105 , a metal gate 103 , and a high-K layer 107 .
  • the gate stack 102 is disposed on doped regions (N-type or P-type) of the silicon layer 111 .
  • the doped regions include a source region 108 and a drain region 110 , with the gate stack 102 being located above a channel region 212 that is located between the source and drain regions 108 and 110 .
  • Gate spacers 106 are formed on the vertical sidewalls of the gate stack 102 .
  • the gate spacers 106 are formed of one or more layers of silicon nitride (SiN) and/or silicon oxide (SiO 2 ). Additionally, dummy spacers 109 are formed on the vertical sidewalls of the gate spacers 106 .
  • the gate spacers 106 are formed of silicon nitride (SiN) or silicon oxide (SiO x ), and the dummy spacers 109 are formed of silicon dioxide (SiO 2 ).
  • Silicide regions 116 and 118 include vertical portions located on the vertical sidewalls of the source and drain regions 108 and 110 . Additionally, the silicide regions 116 and 118 include horizontal portions formed above the BOX layer 112 .
  • FIGS. 2-11 illustrate a process for fabricating the finFET device of FIG. 1 according to one embodiment of the present invention.
  • the process begins with an SOI substrate that includes a silicon layer 111 disposed on a buried oxide (BOX) layer 112 .
  • a hardmask (dielectric) layer 104 is formed on the silicon layer 111 .
  • the hard mask layer 104 of this embodiment is silicon dioxide (SiO 2 ) or silicon nitride (SiN).
  • a polysilicon structure 204 is deposited on the hardmask layer 104 and then etched.
  • silicon nitride removable structures 206 are formed on the vertical sidewalls of the polysilicon structure 204 through a standard deposition and etching process.
  • the polysilicon structure 204 is removed, and the hardmask and silicon layers 104 and 111 are etched to form fin structures 208 .
  • the removable structures 206 are then removed, as shown in FIG. 5 .
  • a gate stack 102 is formed on the BOX layer 112 perpendicular to the fin structures 208 , and an SiN layer 210 is formed on the gate stack 102 .
  • the gate stack 102 of this embodiment includes a polysilicon layer, a metal gate, and a high-K layer (e.g., HfO 2 ).
  • FIG. 6 upper portions of the hardmask layer 104 and the SiN layer 210 are removed.
  • Gate spacers 106 are formed along the vertical sidewalls of the gate stack 102 .
  • An epitaxial silicon (epi) layer 214 is then deposited over the fin structures 208 , as shown in FIG. 7 .
  • the epi layer is an in-situ doped epitaxial film.
  • the in-situ doped films enable uniform junction formation, which results in a reduction in resistance.
  • the epi layer 214 creates uniform extensions on the fins so as to merge the individual fin structures 208 together.
  • the epi layer provides conformal doping of the devices, reduce the resistance, and significantly improve performance.
  • Ions 203 are then implanted into the silicon layer 111 to form source and drain regions, as shown in FIG. 8 . ( FIGS.
  • FIG. 1 , 8 - 10 , and 12 show a cross-sectional view of the finFET device taken along a line running through the center of one of the fin structures).
  • the result of epi formation and ion implantation is a finFET device with merged source and drain regions 108 and 110 .
  • a channel region 212 is located between the source and drain regions 108 and 110 .
  • dummy spacers 109 are formed on the vertical sidewalls of the gate spacers 106 , as shown in FIG. 9 .
  • the dummy spacers 109 are formed by silicon dioxide (SiO 2 ).
  • etch is then performed using the dummy spacers 109 as a mask. This removes a portion of the epi layer so as to form a recessed epi layer 119 , as shown in FIG. 10 .
  • the epi layer is originally 30-50 nm thick, and is then etched to produce a recessed epi layer 119 that is 10-15 nm thick. In one embodiment, about half of the thickness of the epi layer is removed. In general, the thickness of the recessed epi layer 119 is selected so as to subsequently produce horizontal silicide of an adequate thickness, while being thin enough to allow the horizontal silicide to reach the BOX layer 112 .
  • silicide layer 116 over the gate stack 102 , and silicide regions 117 and 118 that abut the source and drain regions 108 and 110 from the side.
  • the silicide regions 116 and 118 each include a vertical portion located on the vertical sidewall of the source or drain region, and a horizontal portion formed above the BOX layer 112 .
  • a nickel silicide is formed.
  • the silicide is formed using nickel, titanium, cobalt, or a combination or alloy thereof.
  • the dummy spacers 109 are removed after silicidation. Then, contacts are formed on the silicide regions and metal lines are formed in a conventional manner to complete the device.
  • FIG. 12 shows a cross-sectional view of a finFET device according to one embodiment of the present invention.
  • the silicide regions for the source and drain do not include horizontal portions. More specifically, when performing the etch of the epi layer using the dummy spacers 109 as a mask, the entire thickness of the epi layer is removed in the exposed areas. Then, silicidation is performed to form a silicide layer 116 over the gate stack 102 , and silicide regions 124 and 126 that abut the source and drain regions 108 and 110 from the side.
  • the silicide regions 124 and 126 each include a vertical portion located on the vertical sidewall of the source or drain region, but do not include the horizontal portion of the previous embodiment.
  • the silicide is formed using nickel, titanium, cobalt, or a combination or alloy thereof.
  • the dummy spacers 109 are removed after silicidation. Then, contacts are formed on the silicide regions and metal lines are formed in a conventional manner to complete the device.
  • embodiments of the present invention provide a finFET device with merged source and drain regions (fins) and vertical silicide on the source and drain regions.
  • the epi layer is recessed (or partially removed) prior to silicide formation, and then silicide is formed perpendicular to the channel direction.
  • This vertical silicide increases the contact area while reducing the spreading distance.
  • the contact resistance is reduced when the gate-to-gate distance is scaled down to increase density (i.e., contacted gate pitch (CPP) scaling).
  • CPP contacted gate pitch
  • the vertical silicide makes the contact resistance independent of the pitch.
  • the vertical silicide is self-aligned through the use of a dummy spacer to etch the epi layer.
  • the dummy spacer is formed after the source/drain implantation in order to prevent silicide encroachment.
  • the epi layer merges the source and drain regions to provide reduced resistance and improved performance.
  • the circuit as described above is part of the design for an integrated circuit chip.
  • the chip design is created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer transmits the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly.
  • the stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer.
  • the photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare chip, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products (such as, but not limited to, an information processing system) having a display, a keyboard, or other input device, and a central processor.
  • the terms “a” or “an”, as used herein, are defined as one as or more than one.
  • the term plurality, as used herein, is defined as two as or more than two. Plural and singular terms are the same unless expressly stated otherwise.
  • the term another, as used herein, is defined as at least a second or more.
  • the terms including and/or having, as used herein, are defined as comprising (i.e., open language).
  • the term coupled, as used herein, is defined as connected, although not necessarily directly, and not necessarily mechanically.
  • program, software application, and the like as used herein are defined as a sequence of instructions designed for execution on a computer system.
  • a program, computer program, or software application may include a subroutine, a function, a procedure, an object method, an object implementation, an executable application, an applet, a servlet, a source code, an object code, a shared library/dynamic load library and/or other sequence of instructions designed for execution on a computer system.

Abstract

A method is provided for fabricating a finFET device. Fin structures are formed over a BOX layer. The fin structures include a semiconductor layer and extend in a first direction. A gate stack is formed on the BOX layer over the fin structures and extending in a second direction. The gate stack includes a high-K dielectric layer and a metal gate. Gate spacers are formed on sidewalls of the gate stack, and an epi layer is deposited to merge the fin structures. Ions are implanted to form source and drain regions, and dummy spacers are formed on sidewalls of the gate spacers. The dummy spacers are used as a mask to recess or completely remove an exposed portion of the epi layer. Silicidation forms silicide regions that abut the source and drain regions and each include a vertical portion located on the vertical sidewall of the source or drain region.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of prior U.S. application Ser. No. 13/337,874, filed Dec. 27, 2011, now U.S. Pat. No. ______. The entire disclosure of U.S. application Ser. No. 13/337,874 is herein incorporated by reference.
  • FIELD OF THE INVENTION
  • The present invention generally relates to the field of semiconductors, and more particularly relates to fin field effect transistors (finFETs) with merged fins and vertical silicide.
  • BACKGROUND OF THE INVENTION
  • Fully-depleted devices such as fin field effect transistors (finFETs) are leading candidates to enable the scaling of gate lengths to 25 nm and below. However, one challenge in realizing finFETs is increased contact resistance. The contact resistance increases when the contact is made smaller. Thus, the contact resistance increases as gate-to-gate distance is scaled down to increase density (i.e., contacted gate pitch (CPP) scaling). A conventional finFET has 1.5 times the contact resistance of a planar device of the same area. Another challenge is a 3D penalty. A conventional finFET has a 3D penalty if only the top of the fin has silicide because the current has to travel vertically from bottom to top.
  • SUMMARY OF THE INVENTION
  • One embodiment of the present invention provides a method for fabricating a finFET device. According to the method, multiple fin structures are formed over a buried oxide (BOX) layer, with the fin structures each including a semiconductor layer and extending in a first direction. A gate stack is formed on the BOX layer over the fin structures and extending in a second direction that is perpendicular to the first direction. The gate stack includes a high-K dielectric layer and a metal gate. Gate spacers are formed on vertical sidewalls of the gate stack, and an epitaxial silicon (epi) layer is deposited over the fin structures to merge the fin structures together. Ions are implanted to form source and drain regions in the semiconductor layers of the fin structures, and dummy spacers are formed on vertical sidewalls of the gate spacers. The dummy spacers are used as a mask to recess or completely remove an exposed portion of the epi layer. Silicidation is performed to form silicide regions that abut the source and drain regions. The silicide regions each include a vertical portion located on the vertical sidewall of the source or drain region.
  • Other objects, features, and advantages of the present invention will become apparent from the following detailed description. It should be understood, however, that the detailed description and specific examples, while indicating preferred embodiments of the present invention, are given by way of illustration only and various modifications may naturally be performed without deviating from the present invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a finFET device according to one embodiment of the present invention;
  • FIG. 2 illustrates a polysilicon structure formed above an SOI substrate during a process for fabricating a finFET device in accordance with a first embodiment of the present invention;
  • FIG. 3 illustrates silicon nitride structures formed on the sides of the polysilicon structure during the fabrication process of the first embodiment;
  • FIG. 4 illustrates the formation of fin structures during the fabrication process of the first embodiment;
  • FIG. 5 illustrates formation of a gate stack perpendicular to the fin structures during the fabrication process of the first embodiment;
  • FIG. 6 illustrates gate spacers formed along the sides of the gate stack during the fabrication process of the first embodiment;
  • FIG. 7 illustrates an epitaxial silicon layer deposited over the fin structures during the fabrication process of the first embodiment;
  • FIG. 8 illustrates implantation of ions to form source and drain regions during the fabrication process of the first embodiment;
  • FIG. 9 illustrates dummy spacer formed on the sides of the gate spacers during the fabrication process of the first embodiment;
  • FIG. 10 illustrates formation of a recessed epi layer during the fabrication process of the first embodiment;
  • FIG. 11 illustrates formation of silicide regions during the process of the first embodiment; and
  • FIG. 12 is a cross-sectional view of a finFET device according to another embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Preferred embodiments of the present invention will be described in detail hereinbelow with reference to the attached drawings.
  • Embodiments of the present invention provide fin field effect transistors (finFETs) with merged fins (source and drain regions) and vertical silicide. The epitaxial silicon (epi) layer is recessed (or partially removed) prior to silicide formation, and then silicide is formed perpendicular to the channel direction. The finFET with this vertical silicide overcomes the problems discussed above because contact area is increased. Thus, the contact resistance is reduced when the gate-to-gate distance is scaled down to increase density (i.e., contacted gate pitch (CPP) scaling). In other words, the vertical silicide makes the contact resistance independent of the pitch. Also, there is no 3D penalty through current flow from bottom to top. And the epi layer merges the source and drain regions to provide reduced resistance and improved performance.
  • FIG. 1 shows a cross-sectional view of a finFET device (taken along line A-A of FIG. 11) according to one embodiment of the present invention. The finFET device 100 is formed on a silicon-on-insulator (SOI) substrate. The SOI substrate includes a semiconductor (e.g., silicon) layer 111 disposed on a buried oxide (BOX) layer 112, which is disposed on a semiconductor substrate. In another embodiment, the finFET device is formed on a bulk silicon substrate.
  • The finFET device 100 includes a gate stack 102 disposed on a hardmask 104 (i.e., dielectric). The gate stack 102 of this embodiment includes a polysilicon layer 105, a metal gate 103, and a high-K layer 107. The gate stack 102 is disposed on doped regions (N-type or P-type) of the silicon layer 111. The doped regions include a source region 108 and a drain region 110, with the gate stack 102 being located above a channel region 212 that is located between the source and drain regions 108 and 110. Gate spacers 106 are formed on the vertical sidewalls of the gate stack 102.
  • In this embodiment, the gate spacers 106 are formed of one or more layers of silicon nitride (SiN) and/or silicon oxide (SiO2). Additionally, dummy spacers 109 are formed on the vertical sidewalls of the gate spacers 106. In this embodiment, the gate spacers 106 are formed of silicon nitride (SiN) or silicon oxide (SiOx), and the dummy spacers 109 are formed of silicon dioxide (SiO2). Silicide regions 116 and 118 include vertical portions located on the vertical sidewalls of the source and drain regions 108 and 110. Additionally, the silicide regions 116 and 118 include horizontal portions formed above the BOX layer 112.
  • FIGS. 2-11 illustrate a process for fabricating the finFET device of FIG. 1 according to one embodiment of the present invention. The process begins with an SOI substrate that includes a silicon layer 111 disposed on a buried oxide (BOX) layer 112. As shown in FIG. 2, a hardmask (dielectric) layer 104 is formed on the silicon layer 111. The hard mask layer 104 of this embodiment is silicon dioxide (SiO2) or silicon nitride (SiN). A polysilicon structure 204 is deposited on the hardmask layer 104 and then etched. As shown in FIG. 3, silicon nitride removable structures 206 are formed on the vertical sidewalls of the polysilicon structure 204 through a standard deposition and etching process.
  • As shown in FIG. 4, the polysilicon structure 204 is removed, and the hardmask and silicon layers 104 and 111 are etched to form fin structures 208. The removable structures 206 are then removed, as shown in FIG. 5. This produces fin structures 208 that are formed by the portions of the hardmask layer 104 and silicon layer 111 that were located under the removable structures 206. A gate stack 102 is formed on the BOX layer 112 perpendicular to the fin structures 208, and an SiN layer 210 is formed on the gate stack 102. The gate stack 102 of this embodiment includes a polysilicon layer, a metal gate, and a high-K layer (e.g., HfO2). As shown in FIG. 6, upper portions of the hardmask layer 104 and the SiN layer 210 are removed. Gate spacers 106 are formed along the vertical sidewalls of the gate stack 102.
  • An epitaxial silicon (epi) layer 214 is then deposited over the fin structures 208, as shown in FIG. 7. In the illustrated embodiment, the epi layer is an in-situ doped epitaxial film. The in-situ doped films enable uniform junction formation, which results in a reduction in resistance. The epi layer 214 creates uniform extensions on the fins so as to merge the individual fin structures 208 together. The epi layer provides conformal doping of the devices, reduce the resistance, and significantly improve performance. Ions 203 are then implanted into the silicon layer 111 to form source and drain regions, as shown in FIG. 8. (FIGS. 1, 8-10, and 12 show a cross-sectional view of the finFET device taken along a line running through the center of one of the fin structures). The result of epi formation and ion implantation is a finFET device with merged source and drain regions 108 and 110. A channel region 212 is located between the source and drain regions 108 and 110. Next, dummy spacers 109 are formed on the vertical sidewalls of the gate spacers 106, as shown in FIG. 9. In this embodiment, the dummy spacers 109 are formed by silicon dioxide (SiO2).
  • An etch is then performed using the dummy spacers 109 as a mask. This removes a portion of the epi layer so as to form a recessed epi layer 119, as shown in FIG. 10. In this embodiment, the epi layer is originally 30-50 nm thick, and is then etched to produce a recessed epi layer 119 that is 10-15 nm thick. In one embodiment, about half of the thickness of the epi layer is removed. In general, the thickness of the recessed epi layer 119 is selected so as to subsequently produce horizontal silicide of an adequate thickness, while being thin enough to allow the horizontal silicide to reach the BOX layer 112.
  • Next, silicidation is performed. As shown in FIGS. 1 and 11, this forms a silicide layer 116 over the gate stack 102, and silicide regions 117 and 118 that abut the source and drain regions 108 and 110 from the side. The silicide regions 116 and 118 each include a vertical portion located on the vertical sidewall of the source or drain region, and a horizontal portion formed above the BOX layer 112. In the illustrated embodiment, a nickel silicide is formed. In further embodiments, the silicide is formed using nickel, titanium, cobalt, or a combination or alloy thereof. Optionally, the dummy spacers 109 are removed after silicidation. Then, contacts are formed on the silicide regions and metal lines are formed in a conventional manner to complete the device.
  • FIG. 12 shows a cross-sectional view of a finFET device according to one embodiment of the present invention. In this alternative embodiment, the silicide regions for the source and drain do not include horizontal portions. More specifically, when performing the etch of the epi layer using the dummy spacers 109 as a mask, the entire thickness of the epi layer is removed in the exposed areas. Then, silicidation is performed to form a silicide layer 116 over the gate stack 102, and silicide regions 124 and 126 that abut the source and drain regions 108 and 110 from the side.
  • As shown in FIG. 12, the silicide regions 124 and 126 each include a vertical portion located on the vertical sidewall of the source or drain region, but do not include the horizontal portion of the previous embodiment. In various embodiments, the silicide is formed using nickel, titanium, cobalt, or a combination or alloy thereof. Optionally, the dummy spacers 109 are removed after silicidation. Then, contacts are formed on the silicide regions and metal lines are formed in a conventional manner to complete the device.
  • Accordingly, embodiments of the present invention provide a finFET device with merged source and drain regions (fins) and vertical silicide on the source and drain regions. The epi layer is recessed (or partially removed) prior to silicide formation, and then silicide is formed perpendicular to the channel direction. This vertical silicide increases the contact area while reducing the spreading distance. Thus, the contact resistance is reduced when the gate-to-gate distance is scaled down to increase density (i.e., contacted gate pitch (CPP) scaling). In other words, the vertical silicide makes the contact resistance independent of the pitch.
  • Also, there is no 3D penalty through current flow from bottom to top. Further, the vertical silicide is self-aligned through the use of a dummy spacer to etch the epi layer. The dummy spacer is formed after the source/drain implantation in order to prevent silicide encroachment. And the epi layer merges the source and drain regions to provide reduced resistance and improved performance.
  • It should be noted that some features of the present invention may be used in an embodiment thereof without use of other features of the present invention. As such, the foregoing description should be considered as merely illustrative of the principles, teachings, examples, and exemplary embodiments of the present invention, and not a limitation thereof.
  • It should be understood that these embodiments are only examples of the many advantageous uses of the innovative teachings herein. In general, statements made in the specification of the present application do not necessarily limit any of the various claimed inventions. Moreover, some statements may apply to some inventive features but not to others.
  • The circuit as described above is part of the design for an integrated circuit chip. The chip design is created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer transmits the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • The methods as discussed above are used in the fabrication of integrated circuit chips.
  • The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare chip, or in a packaged form. In the latter case, the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products (such as, but not limited to, an information processing system) having a display, a keyboard, or other input device, and a central processor.
  • As required, detailed embodiments of the present invention are disclosed herein; however, it is to be understood that the disclosed embodiments are merely exemplary of the invention, which can be embodied in various forms. Therefore, specific structural and functional details disclosed herein are not to be interpreted as limiting, but merely as a basis for the claims and as a representative basis for teaching one skilled in the art to variously employ the present invention in virtually any appropriately detailed structure. Further, the terms and phrases used herein are not intended to be limiting; but rather, to provide an understandable description of the invention.
  • The terms “a” or “an”, as used herein, are defined as one as or more than one. The term plurality, as used herein, is defined as two as or more than two. Plural and singular terms are the same unless expressly stated otherwise. The term another, as used herein, is defined as at least a second or more. The terms including and/or having, as used herein, are defined as comprising (i.e., open language). The term coupled, as used herein, is defined as connected, although not necessarily directly, and not necessarily mechanically. The terms program, software application, and the like as used herein, are defined as a sequence of instructions designed for execution on a computer system. A program, computer program, or software application may include a subroutine, a function, a procedure, an object method, an object implementation, an executable application, an applet, a servlet, a source code, an object code, a shared library/dynamic load library and/or other sequence of instructions designed for execution on a computer system.
  • Although specific embodiments of the invention have been disclosed, those having ordinary skill in the art will understand that changes can be made to the specific embodiments without departing from the spirit and scope of the invention. The scope of the invention is not to be restricted, therefore, to the specific embodiments, and it is intended that the appended claims cover any and all such applications, modifications, and embodiments within the scope of the present invention.

Claims (7)

What is claimed is:
1. A method for fabricating a finFET device, the method comprising:
forming a plurality of fin structures over a buried oxide (BOX) layer, the fin structures each comprising a semiconductor layer and extending in a first direction;
forming a gate stack on the BOX layer, the gate stack being formed over the fin structures and extending in a second direction that is perpendicular to the first direction, the gate stack comprising a high-K dielectric layer and a metal gate;
forming gate spacers on vertical sidewalls of the gate stack;
depositing an epitaxial silicon (epi) layer over the fin structures, the epi layer merging the fin structures together;
implanting ions to form source and drain regions in the semiconductor layers of the fin structures;
forming dummy spacers on vertical sidewalls of the gate spacers;
using the dummy spacers as a mask to recess or completely remove an exposed portion of the epi layer; and
performing silicidation to form silicide regions that abut the source and drain regions, the silicide regions each including a vertical portion located on the vertical sidewall of the source or drain region.
2. The method of claim 1,
wherein using the dummy spacers as a mask comprises recessing the exposed portion of the epi layer so a recessed epi layer remains on the BOX layer, and
the silicide regions each also include a horizontal portion formed above the BOX layer.
3. The method of claim 2, wherein the epi layer is about 30-50 nm thick and the recessed epi layer is about 10-15 nm thick.
4. The method of claim 2, wherein after performing silicidation, the entire thickness of the recessed epi layer is silicided.
5. The method of claim 1,
wherein using the dummy spacers as a mask comprises completely removing the exposed portion of the epi layer, and
the silicide regions do not include a horizontal portion formed above the BOX layer.
6. The method of claim 1, further comprising forming a contact on each of the silicide regions.
7. The method of claim 1, wherein forming the fin structures comprises:
forming a dielectric layer over a semiconductor-on-insulator substrate, the substrate including the semiconductor layer over the buried oxide (BOX) layer;
forming at least two removable structures on the dielectric layer, the removable structures being separated from one another;
using the removable structures as a mask to etch the dielectric layer and the semiconductor layer to form the fin structures below the removable structures; and
removing the removable structures.
US13/617,709 2011-12-27 2012-09-14 Method for fabricating finFET with merged fins and vertical silicide Active US8455313B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/617,709 US8455313B1 (en) 2011-12-27 2012-09-14 Method for fabricating finFET with merged fins and vertical silicide

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/337,874 US8637931B2 (en) 2011-12-27 2011-12-27 finFET with merged fins and vertical silicide
US13/617,709 US8455313B1 (en) 2011-12-27 2012-09-14 Method for fabricating finFET with merged fins and vertical silicide

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/337,874 Continuation US8637931B2 (en) 2011-12-27 2011-12-27 finFET with merged fins and vertical silicide

Publications (2)

Publication Number Publication Date
US8455313B1 US8455313B1 (en) 2013-06-04
US20130164890A1 true US20130164890A1 (en) 2013-06-27

Family

ID=48484259

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/337,874 Active US8637931B2 (en) 2011-12-27 2011-12-27 finFET with merged fins and vertical silicide
US13/617,709 Active US8455313B1 (en) 2011-12-27 2012-09-14 Method for fabricating finFET with merged fins and vertical silicide

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/337,874 Active US8637931B2 (en) 2011-12-27 2011-12-27 finFET with merged fins and vertical silicide

Country Status (5)

Country Link
US (2) US8637931B2 (en)
CN (1) CN104488079B (en)
DE (1) DE112012004934B4 (en)
GB (1) GB2511445B (en)
WO (1) WO2013101790A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9053965B2 (en) * 2013-03-14 2015-06-09 International Business Machines Corporation Partially isolated Fin-shaped field effect transistors
US9299812B2 (en) 2014-03-13 2016-03-29 Samsung Electronics Co., Ltd. Method of forming semiconductor device having stressor
US9691897B2 (en) * 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8778744B2 (en) * 2011-06-24 2014-07-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor field effect transistor
US8951870B2 (en) * 2013-03-14 2015-02-10 International Business Machines Corporation Forming strained and relaxed silicon and silicon germanium fins on the same wafer
US9006805B2 (en) * 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US8993406B1 (en) 2013-09-10 2015-03-31 International Business Machines Corporation FinFET device having a merged source drain region under contact areas and unmerged fins between contact areas, and a method of manufacturing same
US9040380B2 (en) * 2013-09-11 2015-05-26 GlobalFoundries, Inc. Integrated circuits having laterally confined epitaxial material overlying fin structures and methods for fabricating same
KR102145881B1 (en) * 2013-12-23 2020-08-19 인텔 코포레이션 Tensile source drain iii-v transistors for mobility improved n-mos
US9159617B2 (en) 2014-01-24 2015-10-13 Globalfoundries Inc. Structure and method of forming silicide on fins
US9299780B2 (en) 2014-03-26 2016-03-29 International Business Machines Corporation Constrained epitaxial source/drain regions on semiconductor-on-insulator finFET device
US9590105B2 (en) * 2014-04-07 2017-03-07 National Chiao-Tung University Semiconductor device with metal alloy over fin, conductive layer over channel region of fin, and semiconductive layer over conductive layer and formation thereof
US9214462B2 (en) 2014-05-01 2015-12-15 International Business Machines Corporation Recessed source drain contact regions independent of device pitch by unmerged epitaxy on fin portions
US9391200B2 (en) 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
US9716160B2 (en) 2014-08-01 2017-07-25 International Business Machines Corporation Extended contact area using undercut silicide extensions
US10475886B2 (en) * 2014-12-16 2019-11-12 International Business Machines Corporation Modified fin cut after epitaxial growth
US9577096B2 (en) 2015-05-19 2017-02-21 International Business Machines Corporation Salicide formation on replacement metal gate finFet devices
US10158003B2 (en) 2015-08-12 2018-12-18 International Business Machines Corporation Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
US9570555B1 (en) 2015-10-29 2017-02-14 International Business Machines Corporation Source and drain epitaxial semiconductor material integration for high voltage semiconductor devices
US9466693B1 (en) 2015-11-17 2016-10-11 International Business Machines Corporation Self aligned replacement metal source/drain finFET
US9590074B1 (en) 2015-12-05 2017-03-07 International Business Machines Corporation Method to prevent lateral epitaxial growth in semiconductor devices
US9425108B1 (en) 2015-12-05 2016-08-23 International Business Machines Corporation Method to prevent lateral epitaxial growth in semiconductor devices
US9853127B1 (en) * 2016-06-22 2017-12-26 International Business Machines Corporation Silicidation of bottom source/drain sheet using pinch-off sacrificial spacer process
US9859403B1 (en) 2016-07-22 2018-01-02 Globalfoundries Inc. Multiple step thin film deposition method for high conformality
US11374126B2 (en) * 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079182A (en) 1990-04-02 1992-01-07 National Semiconductor Corporation Bicmos device having self-aligned well tap and method of fabrication
FI85205C (en) 1990-04-12 1992-03-10 Nokia Mobile Phones Ltd ANTENNOMKOPPLARE.
US6690072B2 (en) 2002-05-24 2004-02-10 International Business Machines Corporation Method and structure for ultra-low contact resistance CMOS formed by vertically self-aligned COSI2 on raised source drain Si/SiGe device
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7176522B2 (en) 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
JP2005294789A (en) * 2004-03-10 2005-10-20 Toshiba Corp Semiconductor device and its manufacturing method
US7300837B2 (en) * 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7736984B2 (en) 2005-09-23 2010-06-15 Semiconductor Components Industries, Llc Method of forming a low resistance semiconductor contact and structure therefor
US7531423B2 (en) 2005-12-22 2009-05-12 International Business Machines Corporation Reduced-resistance finFETs by sidewall silicidation and methods of manufacturing the same
US7479437B2 (en) 2006-04-28 2009-01-20 International Business Machines Corporation Method to reduce contact resistance on thin silicon-on-insulator device
JP4473889B2 (en) * 2007-04-26 2010-06-02 株式会社東芝 Semiconductor device
US7851865B2 (en) * 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7812370B2 (en) 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US8264048B2 (en) 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US8008145B2 (en) 2008-09-10 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-K metal gate structure fabrication method including hard mask
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US7736094B1 (en) 2009-02-24 2010-06-15 The United States Of America As Represented By The Secretary Of The Navy Self-contained burying device for submerged environments
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US8110467B2 (en) * 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US20110001169A1 (en) 2009-07-01 2011-01-06 International Business Machines Corporation Forming uniform silicide on 3d structures
US8202780B2 (en) 2009-07-31 2012-06-19 International Business Machines Corporation Method for manufacturing a FinFET device comprising a mask to define a gate perimeter and another mask to define fin regions
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8362568B2 (en) * 2009-08-28 2013-01-29 International Business Machines Corporation Recessed contact for multi-gate FET optimizing series resistance
US8138030B2 (en) * 2009-09-15 2012-03-20 International Business Machines Corporation Asymmetric finFET device with improved parasitic resistance and capacitance
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US8426923B2 (en) * 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
US8373238B2 (en) * 2009-12-03 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple Fin heights
US8242561B2 (en) 2010-02-09 2012-08-14 International Business Machines Corporation Semiconductor devices with improved self-aligned contact areas
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US8377759B2 (en) * 2010-08-17 2013-02-19 International Business Machines Corporation Controlled fin-merging for fin type FET devices
US8445334B1 (en) * 2011-12-20 2013-05-21 International Business Machines Corporation SOI FinFET with recessed merged Fins and liner for enhanced stress coupling

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9053965B2 (en) * 2013-03-14 2015-06-09 International Business Machines Corporation Partially isolated Fin-shaped field effect transistors
US9634000B2 (en) 2013-03-14 2017-04-25 International Business Machines Corporation Partially isolated fin-shaped field effect transistors
US9299812B2 (en) 2014-03-13 2016-03-29 Samsung Electronics Co., Ltd. Method of forming semiconductor device having stressor
US9691897B2 (en) * 2015-09-28 2017-06-27 Globalfoundries Inc. Three-dimensional semiconductor transistor with gate contact in active region

Also Published As

Publication number Publication date
CN104488079B (en) 2017-04-26
GB2511445B (en) 2015-11-25
GB2511445A (en) 2014-09-03
DE112012004934T5 (en) 2014-09-11
US8455313B1 (en) 2013-06-04
CN104488079A (en) 2015-04-01
GB201408705D0 (en) 2014-07-02
WO2013101790A3 (en) 2015-06-11
WO2013101790A2 (en) 2013-07-04
DE112012004934B4 (en) 2017-01-26
US20130161744A1 (en) 2013-06-27
US8637931B2 (en) 2014-01-28

Similar Documents

Publication Publication Date Title
US8455313B1 (en) Method for fabricating finFET with merged fins and vertical silicide
US11101359B2 (en) Gate-all-around (GAA) method and devices
KR101802715B1 (en) Semiconductor device and manufacturing method thereof
US9508850B2 (en) Epitaxial block layer for a fin field effect transistor device
US9129986B2 (en) Spacer chamfering for a replacement metal gate device
US8609480B2 (en) Methods of forming isolation structures on FinFET semiconductor devices
US8796759B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8643120B2 (en) FinFET with fully silicided gate
US9653593B2 (en) Method of fabricating FinFET device and structure thereof
US20150255456A1 (en) Replacement fin insolation in a semiconductor device
US9954104B2 (en) Multiwidth finFET with channel cladding
US9117907B2 (en) Semiconductor device
US20140070322A1 (en) Methods of forming different finfet devices with different threshold voltages and integrated circuit products containing such devices
US9543441B2 (en) Methods, apparatus and system for fabricating high performance finFET device
US20150123211A1 (en) NARROW DIFFUSION BREAK FOR A FIN FIELD EFFECT (FinFET) TRANSISTOR DEVICE
KR102155327B1 (en) Field effect transistor and methods for manufacturing the same
US10483395B2 (en) Method for fabricating semiconductor device
US9875941B1 (en) Method for fabricating semiconductor device
CN111276442B (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117