CN104143505B - 自对准环绕结构 - Google Patents

自对准环绕结构 Download PDF

Info

Publication number
CN104143505B
CN104143505B CN201310217498.2A CN201310217498A CN104143505B CN 104143505 B CN104143505 B CN 104143505B CN 201310217498 A CN201310217498 A CN 201310217498A CN 104143505 B CN104143505 B CN 104143505B
Authority
CN
China
Prior art keywords
grid
layer
distance piece
semiconductor column
fense
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310217498.2A
Other languages
English (en)
Other versions
CN104143505A (zh
Inventor
让-皮埃尔·科林格
江国诚
郭大鹏
卡洛斯·H.·迪亚兹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104143505A publication Critical patent/CN104143505A/zh
Application granted granted Critical
Publication of CN104143505B publication Critical patent/CN104143505B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了垂直环绕结构及其制造方法的实施例。制造自对准的垂直环绕结构器件的方法实施例包括:环绕半导体柱从结构层伸出的暴露部分形成间隔件;在结构层的被保护部分和间隔件的第一部分上方形成光刻胶;蚀刻掉结构层设置在由间隔件和光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的结构,底脚部分和非底脚部分共同环绕半导体柱;以及去除光刻胶和间隔件。本发明还提供了自对准环绕结构。

Description

自对准环绕结构
技术领域
本发明一般地涉及半导体技术领域,更具体地来说,涉及半导体器件及其制造方法。
背景技术
半导体器件用于大量的电子器件中,诸如计算机、手机和其他器件。半导体器件包括通过在半导体晶圆上方沉积多种薄膜材料并且图案化薄膜材料以形成集成电路在半导体晶圆上形成的集成电路。集成电路包括诸如金属氧化物半导体(MOS)晶体管的场效应晶体管(FET)。
半导体工业的目标之一是继续缩小独立FET的尺寸并提高其运行速度。为了实现这些目标,开发了围栅FET。除了栅极材料在每条边都环绕沟道区之外,围栅FET在构思上类似于FET。
在垂直围栅(VGAA)晶体管中,栅极环绕垂直半导体柱(例如纳米线)的整个圆周或边界。由于通过沉积金属(薄栅极介电层)并且使用光刻蚀刻多余的金属来制造栅电极,所以栅极图案必定完全环绕纳米线。这将约束将强加于栅极光刻,尤其是栅极掩模平面与至纳米线平面的自对准。该种约束限制了集成密度并且对潜在成品率构成危害。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种制造自对准的垂直围栅器件的方法,包括:环绕半导体柱从栅极层伸出的暴露部分形成间隔件;在所述栅极层的被保护部分和所述间隔件的第一部分上方形成光刻胶;蚀刻掉所述栅极层设置在由所述间隔件和所述光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极,所述非底脚部分和所述底脚部分共同环绕所述半导体柱;以及去除所述光刻胶和所述间隔件。
在该方法中,所述间隔件是硬掩模间隔件。
在该方法中,所述半导体柱是垂直柱,并且所述栅极层是水平栅极层。
在该方法中,所述栅极层是金属栅极层。
在该方法中,所述间隔件具有至少部分为弧形的间隔件边界。
在该方法中,所述间隔件被形成为环形。
该方法进一步包括:在氧化物层和半导体层上方形成所述栅极层。
根据本发明的另一方面,提供了一种制造自对准的垂直围栅器件的方法,包括:在半导体柱的初始暴露部分的周围以及初始氧化物层上方沉积栅极层;在所述栅极层上方形成附加氧化物层并且平坦化所述附加氧化物层;实施回蚀工艺,以使所述附加氧化物层和栅极层环绕所述半导体柱的初始暴露部分的一部分凹进;环绕保持环绕所述半导体柱的初始暴露部分的所述栅极层以及所述半导体柱的随后暴露部分形成间隔件;在所述栅极层的被保护部分和所述间隔件的第一部分上方形成光刻胶;蚀刻掉栅极层设置在由所述间隔件和所述光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极,所述底脚部分和所述非底脚部分共同环绕所述半导体柱;以及去除所述光刻胶和所述间隔件。
该方法进一步包括:使用化学机械抛光工艺平坦化所述附加氧化物层。
该方法进一步包括:通过使用化学机械抛光工艺和回蚀工艺去除所述栅极层的上部来限定栅极长度。
在该方法中,所述半导体柱是垂直柱,并且所述栅极层是水平栅极层。
在该方法中,所述栅极层是金属栅极层。
在该方法中,所述间隔件具有至少部分为弧形的间隔件边界。
该方法进一步包括:在通过硅衬底所支撑的二氧化硅层的上方形成所述栅极层。
根据本发明的又一方面,提供了一种垂直围栅晶体管,包括:氧化物层,设置在半导体层上方;半导体柱,从所述氧化物层中伸出;以及栅极,设置在所述氧化物层上方,所述栅极具有共同环绕所述半导体柱的底脚部分和非底脚部分。
在该晶体管中,所述底脚部分的底脚边界为弧形。
在该晶体管中,所述栅极是金属栅极。
在该晶体管中,所述氧化物层是二氧化硅。
在该晶体管中,所述半导体柱是垂直柱,并且所述氧化物层是水平层。
在该晶体管中,所述栅极包括在所述底脚部分和所述非底脚部分的至少一个上所堆叠的间隔件部分,所述间隔件部分环绕所述半导体柱。
附图说明
为了更充分地理解本发明及其优点,现将结合附图所进行的以下描述作为参考,其中:
图1示出垂直围栅晶体管的一个实施例;
图2A和图2B至图5A和图5B示出形成图1的垂直围栅晶体管的实施例的工艺的截面图和俯视图;
图6示出垂直围栅晶体管的实施例;
图7A和图7B至图12A和图12B示出形成图6的垂直围栅晶体管的实施例的工艺的透视图和俯视图;
图13和图14示出具有由于栅极未对准所导致的缺陷的传统的垂直围栅晶体管;
图15和图16示出通过使用底脚补偿未对准的垂直围栅晶体管的实施例;
图17至图19示出传统的垂直围栅晶体管和具有由于未对准所导致的缺陷的传统的垂直围栅晶体管所需的公差;
图20和图21示出使用底脚补偿未对准的垂直围栅晶体管的实施例;
图22是制造自对准的垂直围栅器件的方法的实施例;以及
图23是制造自对准的垂直围栅器件的方法的实施例。
除非另有指定,否则不同附图中相应的数字和标号通常指的是相应的部件。绘制附图是以清晰地示出多个实施例的相关方面,并且附图不必按比例绘制。
具体实施方式
以下详细论述本发明实施例的制造和使用。然而,应该理解,本发明提供了许多可以在各种具体环境中实现的可应用的创造性构思。所论述的具体实施例仅为示例性的,而不用于限制本发明的范围。
将参照具体上下文中的实施例来描述本发明,即,垂直围栅(VGAA)晶体管。尽管如此,本文中所公开的发明构思不限于自对准栅极的形成。实际上,还可以使用本文中所公开的发明构思来形成除栅极之外的其他自对准结构。此外,即使本发明涉及VGAA晶体管的实施例,本发明所公开的发明构思也可以应用于其他类型的集成电路、电子结构等。
现参照图1,示出了垂直围栅(VGAA)晶体管10的一个实施例。如图所示,VGAA晶体管10包括支撑氧化物层14的衬底12。在一个实施例中,衬底12是由硅、块状硅或者半导体材料形成。在一个实施例中,氧化物层14是诸如二氧化硅(SiO2)的氧化物。其他介电材料可以用于氧化物层14,例如,氮氧化物或者氮化物。
半导体柱(semiconductor column)16伸出到氧化物层14之外。在一个实施例中,半导体柱16是由硅所形成的纳米线。在一个实施例中,半导体柱是由其他半导体材料形成,诸如硅锗(SiGe)、碳化硅(SiC)、硅碳锗(SiCGe)、锗(Ge)、III-V族化合物或者其他半导体材料。半导体材料可以是单晶、多晶或非晶材料。如图1所示,半导体柱16相对于水平定向的氧化物层14垂直定向。然而,本文中预期包括其他配置和定向。图1示出的半导体柱的截面图是圆形,但可以使用其他截面形状(例如,正方形、六边形、矩形、椭圆形、三角形或者具有尖角或圆角的形状)。
仍参照图1,在氧化物层14上方形成栅极18。在一个实施例中,栅极18可以是除了栅极以外并由非金属材料形成的其他器件。栅极18通常包括底脚(footer)部分20(又称为间隔件限定部分)和非底脚部分22(又称为栅极掩模限定部分)。在一个实施例中,底脚部分20是具有弧形边界24的环形。在一个实施例中,非底脚部分22为具有相应的边界26的矩形、正方形、六边形、椭圆形、三角形或者具有尖角或圆角的其他形状。即使如此,也可以将栅极18形成为多种合适配置中的任何一种。
如以下更全面地描述的,即使在VGAA晶体管10的制造期间由于诸如无意地未对准栅极掩模所导致的相对于半导体柱16没有理想地设置栅极18的非底脚部分22,也能够确保栅极18的底脚部分20和非底脚部分22共同地围绕或者环绕半导体柱16。
参照图2A和图2B至图5A和图5B,共同示出了制造图1的VGAA晶体管10的工艺流程。分别地,如在图2A和2B的透视图和俯视图所示,环绕半导体柱16从栅极层30伸出的部分形成间隔件28。同样地,间隔件28覆盖和保护下面的栅极层30。在一个实施例中,间隔件28是具有弧形边界32的硬掩模间隔件。换句话说,间隔件28可以形成为环形。在一个实施例中,栅极层30是金属栅极层并且包括薄栅极介电层(未示出)。
现参照图3A和3B,光刻工艺从形成光刻胶34开始。如图所示,在栅极层30的被保护部分36和间隔件28的一部分上方形成光刻胶34。换句话说,光刻胶34覆盖并保护下面的栅极层30未被间隔件28保护的部分。
现在参照图3A和3B以及图4A和4B,蚀刻掉栅极层30的未被保护部分,该未被保护的部分位于由间隔件28和光刻胶34共同限定的边界40的外部。在一个实施例中,使用干蚀刻工艺或者其他合适的蚀刻工艺蚀刻掉未被保护部分38。通过蚀刻掉栅极层30的未被保护部分38,如图4A和图4B所示,形成具有底脚部分20和非底脚部分22的栅极18。
底脚部分20在尺寸和形状上通常与间隔件28相对应,而非底脚部分22在尺寸和形状上通常与光刻胶34相对应。如以下更详细地描述的,即使用于形成栅极18的掩模无意地未对准,栅极18的底脚部分20和非底脚部分22也共同围绕半导体柱16。
仍参照图3A和图3B以及图4A和图4B,在去除栅极层30的未被保护部分38并限定栅极18之后,去除光刻胶34。此后,如图5A和图5B所示,去除间隔件28以形成图1的VGAA晶体管10的实施例。
现参照图6,示出了垂直围栅(VGAA)晶体管42的一个实施例。图6的示例性VGAA晶体管42类似于图1的VGAA晶体管10。实际上,VGAA晶体管42包括衬底12、氧化物层14和半导体柱16。然而,图6的示例性VGAA晶体管42的栅极44与图1的示例性VGAA的栅极18略有不同并且具有与图1的示例性VGAA的栅极18不同的配置。
参照图7A和图7B至图12A和图12B,共同地示出了制造图6的VGAA晶体管42的工艺流程。如图7A和图7B所示,在氧化物层14和半导体柱16的最初的暴露部分上方同时形成栅极层30和栅极层46。在图7A中,栅极层30和栅极层46是覆盖绝缘层14和半导体柱16的沉积层。沉积层覆盖绝缘层14的部分被称为栅极层30,而沉积层覆盖半导体柱16的部分被称为栅极层46。栅极层30和栅极层46是由合适的栅极材料形成并且共同形成器件的栅极或栅叠层。
在一个实施例中,栅极层46是具有弧形边界48的金属栅极间隔件。换句话说,栅极层46可以形成为环形。在一个实施例中,栅极层30是金属栅极层。由于同时形成栅极层30和栅极层46,所以它们通常具有均匀的厚度。
现在参照图8A和图8B,在栅极层30上方以及在栅极层46周围沉积附加氧化物层50。此后,使用化学机械抛光工艺(CMP)以使附加氧化物层50的表面变平,并且使用回蚀工艺使附加氧化物层50和栅极层46凹进以提供期望高度(其等于凹进的附加氧化物层50的厚度)的栅极层46。
如图9A和9B所示,环绕半导体柱16的随后暴露部分形成间隔件52。间隔件52覆盖并保护下面的栅极层30的多部分和栅极层46。在一个实施例中,间隔件52是具有弧形边界54的硬掩模间隔件。换句话说,间隔件52可以形成为环形。
现在参照图10A和图10B,光刻工艺从形成光刻胶34开始。如图所示,在栅极层30的被保护部分36和间隔件52的一部分上方形成光刻胶34。因此,光刻胶34覆盖并保护未被间隔件52保护的下面的栅极层30。
现在参照图10A和图10B以及图11A和图11B,蚀刻掉栅极层30的未被保护部分38,未被保护部分38位于由间隔件52和光刻胶34共同限定的边界40的外部。在一个实施例中,使用干蚀刻工艺或者其他合适的蚀刻工艺蚀刻掉未被保护部分38。通过蚀刻掉栅极层30的未被保护部分38,如图11A和图11B所示,形成底脚部分20和非底脚部分22。
现在参照图12A和图12B。底脚部分20在尺寸和形状上通常与间隔件52相对应,而非底脚部分22在尺寸和形状上通常与光刻胶34相对应。如以下更详细地描述的,即使用于形成整个栅极44的掩模无意地未对准,底脚部分20和非底脚部分22也共同围绕半导体柱16。
仍参照图12A和图12B,在去除栅极层30的未被保护部分38之后,去除光刻胶34和间隔件52。通过去除光刻胶34和间隔件52,形成图6的示例性VGAA晶体管42。如图所示,由底脚部分20、非底脚部分22和栅极层46的下部共同形成示例性VGAA晶体管42的栅极44。在一个实施例中,当总栅极44是金属栅极时,图12A所示的栅极层46的下部可以用于控制栅极长度。
图13和图14示出具有由于未对准所导致的缺陷的传统的垂直围栅晶体管56。实际上,栅极60没有完全环绕垂直纳米线58。相反,图15和图16示出使用具有底脚66的栅极64补偿未对准的垂直围栅晶体管62的实施例。实际上,因为栅极64(即,栅电极)的底脚66完全围绕或环绕纳米线68。因此,在较差的对准的情况下(即,如果矩形栅极掩模没有完全环绕垂直纳米线68),仍通过栅极材料完全环绕垂直半导体纳米线68。
图17示出具有由栅极74所环绕的多条纳米线72的传统的垂直围栅晶体管70的栅极掩模所需要的公差L。图18和图19示出传统的垂直围栅晶体管70,其处于由于纳米线72相对于栅极74未对准所导致的缺陷的状态。实际上,栅极74没有完全环绕每条垂直纳米线72。相反,图20和图21示出使用具有底脚80的栅极78补偿栅极掩模未对准的垂直围栅晶体管76的一个实施例。实际上,在某种程度上由于底脚80使得栅极78(即,栅电极)完全围绕或环绕每条纳米线82。因此,现在,减小栅极掩模的宽度是可接受的。
现在参照图22,示出了制造自对准的垂直围栅器件的方法84的实施例。在框86中,环绕半导体柱从栅极层伸出的暴露部分形成间隔件。在框88中,在栅极层的被保护部分和间隔件的第一部分上方形成光刻胶。在框90中,蚀刻掉栅极层位于由间隔件和光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极。非底脚部分和底脚部分共同环绕半导体柱。在框92中,去除光刻胶和间隔件。
现在参照图23,示出了制造自对准的垂直环状结构器件的方法94的实施例。在框96中,在半导体柱的最初暴露部分的周围以及最初的氧化物层上方沉积栅极层。在框98中,在栅极层上方形成附加氧化物层,然后对该附加氧化物层进行平坦化。在框100中,实施回蚀工艺以使附加氧化物层以及栅极层环绕半导体柱的最初暴露部分的一部分凹进。在框102中,环绕保持环绕半导体柱的最初暴露部分的栅极层和半导体柱的随后暴露部分形成间隔件。
在框104中,在栅极层的被保护部分和间隔件的第一部分上方形成光刻胶。在框106中,蚀刻掉栅极层位于由间隔件28和光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极。非底脚部分和底脚部分共同环绕半导体柱以及栅极46的部分,其中,栅极46的部分围绕柱。在框108中,去除光刻胶34和间隔件28。
通过前述可知,应该认识到,可以使用本文中所公开的构思来制造自对准的垂直环绕栅极。由于栅极(例如印刷栅极)具有并使用底脚(例如,栅极底脚),所以栅极自对准并且确保例如完全围绕垂直纳米线。例如,使用本文中所公开的构思形成的栅极是由“逻辑OR”功能或者栅极掩模和间隔件的底脚的相加性组合的结果。这允许栅极自动自对准并且改善了对印刷栅极层的未对准的公差。同样地,可以放宽关于栅极对准的设计规则,从而增加或改善了封装密度。
此外,改善了栅极与有源区(纳米线)公差对准。可以使栅极层的尺寸(宽度和间距)更紧密。改善的对准公差和紧密的尺寸提高了集成密度。
制造自对准的垂直围栅器件的方法的一个实施例包括:环绕半导体柱从栅极层伸出的暴露部分形成间隔件;在栅极层的被保护部分和间隔件的第一部分上方形成光刻胶;蚀刻掉栅极层设置在由间隔件和光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极;非底脚部分和底脚部分共同环绕半导体柱;以及去除光刻胶和间隔件。
制造自对准的垂直围栅器件的方法的实施例包括:在半导体柱的最初暴露部分的周围和最初氧化物层上方沉积栅极层;在栅极层上方形成附加氧化物层并且平坦化附加氧化物层;实施回蚀工艺以使附加氧化物层以及栅极层环绕半导体柱的最初暴露部分的一部分凹进;环绕保持环绕半导体柱的最初暴露部分的栅极层以及半导体柱的随后暴露部分形成间隔件;在栅极层的被保护部分和间隔件的第一部分上方形成光刻胶;蚀刻掉栅极层设置在由间隔件和光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极,该底脚部分和非底脚部分共同环绕半导体柱;以及去除光刻胶和第二间隔件。
垂直围栅晶体管的实施例包括:设置在半导体层上方的氧化物层;从氧化物层伸出的半导体柱;设置在氧化物层上方的栅极,该栅极具有共同环绕半导体柱的底脚部分和非底脚部分。
虽然本发明提供了示例性实施例,但是本说明书并不旨在被解释为限定意义。参照说明书,示例性实施例的各种修改和组合以及其他实施例对于本领域技术人员是显而易见的。因此,所附权利要求意图涵盖任何这种的修改或实施例。

Claims (19)

1.一种制造自对准的垂直围栅器件的方法,包括:
环绕半导体柱从栅极层伸出的暴露部分形成间隔件;
在所述栅极层的被保护部分和所述间隔件的第一部分上方形成光刻胶;
蚀刻掉所述栅极层设置在由所述间隔件和所述光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极,所述非底脚部分和所述底脚部分共同环绕所述半导体柱;以及
去除所述光刻胶和所述间隔件。
2.根据权利要求1所述的制造自对准的垂直围栅器件的方法,其中,所述间隔件是硬掩模间隔件。
3.根据权利要求1所述的制造自对准的垂直围栅器件的方法,其中,所述半导体柱是垂直柱,并且所述栅极层是水平栅极层。
4.根据权利要求1所述的制造自对准的垂直围栅器件的方法,其中,所述栅极层是金属栅极层。
5.根据权利要求1所述的制造自对准的垂直围栅器件的方法,其中,所述间隔件具有至少部分为弧形的间隔件边界。
6.根据权利要求1所述的制造自对准的垂直围栅器件的方法,其中,所述间隔件被形成为环形。
7.根据权利要求1所述的制造自对准的垂直围栅器件的方法,进一步包括:在氧化物层和半导体层上方形成所述栅极层。
8.一种制造自对准的垂直围栅器件的方法,包括:
在半导体柱的初始暴露部分的周围以及初始氧化物层上方沉积栅极层;
在所述栅极层上方形成附加氧化物层并且平坦化所述附加氧化物层;
实施回蚀工艺,以使所述附加氧化物层和栅极层环绕所述半导体柱的初始暴露部分的一部分凹进;
环绕保持环绕所述半导体柱的初始暴露部分的所述栅极层以及所述半导体柱的随后暴露部分形成间隔件;
在所述栅极层的被保护部分和所述间隔件的第一部分上方形成光刻胶;
蚀刻掉栅极层设置在由所述间隔件和所述光刻胶共同限定的边界的外部的未被保护部分以形成具有底脚部分和非底脚部分的栅极,所述底脚部分和所述非底脚部分共同环绕所述半导体柱;以及
去除所述光刻胶和所述间隔件。
9.根据权利要求8所述的制造自对准的垂直围栅器件的方法,进一步包括:使用化学机械抛光工艺平坦化所述附加氧化物层。
10.根据权利要求8所述的制造自对准的垂直围栅器件的方法,进一步包括:通过使用化学机械抛光工艺和回蚀工艺去除所述栅极层的上部来限定栅极长度。
11.根据权利要求8所述的制造自对准的垂直围栅器件的方法,其中,所述半导体柱是垂直柱,并且所述栅极层是水平栅极层。
12.根据权利要求8所述的制造自对准的垂直围栅器件的方法,其中,所述栅极层是金属栅极层。
13.根据权利要求8所述的制造自对准的垂直围栅器件的方法,其中,所述间隔件具有至少部分为弧形的间隔件边界。
14.根据权利要求8所述的制造自对准的垂直围栅器件的方法,进一步包括:在通过硅衬底所支撑的二氧化硅层的上方形成所述栅极层。
15.一种垂直围栅晶体管,包括:
氧化物层,设置在半导体层上方;
半导体柱,从所述氧化物层中伸出;以及
栅极,设置在所述氧化物层上方,所述栅极具有共同环绕所述半导体柱的底脚部分和非底脚部分;
所述栅极包括在所述底脚部分和所述非底脚部分的至少一个上所堆叠的间隔件部分,所述间隔件部分环绕所述半导体柱,
其中,通过所述底脚部分在尺寸和形状上与附加氧化物间隔件相对应,以补偿未对准的垂直围栅晶体管,所述附加氧化物间隔件环绕所述半导体柱和所述间隔件部分。
16.根据权利要求15所述的垂直围栅晶体管,其中,所述底脚部分的底脚边界为弧形。
17.根据权利要求15所述的垂直围栅晶体管,其中,所述栅极是金属栅极。
18.根据权利要求15所述的垂直围栅晶体管,其中,所述氧化物层是二氧化硅。
19.根据权利要求15所述的垂直围栅晶体管,其中,所述半导体柱是垂直柱,并且所述氧化物层是水平层。
CN201310217498.2A 2013-05-10 2013-06-03 自对准环绕结构 Active CN104143505B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/782,528 2013-05-10
US13/782,528 US9209247B2 (en) 2013-05-10 2013-05-10 Self-aligned wrapped-around structure

Publications (2)

Publication Number Publication Date
CN104143505A CN104143505A (zh) 2014-11-12
CN104143505B true CN104143505B (zh) 2017-04-12

Family

ID=51852650

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310217498.2A Active CN104143505B (zh) 2013-05-10 2013-06-03 自对准环绕结构

Country Status (2)

Country Link
US (2) US9209247B2 (zh)
CN (1) CN104143505B (zh)

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US9978863B2 (en) 2013-08-16 2018-05-22 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with one or more semiconductor columns
US9281363B2 (en) 2014-04-18 2016-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Circuits using gate-all-around technology
US9690892B2 (en) 2014-07-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Masks based on gate pad layout patterns of standard cell having different gate pad pitches
CN105448989B (zh) * 2014-08-26 2018-12-25 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR102404780B1 (ko) * 2015-02-25 2022-06-02 삼성디스플레이 주식회사 플렉서블 표시 장치
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9620509B1 (en) 2015-10-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory device with vertical FET devices
US9893120B2 (en) 2016-04-15 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US9711607B1 (en) * 2016-04-15 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. One-dimensional nanostructure growth on graphene and devices thereof
US9691851B1 (en) 2016-06-10 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10141232B2 (en) 2016-06-30 2018-11-27 International Business Machines Corporation Vertical CMOS devices with common gate stacks
CN106298934B (zh) * 2016-08-11 2019-07-19 北京大学 一种鞘层沟道结构的垂直纳米线器件及其制备方法
US10170378B2 (en) 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
US10490596B2 (en) 2016-11-30 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating an image sensor
US10672824B2 (en) 2016-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US11923252B2 (en) 2017-03-23 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for manufacturing the same
US10170374B2 (en) 2017-03-23 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10804375B2 (en) 2017-03-23 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10483380B2 (en) 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10475908B2 (en) 2017-04-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10665569B2 (en) 2017-05-25 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10269800B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical gate semiconductor device with steep subthreshold slope
US10510840B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. GAA FET with u-shaped channel
US10181524B1 (en) 2017-07-14 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10134640B1 (en) 2017-07-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
FR3069952B1 (fr) 2017-08-07 2019-08-30 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation d'un transistor a structure de canal et regions de source et de drain en semi-metal
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10374059B2 (en) 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US10297508B2 (en) 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10629679B2 (en) 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10290548B2 (en) 2017-08-31 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10121870B1 (en) 2017-08-31 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with strain-relaxed buffer
US10453752B2 (en) 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
US10269914B2 (en) 2017-09-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10325993B2 (en) 2017-09-28 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device and fabrication thereof
US10297636B2 (en) 2017-09-28 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating complementary metal-oxide-semiconductor image sensor
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10269965B1 (en) 2017-10-25 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multi-gate semiconductor device and method for forming the same
US10672742B2 (en) 2017-10-26 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11380803B2 (en) 2017-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10818777B2 (en) 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10868127B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10535738B2 (en) 2017-10-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method of the same
US10431696B2 (en) 2017-11-08 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowire
US10700066B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10475929B2 (en) 2017-11-30 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10516039B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11245005B2 (en) 2018-05-14 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with extended contact structure
US10522622B2 (en) 2018-05-14 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor device and method for forming the same
US10756089B2 (en) 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10825933B2 (en) 2018-06-11 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10672879B2 (en) 2018-07-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
US11296236B2 (en) 2018-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10784278B2 (en) 2018-07-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10879394B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11114303B2 (en) 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US10720503B2 (en) 2018-08-14 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US11362001B2 (en) 2018-08-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing nanostructures with various widths
US11018226B2 (en) 2018-08-14 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11152491B2 (en) 2018-08-23 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with inner spacer layer
US11024729B2 (en) 2018-09-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for manufacturing semiconductor device
US10910375B2 (en) 2018-09-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabrication thereof
US10727134B2 (en) 2018-10-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with gate-all-around structure
US11038029B2 (en) 2018-11-08 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11101359B2 (en) 2018-11-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around (GAA) method and devices
US11031298B2 (en) 2018-11-30 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10720431B1 (en) 2019-01-25 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
US10825918B2 (en) 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11211381B2 (en) 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11164866B2 (en) 2019-02-20 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
US10825919B2 (en) 2019-02-21 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process
US10867867B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
US11164796B2 (en) 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11201060B2 (en) 2019-04-17 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11244871B2 (en) 2019-06-27 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
US10879469B1 (en) 2019-06-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor
US11456368B2 (en) 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US10985265B2 (en) 2019-08-22 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11114345B2 (en) 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. IC including standard cells and SRAM cells
US11315936B2 (en) 2019-08-29 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11462614B2 (en) 2019-08-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11107836B2 (en) 2019-09-16 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11430891B2 (en) 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same
US11222948B2 (en) 2019-09-27 2022-01-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11121037B2 (en) 2019-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11417653B2 (en) 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11088251B2 (en) 2019-10-01 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts for semiconductor devices and methods of forming
US11127832B2 (en) 2019-10-01 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11233130B2 (en) 2019-10-25 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11450754B2 (en) 2019-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11296199B2 (en) 2019-10-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods
US11658245B2 (en) 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US10977409B1 (en) 2019-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of generating a layout for a semiconductor device
US11322619B2 (en) 2019-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11355605B2 (en) 2019-10-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11621195B2 (en) 2019-10-30 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11264327B2 (en) 2019-10-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail structure and methods of forming same
DE102020114813A1 (de) 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und bildungsverfahren für halbleitervorrichtung mit isolierungsstruktur
US11631770B2 (en) 2019-10-31 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11756997B2 (en) 2019-10-31 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11201225B2 (en) 2019-10-31 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11424242B2 (en) 2019-10-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
EP3819945A1 (en) 2019-11-06 2021-05-12 Imec VZW Method of forming a vertical channel device
US11545490B2 (en) 2019-12-17 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11133221B2 (en) 2019-12-17 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
US11444200B2 (en) 2019-12-26 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with isolating feature and method for forming the same
US11664420B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11362096B2 (en) 2019-12-27 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11393925B2 (en) 2019-12-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with nanostructure
US11107886B2 (en) 2020-01-10 2021-08-31 Taiwan Semiconductor Manufacturing Company Ltd. Memory device and method of fabricating the memory device
US11855619B2 (en) 2020-01-15 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Power switch circuit, IC structure of power switch circuit, and method of forming IC structure
US11139379B2 (en) 2020-01-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11444202B2 (en) 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11444177B2 (en) 2020-01-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
DE102020119963A1 (de) 2020-01-30 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11444197B2 (en) 2020-02-07 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11158741B2 (en) 2020-02-11 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure device and method
US11335776B2 (en) 2020-02-11 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid channel semiconductor device and method
US11854688B2 (en) 2020-02-19 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11211472B2 (en) 2020-02-24 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11145746B2 (en) 2020-02-26 2021-10-12 Taiwan Semiconductor Manufacturing Co.y, Ltd. Semiconductor device and method
US11329165B2 (en) 2020-02-26 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
US11495682B2 (en) 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11316046B2 (en) 2020-02-27 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11393898B2 (en) 2020-02-27 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11264502B2 (en) 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11443979B2 (en) 2020-04-01 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11417751B2 (en) 2020-04-01 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11495661B2 (en) 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
US11309424B2 (en) 2020-04-13 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11335552B2 (en) 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel
US11349004B2 (en) 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
CN113140565A (zh) 2020-04-28 2021-07-20 台湾积体电路制造股份有限公司 半导体器件和制造方法
US11410930B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11251308B2 (en) 2020-04-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
TWI741935B (zh) 2020-04-28 2021-10-01 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US11355410B2 (en) 2020-04-28 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
DE102020122823B4 (de) 2020-05-12 2022-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungen mit entkopplungskondensatoren
US11450600B2 (en) 2020-05-12 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including decoupling capacitors
US11239208B2 (en) 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
US11393924B2 (en) 2020-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with high contact area
US11217629B2 (en) 2020-05-19 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
US11637101B2 (en) 2020-05-26 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI762196B (zh) 2020-05-26 2022-04-21 台灣積體電路製造股份有限公司 半導體裝置與其製造方法
US11417767B2 (en) 2020-05-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same
US11532703B2 (en) 2020-05-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11862561B2 (en) 2020-05-28 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside routing and method of forming same
US11600528B2 (en) 2020-05-28 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11264283B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-channel devices and methods of manufacture
US11723209B2 (en) 2020-05-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
US11715777B2 (en) 2020-05-29 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11664374B2 (en) 2020-05-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Backside interconnect structures for semiconductor devices and methods of forming the same
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11424347B2 (en) 2020-06-11 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417777B2 (en) 2020-06-11 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Enlargement of GAA nanostructure
US11271113B2 (en) 2020-06-12 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11342334B2 (en) 2020-06-15 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell and method
US11527630B2 (en) 2020-06-24 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US11563006B2 (en) 2020-06-24 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing thereof
US11532714B2 (en) 2020-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
US11387233B2 (en) 2020-06-29 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11322505B2 (en) 2020-06-30 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric random access memory devices and methods
US11462549B2 (en) 2020-06-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11903189B2 (en) 2020-07-09 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory and fabricating method thereof
US11855185B2 (en) 2020-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer masking layer and method of forming same
US11195930B1 (en) 2020-07-22 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11804531B2 (en) 2020-07-23 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film transfer using substrate with etch stop layer and diffusion barrier layer
US11329163B2 (en) 2020-07-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11903213B2 (en) 2020-07-29 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for making same
US11557510B2 (en) 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US11417745B2 (en) 2020-07-30 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11456209B2 (en) 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
US11217494B1 (en) 2020-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11245023B1 (en) 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11444199B2 (en) 2020-08-03 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11335806B2 (en) 2020-08-11 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11664441B2 (en) 2020-08-13 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet field-effect transistor device and method of forming
US11974441B2 (en) 2020-08-13 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array including epitaxial source lines and bit lines
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
US11757021B2 (en) 2020-08-18 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with fin-top hard mask and methods for fabrication thereof
US11532607B2 (en) 2020-08-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. ESD structure and semiconductor structure
US11676864B2 (en) 2020-08-27 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11302693B2 (en) 2020-08-31 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11791216B2 (en) 2020-09-15 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructure field-effect transistor device and method of forming
US11450569B2 (en) 2020-09-18 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US11469326B2 (en) 2020-09-18 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabrication thereof
US11502034B2 (en) 2020-09-21 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11355398B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11387322B2 (en) 2020-09-21 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11551969B2 (en) 2020-09-23 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside interconnection structure having air gap
US11728173B2 (en) 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Masking layer with post treatment
US11594610B2 (en) 2020-10-15 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11737254B2 (en) 2020-10-21 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and layout, manufacturing method of the same
US11765892B2 (en) 2020-10-21 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and method of manufacture
US11502201B2 (en) 2020-10-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside power rail and methods of fabrication thereof
US11569223B2 (en) 2020-10-30 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for fabricating the same
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11450664B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11450663B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11450666B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including two-dimensional material and methods of fabrication thereof
US11508807B2 (en) 2020-11-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11594455B2 (en) 2021-01-05 2023-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the same
US11502081B2 (en) 2021-01-14 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11411079B1 (en) 2021-01-21 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11670550B2 (en) 2021-01-21 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructure field-effect transistor device and method of forming
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11637180B2 (en) 2021-01-28 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11810961B2 (en) 2021-01-28 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11588018B2 (en) 2021-01-28 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with nanostructure and method for forming the same
US11715762B2 (en) 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11581410B2 (en) 2021-02-12 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11563109B2 (en) 2021-02-19 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11652140B2 (en) 2021-02-25 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11688767B2 (en) 2021-02-25 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11640941B2 (en) 2021-02-25 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including metal gate protection and methods of fabrication thereof
US11735483B2 (en) 2021-02-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof
US11569348B2 (en) 2021-02-26 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of fabrication thereof
US11532628B2 (en) * 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US11810948B2 (en) 2021-03-10 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11581437B2 (en) 2021-03-11 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11532725B2 (en) 2021-03-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming sidewall spacers and semiconductor devices fabricated thereof
US11830912B2 (en) 2021-03-18 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11862700B2 (en) 2021-03-19 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11978773B2 (en) 2021-03-25 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device structure with semiconductor nanostructures
US11894460B2 (en) 2021-03-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11843032B2 (en) 2021-03-30 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with channel and method for forming the same
US11515393B2 (en) 2021-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11942556B2 (en) 2021-04-08 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11664378B2 (en) 2021-04-08 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11688793B2 (en) 2021-04-08 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11742353B2 (en) 2021-04-14 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US12009391B2 (en) 2021-04-14 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet field-effect transistor device and method of forming
US11545559B2 (en) 2021-04-14 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11710774B2 (en) 2021-04-23 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof
US11929287B2 (en) 2021-04-23 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric liner for field effect transistors
US11915937B2 (en) 2021-04-29 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine incorporation method for nanosheet
US11978674B2 (en) 2021-05-05 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11990404B2 (en) 2021-05-05 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation for semiconductor devices and methods of manufacture
US11991887B2 (en) 2021-05-06 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory
US11942478B2 (en) 2021-05-06 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11742416B2 (en) 2021-05-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11757018B2 (en) 2021-05-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device with gate all around structure
US11764277B2 (en) 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US12015060B2 (en) 2021-06-24 2024-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with backside contact
US11916151B2 (en) 2021-06-25 2024-02-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having fin with all around gate
US11855167B2 (en) 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with nanosheet structure
US12002663B2 (en) 2021-07-16 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Processing apparatus and method for forming semiconductor structure
US11626400B2 (en) 2021-07-16 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure incorporating air gap
US12002845B2 (en) 2021-07-23 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11935954B2 (en) 2021-07-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11948843B2 (en) 2021-08-06 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming hardmask formation by hybrid materials in semiconductor device
US11855078B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11756995B2 (en) 2021-08-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer
US11901364B2 (en) 2021-08-27 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11784225B2 (en) 2021-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers
US11688625B2 (en) 2021-08-30 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US11810824B2 (en) 2021-08-30 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11908893B2 (en) 2021-08-30 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11901410B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US12009355B2 (en) 2022-01-12 2024-06-11 Tokyo Electron Limited 3D stacked DRAM with 3D vertical circuit design
US11942536B2 (en) 2022-02-14 2024-03-26 Tokyo Electron Limited Semiconductor device having channel structure with 2D material
US11955384B2 (en) 2022-02-17 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor device with nanostructure channels and manufacturing method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101483192A (zh) * 2009-02-11 2009-07-15 西安交通大学 一种垂直围栅mosfet器件及其制造方法
CN101933126A (zh) * 2008-01-29 2010-12-29 日本优尼山帝斯电子株式会社 半导体器件的制造方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7528051B2 (en) 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
KR100666187B1 (ko) 2004-08-04 2007-01-09 학교법인 한양학원 나노선을 이용한 수직형 반도체 소자 및 이의 제조 방법
US7595523B2 (en) * 2007-02-16 2009-09-29 Power Integrations, Inc. Gate pullback at ends of high-voltage vertical transistor structure
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8476132B2 (en) * 2008-01-29 2013-07-02 Unisantis Electronics Singapore Pte Ltd. Production method for semiconductor device
US7759729B2 (en) 2008-02-07 2010-07-20 International Business Machines Corporation Metal-oxide-semiconductor device including an energy filter
US20090215277A1 (en) 2008-02-26 2009-08-27 Tung-Hsing Lee Dual contact etch stop layer process
JP4575471B2 (ja) 2008-03-28 2010-11-04 株式会社東芝 半導体装置および半導体装置の製造方法
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8164146B2 (en) 2009-09-23 2012-04-24 Macronix International Co., Ltd. Substrate symmetrical silicide source/drain surrounding gate transistor
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
JP5066590B2 (ja) * 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置とその製造方法
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8492289B2 (en) 2010-09-15 2013-07-23 International Business Machines Corporation Barrier layer formation for metal interconnects through enhanced impurity diffusion
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
KR20120100630A (ko) 2011-03-04 2012-09-12 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
JP6100071B2 (ja) * 2012-04-30 2017-03-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101933126A (zh) * 2008-01-29 2010-12-29 日本优尼山帝斯电子株式会社 半导体器件的制造方法
CN101483192A (zh) * 2009-02-11 2009-07-15 西安交通大学 一种垂直围栅mosfet器件及其制造方法

Also Published As

Publication number Publication date
US9209247B2 (en) 2015-12-08
US20140332859A1 (en) 2014-11-13
US20160087054A1 (en) 2016-03-24
CN104143505A (zh) 2014-11-12
US9478624B2 (en) 2016-10-25

Similar Documents

Publication Publication Date Title
CN104143505B (zh) 自对准环绕结构
US10879129B2 (en) Self-aligned nanowire formation using double patterning
US11004946B2 (en) FinFET structure with composite gate helmet
CN105895695A (zh) 具有延伸的栅极结构的半导体结构及其形成方法
TW201735160A (zh) 水平閘極環繞奈米線電晶體之底部隔離
US9659931B2 (en) Fin cut on sit level
CN103765595A (zh) 包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法
US11164866B2 (en) Semiconductor structure and method for manufacturing the same
US20190067483A1 (en) Fin field effect transistor (finfet) device structure and method for forming the same
TWI694614B (zh) 位在矽覆絕緣層上的鰭狀場效電晶體及其形成方法
US10290503B2 (en) Spacer enabled poly gate
TW201545207A (zh) 具有奈米線結構的半導體裝置及其製造方法
CN103594513B (zh) 半导体器件及其制造方法
US10665569B2 (en) Vertical transistor device and method for fabricating the same
TWI608529B (zh) 形成用於鰭式場效電晶體半導體裝置之鰭片的方法以及其半導體裝置
US9666687B1 (en) Method for forming semiconductor structure
US10170369B1 (en) Semiconductor device and fabrication method thereof
KR20140097569A (ko) 3차원 구조의 mosfet 및 그 제조 방법
US20170117355A1 (en) Semiconductor device structure and method for forming the same
JP6294511B2 (ja) 半導体装置の製造方法及び半導体装置
TWI406325B (zh) 半導體結構的製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant