CN103283005A - 用于蚀刻基板的方法 - Google Patents

用于蚀刻基板的方法 Download PDF

Info

Publication number
CN103283005A
CN103283005A CN2011800611419A CN201180061141A CN103283005A CN 103283005 A CN103283005 A CN 103283005A CN 2011800611419 A CN2011800611419 A CN 2011800611419A CN 201180061141 A CN201180061141 A CN 201180061141A CN 103283005 A CN103283005 A CN 103283005A
Authority
CN
China
Prior art keywords
gas
process gas
polymer
etching
basal surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800611419A
Other languages
English (en)
Other versions
CN103283005B (zh
Inventor
罗伯特·P·谢比
艾伦·切希尔
加布里埃尔·鲁皮亚尔
阿尔弗雷多·格拉纳多斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103283005A publication Critical patent/CN103283005A/zh
Application granted granted Critical
Publication of CN103283005B publication Critical patent/CN103283005B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0323Grooves
    • B81B2203/033Trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用于在等离子体蚀刻反应器中蚀刻基板的方法可包括:(a)使用从第一工艺气体所形成的第一反应性物种在特征结构的表面上沉积聚合物,所述第一工艺气体包含聚合物形成气体,所述特征结构被形成在基板中,所述基板设置在所述蚀刻反应器中;(b)使用从第三工艺气体所形成的第三反应性物种在所述蚀刻反应器中蚀刻所述基板的所述特征结构的底表面,所述第三工艺气体包括蚀刻气体;及(c)当沉积所述聚合物时或当蚀刻所述底表面时的至少一种,用从第二工艺气体所形成的第二反应性物种轰击所述特征结构的底表面,用以当沉积所述聚合物时能移除设置在所述底表面上的至少一些所述聚合物,或用以当蚀刻所述底表面时能化学地或物理地的至少一种损坏所述底表面,所述第二工艺气体包含惰性气体、氧化气体、还原气体或所述聚合物形成气体的一或多种。

Description

用于蚀刻基板的方法
背景
领域
本发明的实施例大体上涉及用于蚀刻基板的方法。
相关技术的描述
一些蚀刻反应器利用循环蚀刻工艺,所述循环蚀刻工艺包括一些配方步骤(诸如蚀刻与沉积,或者蚀刻、快闪及沉积)。循环蚀刻工艺可使用时间多任务气体模块化(time multiplexed gas modulation,TMGM)系统或波斯科(Bosch)系统来依序地提供蚀刻剂与沉积物种。沉积物种在先前被蚀刻的表面上提供保护膜以保护表面(通常是沟槽的侧壁)免于进一步蚀刻。随着形成越来越深的沟槽,重复此两个步骤。不佳的循环蚀刻工艺控制会不利地增加侧壁的粗糙度,此会导致微电子器件的缺陷。
此外,沉积物种的沉积没有良好地被控制且会造成沉积物种被沉积在待蚀刻的表面上。因此,必须包括额外的工艺步骤以从待蚀刻的表面上移除沉积物种,或蚀刻步骤必须进行长达更长的时段以先移除沉积物种而抵达待蚀刻的表面。所以,对于循环蚀刻工艺而言,不佳的沉积物种控制会造成更长的时段。
所以,在此提供改善的用于蚀刻基板的方法。
发明内容
在此提供用于蚀刻基板的方法与设备。在一些实施例中,一种用于在等离子体蚀刻反应器中蚀刻基板的方法可包括:(a)使用从第一工艺气体所形成的第一反应性物种在特征结构的表面上沉积聚合物,所述第一工艺气体包含聚合物形成气体,所述特征结构被形成在基板中,所述基板设置在所述蚀刻反应器中;(b)使用从第三工艺气体所形成的第三反应性物种在所述蚀刻反应器中蚀刻所述基板的所述特征结构的底表面,所述第三工艺气体包括蚀刻气体;及(c)当沉积所述聚合物时或当蚀刻所述底表面时的至少一种,用从第二工艺气体所形成的第二反应性物种轰击所述特征结构的底表面,用以当沉积所述聚合物时能移除设置在所述底表面上的至少一些所述聚合物,或用以当蚀刻所述底表面时能化学地或物理地的至少一种损坏所述底表面,所述第二工艺气体包含惰性气体、氧化气体、还原气体或所述聚合物形成气体的一或多种。在一些实施例中,所述方法可进一步包括重复步骤(a)、(b)和(c)直至所述特征结构蚀刻至所述基板内达预定的深度。
本发明的其它和更进一步的实施例在下文描述。
附图简要说明
可通过参考本发明的实施例来详细理解本发明的说明,本发明的说明简短地在前面概述过,其中一些实施例在附图中示出。但是应注意的是,附图仅示出本发明的典型实施例,因此附图不应被视为会对本发明范围构成限制,因为本发明可允许其它等效实施例。
图1图示根据本发明的一些实施例的基板蚀刻反应器的剖视图。
图2图示根据本发明的一些实施例的基板支撑组件的部分剖视图。
图3图示根据本发明的一些实施例的蚀刻基板的方法的流程图。
图4A-C图示根据本发明的一些实施例的蚀刻基板的阶段。
为促进理解,在可能时使用相同的元件符号来表示所有图中共有的相同元件。然而,应理解,附图仅示出本发明的典型实施例,因此附图不应被视为会对本发明范围构成限制,因为本发明可允许其它等效实施例。
具体描述
在此公开用于蚀刻基板的方法与设备。尽管在此描述的设备与方法对于MEMS应用的蚀刻硅是特别有利的,可设想出的是本发明的实施例不被局限在MEMS应用或硅蚀刻的使用,而是可有利地被利用来蚀刻其它类型的材料与/或被利用在其它蚀刻反应器中。在此公开的发明方法可有利地提供缩短的用于蚀刻基板中特征结构的时段。在此公开的发明方法可有利地提供经蚀刻的特征结构的更平滑表面。
图1图示根据本发明的一些实施例的蚀刻反应器100的剖视图。蚀刻反应器100包括下腔室主体102、上腔室主体104与室顶106,下腔室主体102、上腔室主体104与室顶106围绕工艺容积108。室顶106可以是平坦的或具有其它几何形状。在一个实施例中,室顶106是圆顶。可替换间隙物110被提供在室顶106与上腔室主体104之间,因此可依需要可选择地改变室顶106相对于上腔室主体104的倾斜与/或高度。
RF线圈112设置在室顶106上方且经由匹配电路116耦接到RF源114。室顶106对于RF功率是可传递的,以致从RF源114被施加到线圈112的源功率可感应地耦合到且激发设置在反应器100的工艺容积108中的气体,以维持等离子体170。传统上,被施加到线圈112的功率称为源功率。
可在范围从约2MHz到约60MHz的射频下及在范围从约10瓦到约5000瓦的功率下提供源功率。可将源功率予以脉冲化。
上腔室主体104包括泵送通道118,泵送通道118将反应器100的工艺容积108经由节流阀122连接到泵120。泵120与节流阀122可被操作以控制反应器100的工艺容积108内的压力。泵120还移除蚀刻副产物。挡板180可设置在泵送通道118中,以将泵120的污染减到最小并改善工艺容积108内的传导性。
反应器100具有耦接到反应器100的快速气体交换系统124,快速气体交换系统124经由多个喷嘴126提供工艺气体与/或其它气体到工艺容积108,喷嘴126设置在上腔室主体104的内部周围或设置在其它适当的位置处。快速气体交换系统124可选择地容许任何单一气体或多种气体的组合被提供到工艺容积108。在一些实施例中,快速气体交换系统124具有四个输送线128,各个输送线128耦接到不同的气体源。输送线128可耦接到相同或不同的喷嘴126。
在图1图示的实施例中,各个输送线128包括第一阀130、质量流量计132与第二阀134。第二阀134耦接到共享的管座(tee)138,管座138耦接到所述喷嘴126。气体从质量流量计132通过导管流到工艺容积108,所述导管的长度小于约2.5m,由此容许所述气体之间的更快速切换时间。可由隔离阀136将快速气体交换系统124与反应器100的工艺容积108予以隔离,隔离阀136设置在管座138与喷嘴126之间。
排放导管162耦接在隔离阀136与管座138之间,以容许残余气体从快速气体交换系统124被排空而不会进入反应器100。提供关闭阀164,以在气体被输送到反应器100的工艺容积108时能关闭排放导管162。
耦接到快速气体交换系统124的气体源可提供气体,所述气体包括但不限于六氟化硫(SF6)、氧(O2)、氩(Ar)、三氟甲烷(CHF3)、八氟环丁烷(C4F8)、三氟化氮(NF3)、四氟化碳(CF4)、三氟甲烷(CHF3)、三氟化氯(ClF3)、三氟化溴(BrF3)、三氟化碘(IF3)、氦-氧气体(HeO2)、氦-氢气体(HeH2)、氢(H2)、氦(He)与/或供在此所描述的工艺所用的其它气体。流量控制阀可包括气动操作,以容许快速响应。在一个实例中,快速气体交换系统124可操作,以在高达约1000sccm下输送SF6与C4F8、在约500sccm下输送氦与在约200sccm下输送氧(O2)和氩。在替代实施例中,快速气体交换系统124还可包括第三气体面板,第三气体面板包含等离子体维持气体(诸如氩与/或氦)且可操作以在以下进一步描述的循环蚀刻方法的期间持续地将气体输送到反应器100。
蚀刻反应器100额外地包括基板支撑组件140,基板支撑组件140设置在工艺容积108中。基板支撑组件140包括静电夹盘142,静电夹盘142被安装在热隔离件144上。热隔离件144将静电夹盘142与杆173隔绝,其中杆173于下腔室主体102的底部的上方支撑静电夹盘142。
升降杆146被设置穿过基板支撑组件140。升降板148设置在基板支撑组件140下方且可被升降器154致动,以可选择地移位所述升降杆146而将位于静电夹盘142的上表面152上的基板150升高与/或将基板150放置在静电夹盘142的上表面152上。
静电夹盘142包括至少一个电极(未图示),可给电极施加能量以将基板150静电地保持到静电夹盘142的上表面152。静电夹盘142的电极经由匹配电路158耦接到偏压功率源156。偏压功率源156能够在蚀刻期间可选择地给静电夹盘142的电极施加能量,以控制离子的方向性。
由偏压功率源156所施加到静电夹盘142的偏压功率可被脉冲化,例如在一时段中重复地储存或收集能量且接着在另一时段中快速地释放能量以输送提高的瞬间功率量,同时源功率可持续地被施加。特定而言,可通过使用产生器脉冲化能力将偏压功率予以脉冲化以提供功率开启的百分比时间(称为工作周期),其中产生器脉冲化能力是由控制系统来设定。在一个实施例中,脉冲化偏压功率的开启时间与关闭时间可在整个蚀刻循环中是一致的。例如,若功率开启长达约3ms且关闭长达约15ms,则工作周期是约16.67%。单位为每秒循环或赫兹(Hz)的脉冲化频率等于1.0除以单位为秒的开启与关闭时间的总和。例如,当偏压功率开启长达约3ms且关闭长达约15ms时,对于总时间为约18ms,则单位为每秒循环的脉冲化频率是约55.55Hz。在一个实施例中,可使用在蚀刻循环期间开启/关闭时间改变的专有脉冲化轮廓。在一个实施例中,通过改变施加到基板的偏压功率,蚀刻循环可在沉积步骤与/或蚀刻步骤之间切换。使偏压功率脉冲化,以有助于减少沟槽侧壁的扇贝化(scalloping)、改善阻抗选择性、改善蚀刻速率与避免材料界面刻槽化(notching)。
可选地,且额外地参照图2,在一些实施例中,背侧气体源160可被耦接通过基板支撑组件140,以提供一或多种气体到空间202,其中空间202限定在基板150与静电夹盘142的上表面152之间。由背侧气体源160提供的气体可包括氦与/或背侧工艺气体。背侧工艺气体是从基板与基板支撑件之间输送的气体,背侧工艺气体会在蚀刻循环期间通过与腔室中的材料(诸如工艺气体、蚀刻副产物、沉积在基板上的掩模或其它层或作为蚀刻目标的材料)反应而影响蚀刻或聚合的速率。在一些实施例中,背侧工艺气体是含氧气体(诸如O2)。在一些实施例中,背侧气体中He与O2的比例是体积上或质量上从约50:50到约70:30,以用于硅蚀刻应用。可设想出的是可利用其它背侧工艺气体来控制靠近基板的边缘的工艺。可将背侧工艺气体的使用有利地用在单一步骤蚀刻工艺以及循环蚀刻工艺。
为了使由背侧气体源160提供的工艺气体抵达基板150的边缘,背侧气体从基板150的边缘的下方泄漏的速率高于传统背侧气体系统的泄漏速率。在一些实施例中,可通过将基板150与静电夹盘142的上表面152之间的空间202中的气体的压力维持在约4Torr与26Torr之间来增加泄漏速率。在一些实施例中,压力可被维持在约10Torr与22Torr之间。在一些实施例中,压力可被维持在约14Torr与20Torr之间。也可通过在唇部206中提供刻槽(204,以虚线显示)或其它特征结构来达到泄漏速率(由箭头208所示),以促进静电夹盘142与基板150之间的背侧气体的泄漏,其中唇部206支撑基板150与静电夹盘142的上表面152。
返回图1,蚀刻反应器100还可包括控制器171,控制器171大体上包含中央处理单元(CPU)172、存储器174与支持电路176,并且控制器171直接地耦接到且控制蚀刻反应器100与各种系统部件(诸如RF源114、快速气体交换系统124与诸如此类(如图1所示)),或者控制器171经由与处理腔室与/或支持系统关联的其它计算机或控制器(未图示)而耦接到且控制蚀刻反应器100与所述各种系统部件。控制器171可以是可用在工业设备中的任何形式的通用目的计算机处理器以控制各种腔室与子处理器。CPU172的存储器或计算机可读媒体174可以是可轻易取得的存储器的一或多种,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其它形式的本地或远程的数字储存器。支持电路176耦接到CPU172而用于以传统方式来支持处理器。所述电路包括缓存器、功率供应器、时钟电路、输入/输出电路和子系统,与诸如此类。在此描述的发明方法(诸如以下描述的方法300)可以以作为软件程序(software routine)被储存在存储器中。当CPU172执行软件程序时,软件程序将通用目的计算机转换成专用目的计算机(控制器)178,专用目的计算机(控制器)178以在此描述的方式控制反应器100的操作。软件程序也可被第二CPU(未图示)储存与/或执行,第二CPU是在由控制器174的CPU172所控制的硬件的远程。
图3图示用于根据本发明的一些实施例而蚀刻基板的方法300的流程图。方法300可被实施在蚀刻反应器100或其它适当的蚀刻反应器中。以下将根据图4A-C所示的蚀刻基板的阶段来描述方法300。方法300开始于提供基板400例如到蚀刻反应器100或到能执行方法300的类似的蚀刻反应器。
如图4A所示,基板400可包括形成在基板400中的特征结构402。例如,特征结构402可以是多种特征结构的任何一或多个,所述特征结构诸如为沟槽、过孔、掩模层中的图案或诸如此类。基板400可以是单一层(未图示),或如图所示的多个层的组合。例如,基板400可包含第一层406与第二层408,第一层406具有形成在第一层中的特征结构402,第二层408设置在第一层406下方。如图4A所示,第二层可包括特征结构402的底表面410。在一些实施例中,第一层406可以是掩模层(诸如光刻胶)、硬掩模或其它适当的掩模层。在一些实施例中,第二层406可包含待蚀刻的材料(例如特征结构在材料内延伸的所述材料),诸如半导体材料。例如,第二层可以是含硅层、主要的含硅层、掺杂硅层、实质上纯硅层(例如原子百分比大于约95%的硅)或任何适当的含半导体材料层。
在302,聚合物412可沉积在特征结构402的表面上。例如,可使用从第一工艺气体所形成的第一反应性物种来沉积聚合物412,其中第一工艺气体包含聚合物形成气体。在一些实施例中,第一工艺气体包含氟碳气体,诸如氟碳化合物、氢氟碳化合物(HFC)或全氟碳化合物(PFC)的至少一种。在一些实施例中,氟碳气体可包含八氟环丁烷(C4F8)、八氟丙烷(C3F8)、六氟丁二烯(C4F6)、五氟乙烷(C2HF5)、六氟乙烷(C2F6)、三氟甲烷(CHF3)、四氟乙烯(C2F4)、四氟化碳(CF4)或四氟乙烷(C2H2F4)的一或多种。第一工艺气体还可包括惰性气体,诸如氩(Ar)或诸如此类。可将第一工艺气体与其它工艺气体(诸如以下讨论的第二工艺气体)予以共同流动或脉冲化。
例如,在一些实施例中,在302的聚合物沉积期间,可以从约100sccm到约500sccm之间的流量(例如从约150sccm到约450sccm之间)将C4F8提供到蚀刻反应器100的工艺容积108内,同时将腔室压力维持在从约60mTorr到约120mTorr(例如约85mTorr)。可将RF功率施加到线圈112以维持从工艺气体所形成的等离子体。在一些实施例中,施加到线圈112的RF功率可以是从约1000瓦到约3000瓦(例如从约1500瓦到约2500瓦之间)。可使用功率控制模式或电压控制模式中的一种将RF偏压施加到静电夹盘142的电极以促进沉积。例如,控制器171可被程序化,以提供期望的控制模式。在一些实施例中,可以由偏压功率源156将约1瓦到约500瓦(例如从约70瓦到约350瓦)之间的RF偏压功率提供到静电夹盘142的电极。在一些实施例中,可以由偏压功率源156将约15伏到约400伏之间的RF偏压电压提供到静电夹盘142的电极。RF偏压可被脉冲化或被施加成连续工作(continuous duty)。在302的沉积工艺的持续时间可以是从约1.0秒到约5.0秒(例如从约1.0秒到约3.0秒)。
在302沉积聚合物的同时,可在304以第二反应性物种来轰击特征结构402的底表面410,以避免聚合物在底表面410上的实质累积(例如以移除沉积在底表面上的至少一些聚合物)。例如,聚合物412在底表面410上的累积是不令人乐见的,这是因为这种累积会减缓如以下所讨论的在306通过蚀刻工艺对底表面410的蚀刻。可从第二工艺气体形成第二反应性物种,其中第二工艺气体包括惰性气体、氧化气体或还原气体的一或多种。在聚合物412的沉积期间,可使第二工艺气体与在302所使用的第一工艺气体连续地流动,或可将第二工艺气体与第一工艺气体予以脉冲化。例如,在此讨论的“脉冲化”可意指:周期性地注射一或多种第二工艺气体以形成一或多个第二反应性物种而从底表面410移除至少一些聚合物,同时使第一工艺气体连续地流动以沉积聚合物412;或者,将第一工艺气体与第二工艺气体予以脉冲化以沉积聚合物412。以下讨论利用在302与304此两实施例的示例性非限制工艺机制。
示例性氧化气体包括含氧气体,诸如氧(O2)、二氧化碳(CO2)、二氧化硫(SO2)或诸如此类的一或多种。示例性还原气体包括含氢气体,诸如氢(H2)、氦-氢气体(HeH2)或诸如此类的一或多种。在一些实施例中,第一工艺气体可包含氧(O2)与氩(Ar)。在一些实施例中,第二反应性物种可包含氧离子与氩离子。例如,第二反应性物种可与沉积在底表面410上的聚合物起物理与/或化学反应以形成挥发性物种,挥发性物种可从工艺容积108被排空。例如,从包括惰性气体(诸如氩)的第二工艺气体所形成的第二反应性物种可与聚合物起物理反应,以例如产生悬键(dangling bond)、增加表面积或诸如此类。例如,从包括氧化气体或还原气体中的一种的第二工艺气体所形成的第二反应性物种可与聚合物起化学反应,以形成挥发性物种,挥发性物种可从工艺容积108被排空。例如,在一些实施例中,具有物理反应性与化学反应性物种两者的第二反应性物种是令人期望的,以将聚合物的移除予以最大化。
例如,在一些实施例中,在302的聚合物沉积的期间,可以从约100sccm到约300sccm之间的流量(例如从约150sccm到约250sccm之间)将包括O2与Ar的第二工艺气体与在302用于沉积聚合物的第一工艺气体共同流动或脉冲化到蚀刻反应器100的工艺容积108内,同时将腔室压力维持在从约30mTorr到约250mTorr(例如约60mTorr)。例如,在一些实施例中,可以从约1sccm到约205sccm之间的流量(例如从约1sccm到约55sccm之间)使O2流动。可将RF功率施加到线圈112以维持从第一工艺气体所形成的等离子体。在一个实施例中,施加到线圈112的RF功率是从约1000瓦到约3000瓦(例如从约1500瓦到约2500瓦之间)。可使用功率控制模式或电压控制模式的一种将RF偏压施加到静电夹盘142的电极以促进沉积。例如,控制器171可被程序化,以提供期望的控制模式。在一些实施例中,可以由偏压功率源156将约100瓦到约500瓦之间(例如从约150瓦到约350瓦之间)的RF偏压功率提供到静电夹盘142的电极。在一些实施例中,可以由偏压功率源156将约15伏到约500伏之间(例如约100伏到约400伏之间)RF偏压电压提供到静电夹盘142的电极。RF偏压功率可被脉冲化或被施加成连续工作。若利用第一工艺气体与第二工艺气体的共同流动的话,在304的轰击的持续时间可类似于在302的沉积工艺的持续时间。或者,若利用调节机制,则在304的各个轰击的持续时间可以是沉积工艺的持续时间的约5%到约50%(例如约10%到约30%)。由于此持续时间是以调节方式来提供,上述百分比可被视为工作周期(例如由开启时段及后续的关闭时段所定义的周期,所述周期可被重复,其中工作周期百分比等于开启时间除以总周期时间)。
以下讨论在聚合物412的沉积期间利用302与304此两实施例的示例性非限制工艺机制。例如,在一些实施例中,工艺机制可包括用第二工艺来调节第一工艺气体,诸如用包含Ar与O2的第二工艺气体来调节包含C4F8的第一工艺气体。例如,C4F8可被提供长达约2秒。在C4F8的此2秒期间,Ar与O2可被提供成50%工作周期的脉冲,例如约0.5秒“关闭”与约0.5秒“开启”。例如,在一些实施例中,工艺机制可包括用第一工艺气体来调节第二工艺气体,诸如用包含C4F8的第一工艺气体来调节包含Ar与O2的第二工艺气体。例如,Ar与O2可被提供长达约2秒。在Ar与O2的此2秒期间,C4F8可被提供成50%工作周期,例如约0.5秒“关闭”与约0.5秒“开启”。或者,可以任何适当的工艺机制将第一与第二工艺气体予以交替地脉冲化或提供,以致聚合物412被沉积在特征结构402的底表面410以外的所有特征结构402上。
在306,可使用从第三工艺气体所形成的第三反应性物种来蚀刻特征结构402的底表面410。第三工艺气体可包括蚀刻气体,诸如含卤素气体,例如含氟气体(例如当蚀刻硅时)。适当的含氟气体可包括SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3或上述含氟气体的衍生物。在一些实施例中,含氟气体包括六氟化硫(SF6)。在一些实施例中,第三工艺气体还可包含惰性气体,诸如氩(Ar)或诸如此类。
基于任何的多种原因的组合,在306的蚀刻的同时,或在306的蚀刻步骤依序后,可在308以从第四工艺气体所形成的第四反应性物种来轰击包括底表面410的特征结构402。第四反应性物种与第四工艺气体可包含第二反应性物种与第二工艺气体(如上文涉及沉积工艺期间的轰击所讨论),并且在一些实施例中,第四反应性物种与第四工艺气体可替代地或进一步地包括沉积气体。例如,可利用由第四反应性物种的轰击来物理地与/或化学地损坏暴露的底表面410以改善蚀刻速率。例如,化学损坏可意指在待蚀刻的表面上的悬键的产生,或和表面起化学反应而产生以比现存表面更快的速率被蚀刻的表面。例如惰性气体可用于产生悬键。氧化气体可用于与沉积在特征结构的底表面上的任何聚合物起化学反应。还原气体(诸如含氢气体)可用于与表面(诸如含硅表面)起化学反应,而产生能以更快的速率被蚀刻的氢化硅或诸如此类。还原气体也可用于与沉积在特征结构的底部上的任何聚合物起反应。替代地或组合地,例如,由第四反应性物种的轰击可用于在特征结构的新蚀刻侧壁上沉积聚合物层,以限制经蚀刻的特征结构中的底切(undercutting)或刻槽化(notching)的一或多个。如在此所讨论,底切可例如指特征结构402的不期望蚀刻,其中所述不期望蚀刻使得蚀刻到第二层408内的特征结构402的第二部分具有临界尺寸,所述临界尺寸超过被界定在第一层406中的特征结构402的第一部分的临界尺寸。例如,关于底切的普遍情况是当第一层406是掩模层且第二层是基板或层,图案会被蚀刻到所述基板或层内时。若特征结构402的新蚀刻侧壁没有以如图4所示的聚合物层来保护,则底切会因第二层408的等向性蚀刻而发生。
同样地,扇贝化或刻槽化会由于和底切类似的原因而发生,但是如在此所公开,扇贝化或刻槽化指在第二层408中的特征结构402的新蚀刻侧壁的表面粗糙化。例如,随着底表面的蚀刻进行,蚀刻前端的新产生侧壁可能不具有覆盖住所述侧壁的聚合物412。因此,第三反应性物种会腐蚀侧壁。经过在302、304、306与308的工艺的一些重复或反复之后,侧壁在特征结构的侧壁的表面上会具有扇贝化结构。因此扇贝化结构的表面粗糙化是不令人乐见的且会造成器件失效。因此且例如,为了限制随着蚀刻进行的表面粗糙化的程度,第四工艺气体还可包含聚合物形成气体(诸如氟碳气体,例如C4F8),以在刚蚀刻的侧壁上沉积聚合物。例如,可使聚合物工艺气体的其它成分(诸如蚀刻气体、惰性气体,与氧化或还原气体的至少一种)与类似如上所述的聚合物形成气体共同流动或与聚合物形成气体交替地流动。
可从第四工艺气体形成第四反应性物种,其中第四工艺气体包括如上所讨论的第一工艺气体或第二工艺气体的一或多种。例如,第四工艺气体可包括聚合物形成气体、惰性气体、还原气体或氧化气体的一或多种。在底表面410的蚀刻期间,可使第四工艺气体与在306所使用的第三工艺气体连续地流动,或可将第四工艺气体与第三工艺气体予以脉冲化。例如,在一些实施例中,第四工艺气体可包括惰性气体与氧化气体或还原气体的至少一种。在一些实施例中,第四工艺气体可包含O2与Ar。替代地,或和氧化气体或还原气体的至少一种与惰性气体组合地,第四工艺气体还可包含聚合物形成气体(诸如氟碳气体)。在一些实施例中,第四工艺气体还可包含C4F8。当底表面被蚀刻时,可如上所描述在304同样地利用氧化或还原气体的至少一种,以移除被沉积在特征结构402的底表面410上的至少一些聚合物,与/或化学地损坏暴露的底表面,而促进改善的蚀刻速率。在308的惰性气体可和在304的惰性气体具有类似目的,此惰性气体能容许沉积步骤与蚀刻步骤之间的快速过渡,与/或物理地损坏暴露的底表面,以促进改善的蚀刻速率。
例如,在一些实施例中,在306的底表面410的蚀刻的期间,可提供SF6来蚀刻底表面410。可以从约50sccm到约500sccm之间的速率(例如从约150sccm到约350sccm)将SF6提供到蚀刻反应器100的工艺容积108内,同时将腔室压力维持在从约30mTorr到约250mTorr(例如约100mTorr)。可选地,可以从约1sccm到约20sccm之间的速率(例如约1sccm到约5sccm之间)使O2与SF6共同流动或使O2与SF6交替地流动。可选地,可以从约50sccm到约300sccm之间的速率(例如约100sccm到约200sccm之间)使Ar与SF6共同流动或使Ar与SF6交替地流动。可选地,或作为O2和Ar的替代物,可使C4F8与SF6共同流动或使C4F8与SF6交替地流动。在一些实施例中,可以从约5sccm到约200sccm之间的速率(例如约25sccm到约150sccm之间)使C4F8与SF6共同流动或使C4F8与SF6交替地流动。可将RF功率施加到线圈112以维持从第二工艺气体所形成的等离子体。在一个实施例中,施加到线圈112的RF功率可以是从约1000瓦到约3000瓦(例如约1500瓦到约2500瓦之间)。可使用功率控制模式或电压控制模式的一种将RF偏压施加到静电夹盘142的电极以促进沉积。例如,控制器171可被程序化,以提供期望的控制模式。在一些实施例中,可以从约100瓦到约500瓦之间(例如从约150瓦到约350瓦之间)由偏压功率源156提供RF偏压功率到静电夹盘142的电极。在一些实施例中,可以由偏压功率源156将约15伏到约500伏之间(例如约100伏到约400伏之间)的RF偏压电压提供到静电夹盘142的电极。RF偏压功率可被脉冲化或被施加成连续工作。若利用第三工艺气体与第二工艺气体的共同流动,则在308的轰击的期间可类似于在306的蚀刻工艺。或者,若利用调节机制的话,在308的各个轰击的持续时间可以是从约5%到约50%的工作周期(例如从约10%到约30%)。
以下讨论在底表面410的蚀刻期间利用306与308此两个实施例的示例性工艺机制。例如,在一些实施例中,工艺机制可包括用第四工艺来调节第三工艺气体,诸如用包含O2的第四工艺气体来调节包含SF6与Ar的第三工艺气体。例如,SF6与Ar可被提供长达约4秒。在SF6与Ar的此4秒期间,O2可被提供成约12.5%工作周期,例如约0.5秒“开启”与约3.5秒“关闭”。或者,可以任何适当的工艺机制将第三工艺气体与第四工艺气体予以交替地脉冲化或提供,以致特征结构402的底表面410被蚀刻,同时能限制扇贝化或底切。在一些实施例中,聚合物形成气体可被用作为第四工艺气体的一部分,例如聚合物形成气体可被脉冲化成开启长达从约0.5秒到约1秒且接着在周期的其余部分关闭,或者当蚀刻进行时在一时段中将聚合物形成气体的流量从第一流量(例如约70sccm)逐渐地减少到零。
在310,可重复在302、304、306与308的工艺。例如,可通过在快速气体交换系统124中使用具有响应时间在100ms范围中的质量流量控制器来提高302、304与306的任何步骤之间的迅速切换。步骤302、304、306与308之间的较快速的切换造成较快速的蚀刻速率与较少的特征结构侧壁的扇贝化。例如,如图4C所示,当底表面410被蚀刻时,步骤302的重复造成聚合物412沿着特征结构402的刚蚀刻的侧壁的延伸。步骤302的重复可限制特征结构402的蚀刻超过特征结构402的期望临界尺寸。步骤302、304、306与308的重复可以任何期望的顺序来发生,以在经蚀刻的特征结构中达到期望的深度。例如,重复可以是依序的,诸如302、304、306与308之后再次地是302、304、306与308。或者,重复可以是任何期望的顺序或使用如上所讨论的任何期望组合的工艺气体。根据在此所公开的各个步骤302、304、306与308的实施例,重复工艺的其它变形是可行的。
尽管上述说明针对本发明的实施例,可在不悖离本发明的基本范围下设想出本发明的其它与进一步的实施例。

Claims (15)

1.一种用于在等离子体蚀刻反应器中蚀刻基板的方法,包含:
(a)使用从第一工艺气体所形成的第一反应性物种在特征结构的表面上沉积聚合物,所述第一工艺气体包含聚合物形成气体,所述特征结构被形成在基板中,所述基板设置在所述蚀刻反应器中;
(b)使用从第三工艺气体所形成的第三反应性物种在所述蚀刻反应器中蚀刻所述基板的所述特征结构的所述底表面,所述第三工艺气体包括蚀刻气体;以及
(c)当沉积所述聚合物时或当蚀刻所述底表面时的至少一种,用从第二工艺气体所形成的第二反应性物种轰击所述特征结构的底表面,用以当沉积所述聚合物时能移除设置在所述底表面上的至少一些所述聚合物,或用以当蚀刻所述底表面时能化学地或物理地的至少一种损坏所述底表面,所述第二工艺气体包含惰性气体、氧化气体、还原气体或所述聚合物形成气体的一或多种。
2.如权利要求1所述的方法,其中所述第二工艺气体包含所述氧化气体或所述还原气体的至少一种和所述惰性气体,并且其中(c)包含:
(c)当沉积所述聚合物时,用所述第二反应性物种轰击所述特征结构的所述底表面,用以移除设置在所述底表面上的至少一些所述聚合物。
3.如权利要求2所述的方法,其中所述第二工艺气体包含所述惰性气体与所述氧化气体。
4.如权利要求2所述的方法,其中所述第一工艺气体或所述第二工艺气体中的一个被提供长达第一时段,并且其中在所述第一时段期间所述第一工艺气体或所述第二工艺气体中的另一个与所述第一工艺气体或所述第二工艺气体中的所述一个予以同时地脉冲化。
5.如权利要求1所述的方法,其中所述第二工艺气体包含所述氧化气体或所述还原气体的至少一种和所述惰性气体,并且其中(c)包含:
(c)当蚀刻所述底表面时,用所述第二反应性物种轰击所述特征结构的所述底表面,用以化学地或物理地的至少一种损坏所述底表面。
6.如权利要求5所述的方法,其中所述第二工艺气体还包含所述聚合物形成气体。
7.如权利要求5所述的方法,其中所述第二工艺气体包含所述惰性气体与所述氧化气体。
8.如权利要求5所述的方法,其中所述第二工艺气体包含所述惰性气体与所述还原气体。
9.如权利要求5所述的方法,其中所述第三工艺气体连续地被提供长达第一时段,并且其中将所述第二工艺气体与所述第三工艺气体同时地予以脉冲化长达所述第一时段。
10.如权利要求9所述的方法,其中所述第三工艺气体还包含所述惰性气体,并且其中所述第二工艺气体包含氧(O2)。
11.如权利要求1-10中任一项所述的方法,所述方法还包含:
重复(a)、(b)与(c),直到所述特征结构被蚀刻到所述基板内达预定深度。
12.如权利要求1-10中任一项所述的方法,所述方法还包含:
在(a)、(b)与(c)的期间,将射频(RF)功率提供到所述基板。
13.如权利要求1-10中任一项所述的方法,其中所述基板还包含:
第一层,所述第一层具有形成在所述第一层中的所述特征结构;以及
第二层,所述第二层设置在所述第一层下方,其中所述第二层包括所述特征结构的所述底表面。
14.如权利要求1-10中任一项所述的方法,其中所述第二工艺气体包含所述氧化气体与所述惰性气体,并且其中所述氧化气体包含氧(O2)且所述惰性气体包含氩(Ar)。
15.如权利要求1-10中任一项所述的方法,其中以下中的至少一个:
所述聚合物形成气体包含氟碳气体;或
所述蚀刻气体是含氟气体。
CN201180061141.9A 2010-12-20 2011-12-19 用于蚀刻基板的方法 Active CN103283005B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201061425021P 2010-12-20 2010-12-20
US61/425,021 2010-12-20
US13/305,992 US9318341B2 (en) 2010-12-20 2011-11-29 Methods for etching a substrate
US13/305,992 2011-11-29
PCT/US2011/065817 WO2012087921A1 (en) 2010-12-20 2011-12-19 Methods for etching a substrate

Publications (2)

Publication Number Publication Date
CN103283005A true CN103283005A (zh) 2013-09-04
CN103283005B CN103283005B (zh) 2016-08-17

Family

ID=46233018

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180061141.9A Active CN103283005B (zh) 2010-12-20 2011-12-19 用于蚀刻基板的方法

Country Status (5)

Country Link
US (1) US9318341B2 (zh)
KR (1) KR101700320B1 (zh)
CN (1) CN103283005B (zh)
TW (1) TWI578381B (zh)
WO (1) WO2012087921A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103745945A (zh) * 2013-11-15 2014-04-23 中微半导体设备(上海)有限公司 一种深硅通孔刻蚀装置及其刻蚀方法
CN105321846A (zh) * 2014-07-30 2016-02-10 Psk有限公司 基板处理装置及基板处理方法
CN105329846A (zh) * 2014-08-12 2016-02-17 中国科学院微电子研究所 一种mems工艺中的刻蚀方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5893252B2 (ja) * 2011-02-15 2016-03-23 キヤノン株式会社 微細構造体の製造方法
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR102163381B1 (ko) 2013-03-15 2020-10-08 어플라이드 머티어리얼스, 인코포레이티드 폴리머 관리를 통한 에칭 시스템의 생산성 개선
JP6207947B2 (ja) * 2013-09-24 2017-10-04 東京エレクトロン株式会社 被処理体をプラズマ処理する方法
CN104752266A (zh) * 2013-12-31 2015-07-01 中微半导体设备(上海)有限公司 一种硅通孔刻蚀装置
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
CN105584986B (zh) * 2014-10-23 2018-02-09 中国科学院微电子研究所 一种硅深孔刻蚀方法
US10535505B2 (en) * 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression
US10847368B2 (en) * 2017-04-07 2020-11-24 Applied Materials, Inc. EUV resist patterning using pulsed plasma
US20200376487A1 (en) * 2018-02-20 2020-12-03 Georgia Tech Research Corporation Microfluidic devices and method of making same
WO2021127862A1 (en) * 2019-12-23 2021-07-01 Applied Materials, Inc. Methods for etching a material layer for semiconductor applications
CN116235283A (zh) 2020-08-18 2023-06-06 应用材料公司 沉积预蚀刻保护层的方法
WO2024059467A1 (en) * 2022-09-13 2024-03-21 Lam Research Corporation Method for etching features using hf gas
CN118073248A (zh) * 2024-04-18 2024-05-24 粤芯半导体技术股份有限公司 刻蚀设备的检测方法及晶圆的刻蚀方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040072443A1 (en) * 2002-10-11 2004-04-15 Lam Research Corporation Method for plasma etching performance enhancement
US20050153538A1 (en) * 2004-01-09 2005-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming novel BARC open for precision critical dimension control
CN101448580A (zh) * 2006-03-24 2009-06-03 应用材料公司 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
DE19826382C2 (de) 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US6979652B2 (en) 2002-04-08 2005-12-27 Applied Materials, Inc. Etching multi-shaped openings in silicon
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
KR101795658B1 (ko) * 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
JP5420923B2 (ja) 2009-02-10 2014-02-19 株式会社ナノクリエート X線タルボ回折格子の製造方法
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040072443A1 (en) * 2002-10-11 2004-04-15 Lam Research Corporation Method for plasma etching performance enhancement
US20050153538A1 (en) * 2004-01-09 2005-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming novel BARC open for precision critical dimension control
CN101448580A (zh) * 2006-03-24 2009-06-03 应用材料公司 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103745945A (zh) * 2013-11-15 2014-04-23 中微半导体设备(上海)有限公司 一种深硅通孔刻蚀装置及其刻蚀方法
CN103745945B (zh) * 2013-11-15 2017-02-15 中微半导体设备(上海)有限公司 一种深硅通孔刻蚀装置及其刻蚀方法
CN105321846A (zh) * 2014-07-30 2016-02-10 Psk有限公司 基板处理装置及基板处理方法
CN105321846B (zh) * 2014-07-30 2018-02-06 Psk有限公司 基板处理装置及基板处理方法
CN105329846A (zh) * 2014-08-12 2016-02-17 中国科学院微电子研究所 一种mems工艺中的刻蚀方法

Also Published As

Publication number Publication date
CN103283005B (zh) 2016-08-17
WO2012087921A1 (en) 2012-06-28
US9318341B2 (en) 2016-04-19
TWI578381B (zh) 2017-04-11
KR101700320B1 (ko) 2017-01-26
US20120152895A1 (en) 2012-06-21
KR20130141645A (ko) 2013-12-26
TW201234422A (en) 2012-08-16

Similar Documents

Publication Publication Date Title
CN103283005A (zh) 用于蚀刻基板的方法
CN102459704B (zh) 用于蚀刻的方法和设备
JP2020074452A (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
KR101146063B1 (ko) 사이클형 고압 및 저압 세정 단계들을 이용한 원격 플라즈마 세정 방법
JP4796965B2 (ja) エッチング方法及び装置
KR102459129B1 (ko) 기판 처리 방법 및 플라즈마 처리 장치
WO2022055876A1 (en) Systems and methods for aluminum-containing film removal
US7939419B2 (en) Method of filling a trench in a substrate
TW201304001A (zh) 高蝕刻速率之提供方法
JP2022002337A (ja) 基板処理方法及びプラズマ処理装置
US20210111033A1 (en) Isotropic silicon nitride removal
US20220293430A1 (en) Isotropic silicon nitride removal
US10128086B1 (en) Silicon pretreatment for nitride removal
CN101052536A (zh) 处理具有最小扇贝纹路的衬底的方法
US20240120210A1 (en) Isotropic silicon nitride removal
CN111916349A (zh) 硅刻蚀方法
KR20220147514A (ko) 기판 처리 방법 및 기판 처리 장치
CN101752285A (zh) 沟槽的形成方法
JP5284679B2 (ja) プラズマエッチング方法
CN116854025A (zh) 一种深硅刻蚀方法及设备
JP2004039777A (ja) プラズマ処理方法
CN110634738A (zh) 等离子体蚀刻方法
KR20090026985A (ko) 반도체 소자 제조 방법
JPH0794473A (ja) 導電層成膜の前処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant