CN102870203A - 用于集成电路器件的增强散热的凸出tsv尖端 - Google Patents

用于集成电路器件的增强散热的凸出tsv尖端 Download PDF

Info

Publication number
CN102870203A
CN102870203A CN2010800658213A CN201080065821A CN102870203A CN 102870203 A CN102870203 A CN 102870203A CN 2010800658213 A CN2010800658213 A CN 2010800658213A CN 201080065821 A CN201080065821 A CN 201080065821A CN 102870203 A CN102870203 A CN 102870203A
Authority
CN
China
Prior art keywords
tsv
substrate
chip
hole
pseudo
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800658213A
Other languages
English (en)
Other versions
CN102870203B (zh
Inventor
K·马瓦塔里
K·奥亚
Y·尤米达
J·A·韦斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of CN102870203A publication Critical patent/CN102870203A/zh
Application granted granted Critical
Publication of CN102870203B publication Critical patent/CN102870203B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01025Manganese [Mn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01084Polonium [Po]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15151Shape the die mounting substrate comprising an aperture, e.g. for underfilling, outgassing, window type wire connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)

Abstract

本发明涉及一种集成电路器件(100),其包括具有顶面的衬底(110),该顶面包括衬底焊盘(112);以及包括半导体衬底(105)的贯穿衬底通孔(115)芯片,半导体衬底(105)包括具有有源电路的顶部半导体表面(106)和底表面(106)。顶部半导体表面(107)包括在衬底顶表面上耦合到衬底焊盘的结合连接器(109)。多个贯穿衬底通孔(TSV)包括从顶侧半导体表面延伸到凸出TSV尖端(121)的内部金属芯(125),该TSV尖端(121)从底表面向外延伸。多个TSV的至少一个是伪TSV(120),伪TSV(120)具有其凸出TSV尖端,该凸出TSV尖端没有到其上的任何电气连接,并且提供另外表面积从而增强从TSV芯片的底侧散热。

Description

用于集成电路器件的增强散热的凸出TSV尖端
技术领域
公开的实施例涉及包括贯穿衬底通孔例如贯穿硅通孔的集成电路(IC)。
背景技术
在半导体晶片上制造的大规模IC芯片中,电子信号由电流携带通过导体和晶体管。在IC芯片中由电流携带的能量以热量的形式沿电流流过IC的路径部分耗散。在IC中生成的热量P是动态功率PD和静态功率PS之和:
P=PD+PS=ACV2f+VIleak
其中A是栅极活性因数,C是全部栅极的总电容负载,V2是峰到峰电源电压摆动,f是频率,并且Ileak是泄漏电流。静态功率项PS=VIleak是由于泄漏电流Ileak耗散的静态功率。动态功率项PD=ACV2f是从IC电容负载的充电和放电耗散的动态功率。
IC芯片的另一特性是芯片上温度的不均匀分布。越来越多的功能块集成在片上系统(SOC)设计中的单芯片中。较高的功率密度块产生不均匀的温度分布,并在芯片上导致“热点或过热点”,也称为“热块或过热块”。过热点能够导致跨芯片约5℃到大致30℃的温差。由于载流子迁移率与温度成反比,因此时钟速度通常是为了芯片上的最热点而设计。因此,热设计由这些片上热点的温度驱动。同样,如果由于跨芯片的片上温度变化因此没有跨IC芯片实现均匀载流子迁移率,这可能导致信号速度和复杂化电路定时控制的变化。
包括落入式散热器、散热片和热管的散热器过去一直用来增强IC封装的热性能。另外,另一已知方法是将高导热率罩盖直接附加到芯片的后侧,从而改善散热。伴随已知实践的显著问题是其中热点被定位的芯片前侧(例如,接近晶体管切换发生处)必须用于布线,并且在连接到散热装置之前,在操作期间生成的热必须通过IC芯片的衬底的整个厚度引出到它的底侧,因此散热效率低。
发明内容
公开的实施例描述IC器件,其包含具有顶表面的衬底,该顶表面包括衬底焊盘,以及在衬底顶表面上的TSV(硅通孔)芯片。TSV芯片包含顶侧半导体表面和底表面,该顶侧半导体表面包括有源电路,其中该顶侧半导体表面包括耦合到在衬底的顶表面上的衬底焊盘的结合连接器。多个TSV在IC芯片上,其包含从顶侧半导体表面延伸到凸出TSV尖端的内金属芯,所述凸出的TSV尖端从底表面向外延伸。
多个TSV的至少一个是“伪TSV”,其在此被定义为没有用于IC器件的电气功能的TSV。除了没有任何连到其上的电器连接之外,伪TSV具有其凸出的TSV尖端。伪TSV可以与在此称为“有源TSV”的常规功能TSV对照,该常规功能TSV包括到TSV尖端的电气连接,以便为IC器件,例如为将VDD、VSS或源自TSV芯片的信号耦合到在TSV芯片上面或下面的其它电路(例如,另一IC或分立元件),提供电气功能。
所公开的实施例通过TSV芯片的衬底(例如硅)提供比常规导热路径更高导热率的路径,该路径改善从芯片顶侧到芯片底侧的传热。凸出TSV尖端显著增加TSV芯片底侧上的表面积,这显著改善辐射传热并因此改善散热。
本发明认识到因为如铜类的金属具有比大多数衬底材料更高的导热率,所以TSV的内部金属芯为源自顶侧半导体表面和底表面的传热提供降低的热阻。例如,与具有约168W/m·K的导热率的硅比较,铜具有约398W/m·K的导热率。对于衬底例如绝缘体上的半导体(SOI)、GaAs、Ge、SiC以及除块Si之外的其它半导体,衬底的导热率可能甚至更低。
附图说明
下面参考附图对示例实施例进行描述,其中:
图1是根据一公开的示例实施例的在衬底上包含TSV芯片的IC器件的侧视图,其中TSV芯片包括为源自TSV芯片的增强传热具有凸出尖端的多个伪TSV。
图2是根据一公开的示例实施例的在封装衬底上的TSV芯片上包含顶侧器件的IC器件的侧视图,其中TSV芯片包括为增强传热具有凸出尖端的多个伪TSV和多个常规有源TSV,并且其中顶侧器件通过到有源TSV的连接耦合到TSV芯片。
图3是根据所公开的示例实施例的TSV芯片的图示,其中TSV包含遍及TSV芯片基本均匀排列的多个伪TSV。
图4是根据一公开的示例实施例的包含TSV芯片的IC器件的一部分和顶侧器件的简化剖面图,该TSV芯片包括TSV阵列,该TSV阵列包括有源TSV和多个伪TSV,该顶侧器件通过到有源TSV的连接来耦合到TSV芯片。
图5是根据一公开的示例实施例的包括TSV阵列的TSV芯片的一部分的简化剖面图,该TSV阵列包括顶表面偏移TSV。
具体实施方式
图1说明根据一公开的示例实施例在衬底110上包含TSV芯片115的示例IC器件100,其中TSV芯片115包括具有凸出TSV尖端121的多个伪TSV 120,凸出TSV尖端121为源自TSV芯片的增强传热在TSV芯片115的底表面106上提供另外的表面积。TSV芯片包括含半导体的衬底105,该含半导体的衬底105包括顶侧半导体表面107和底表面106。所显示的顶侧半导体表面107包括显示为连接到TSV芯片115上连接到焊盘(未示出)的支柱(例如,可以焊接加盖的铜支柱)的结合连接器109。衬底105可以包含各种衬底,例如含硅衬底(例如块硅衬底)、硅锗、碳化硅、GaN或绝缘体上硅(SOI)衬底。
如上面提到,由于“伪TSV”的凸出TSV尖端没有到其上的任何电气连接,因此“伪TSV”是没有用于IC器件100的电气功能的TSV。伪TSV可以与常规有源TSV对照,该常规有源TSV包括到TSV尖端的电气连接以便为IC器件提供电气功能,通常传输VSS、VDD或信号到TSV芯片上面或下面的器件。如在图1中示出,全部TSV是伪TSV 120。凸出TSV尖端121的长度(或尖端高度)通常是从5到50μm,并且TSV 120的剖面面积定义尺寸(例如直径)一般是从8到40μm。尽管TSV在此一般描述为具有圆形剖面,但TSV可以具有包括例如矩形或正方形的其它剖面形状。
衬底110被显示为具有球栅阵列的(BGA)111的印刷电路板(PCB)衬底。衬底110可以包含各种其它衬底,例如有机衬底、陶瓷衬底、硅衬底或硅中介板(silicon interposer)。衬底110包括衬底焊盘112,衬底焊盘112在TSV芯片115上耦合到显示为支柱的结合连接器109。
多个伪TSV 120被示出包含从顶侧半导体表面107延伸到凸出TSV尖端121的内部金属芯125,凸出TSV尖端121从底表面106延伸。多个伪TSV 120还被示出包括介电衬层(dielectric liner)126。看到TSV尖端121没有介电衬层126,并且更一般地TSV尖端121的长度的至少50%没有介电衬层126。
图2图解根据一公开实施例的在封装衬底110上的TSV芯片115上包含顶侧器件230的IC器件200,其中TSV芯片115包括具有凸出TSV尖端121的多个伪TSV 120和多个常规有源TSV 130,其中顶侧器件230通过到有源TSV 130的连接来耦合到TSV芯片115。顶侧器件230可以包括耦合到多个有源TSV 130的至少一个IC。顶侧器件230也可以包含IC之外的器件,例如包含电容器、电阻器等,从而提供在本领域中称为“集成的各向异性芯片集成”的堆叠。
IC器件200和其它IC器件在此被示出没有罩盖。然而,在其它实施例中包括罩盖。罩盖可以用各种方式附加,包括使用导热粘合胶、片材、油脂或导热粘合剂。具有回流的焊膏是另一示例附加或连接物选项。
图3说明根据所公开实施例的TSV芯片300,其中TSV包含遍及TSV芯片300的面积或区域(在x方向和y方向上)基本均匀排列的多个伪TSV 120。例如,在一个特定实施例中,所述TSV可以具有25μm直径,并被设置以提供50μm的中心到中心间距。伪TSV 120被示出是具有正方形剖面的柱形。对于在侧面上是5mm的正方形芯片,这样的TSV芯片可以容纳10,000个TSV。在具有圆形剖面的TSV尖端的情况下,每个TSV尖端121提供等于TSV尖端121侧壁表面积(圆柱体的侧壁表面积)的“生长面积”,其等于2πrh=924μm2(其中r是TSV尖端的半径)。
尽管TSV芯片300包括周期性的伪TSV的阵列,但伪TSV也可以用非周期性图案设置。例如,伪填充TSV可以紧靠近电路热点放置,其中根据IC建模或经验,高频和高强度电路集成在电路操作期间导致非常高的温度提高。这样的伪TSV放置为从电路散热提供直接、简捷的路径,伪TSV可以因此保持IC器件在安全温度区中的可靠操作。
图4说明根据一公开实施例的包含TSV芯片315的IC器件400的一部分和顶侧器件230,TSV芯片315包括TSV阵列,该TSV阵列包括有源TSV 130和多个伪TSV 120,顶侧器件230通过到有源TSV130的连接而耦合到TSV芯片315。TSV芯片315包含具有顶面107(例如硅或硅锗表面)和底表面107的半导体衬底105。TSV芯片315包括一般含铜的多个金属互连层,包括示例的线路后端(BEOL)堆叠,该堆叠包含显示为M1到M7的第一到第七金属互连层。在该示例中,BEOL排列M1-M7可以包含铜,以及可以包含示出同样用来形成支柱焊盘328的铝的顶部(第8)金属层417,因此,BEOL堆叠可以称为8层金属堆叠。然而,公开的实施例可以应用到一般包含任何数目金属层的BEOL堆叠,其可以具有或没有顶部金属层417。
金属前介电质(PMD)239在顶表面107和M1,以及ILD层之间示出,ILD层包含被示出包含介电材料的ILD1、ILD2、ILD3、ILD4、ILD5和ILD6,该介电材料设置在多个金属互连层M1到M7的各自互连层之间,其中ILD7在M7和包括支柱焊盘328的顶部金属层417之间。ILD材料可以包含低k介电或超低k介电层,并且对于ILD1、ILD2、ILD3、ILD4、ILD5、ILD6和ILD7层的每个是不同(或相同)的材料。
尽管TSV芯片315显示TSV 120、130都在定义它们的TSV终止金属互连层的M1终止,但在其它实施例中,TSV终止金属互连层可以在M1上面的金属层(包括顶部金属互连层(在图4中示出的M7))终止。被显示为晶体管218的有源电路在邻近伪TSV120的一个的顶表面107上形成。尽管没有示出,但晶体管218由包含M1、M2、M3、M4等和相关通孔的许多可能连接选项的一个耦合到TSV IC 315上的其它器件或组件,如在图4中示出。
可见有源TSV 130通过衬底105为到TSV芯片315的顶表面106上的顶侧器件230的连接提供馈电,芯片315耦合到支柱焊盘328上的支柱109,其中支柱109如显示的被耦合到衬底110上的支柱焊盘112。可见伪TSV 120的尖端121未电气连接。由于伪TSV 120被示出嵌入在M1下面并在M1终止,因此在伪TSV 120上面的体积变得可用于路由互连金属线。TSV IC 315被示出在伪TSV 120上面包括M4路由线或定线(routing line)251和M6路由线252。尽管没有示出,但路由线251和252在TSV芯片315上各种电路之间提供互连。TSV120和130包含可见从充当TSV终止金属互连层的M1向下延伸通过底表面107的TSV内部金属芯125(例如铜)。内部金属芯125被示出由扩散势垒金属(例如Ta、TaN、Ti、TiN、Mn或Ru,或其组合)129围绕,然后由外介电衬层(例如SiO2、PSG或SiN,或其组合)126围绕。在介电衬层126上形成的扩散势垒金属129构成TSV 120、130,并在已知显著降低少数载流子寿命的高活动金属TSV芯材料(例如硅中铜)的情况下,防止TSV内芯125材料逃逸而进入衬底105,并防止引起例如显著增加的结漏或晶体管阈值电压(Vt)的移位的问题。
先通孔、相关中通孔和后通孔工艺可以用来形成公开的TSV IC。先通孔方案描述一种顺序,其中,衬底(例如硅)通孔在晶片薄化之前从晶片的前侧蚀刻,并介电绝缘,然后在BEOL互连布线层建立之前金属化。常规的先通孔工艺在晶体管形成之前形成TSV。先通孔工艺的变体是中通孔工艺,其中,在晶体管形成之后,但在BEOL布线层或层级完成之前,进行TSV蚀刻并用导电内部金属芯填充。在后通孔方案中,BEOL布线层首先建立,并且通孔步骤在BEOL布线之后并在晶片薄化之前由从顶侧的蚀刻和内部金属芯填充工艺完成,或在BEOL布线和晶片薄化之后蚀刻和内部金属芯填充工艺到晶片的底侧完成。
在晶片薄化之后的后通孔形成的情况中,在一个实施例中的TSV是在此定义为包括TSV尖端的TSV的“顶表面偏移TSV”,该TSV尖端从TSV芯片的底侧凸出并延伸含半导体的衬底的厚度的≥85%,但没有达到衬底的顶表面,例如离开衬底的顶表面2.5到8μm。晶片的厚度在晶片薄化(例如背磨)之后例如可以是约30到50μm,该晶片薄化一般在后通孔TSV蚀刻之前执行。在该实施例中,目标蚀刻深度取决于衬底(例如硅)厚度、其跨晶片的厚度不均匀性以及使用的蚀刻工具的能力(蚀刻均匀性)。减小从TSV到顶表面的距离改善了冷却效率。在该实施例中,全部TSV都是伪TSV。顶表面偏移TSV允许晶体管的任意放置和金属定线或路由,因此在不损失可用芯片面积的情况下允许增强的冷却。
图5是根据一公开实施例的包括TSV阵列的TSV芯片500的一部分的简化剖面图,该TSV阵列包括顶表面偏移TSV 520。可见TSV 520在与晶体管218和TSV芯片500上其它器件关联的扩散的垂直范围之下的顶表面107之下终止。晶体管218在标识为TSV 520’的TSV之上示出。TSV芯片500示出顶面偏移TSV怎样允许电路(例如晶体管、二极管电阻器等)在TSV的顶表面107中或在顶表面107上形成,因此在不损失TSV芯片500可用面积的情况下允许增强的冷却。
提供用于形成具有顶表面偏移TSV 520的TSV芯片500的一个示例方法,通过铜内部金属芯工艺对其进行描述。用于TSV的后通孔的通孔由合适的蚀刻工艺形成,继之以任选的介电衬层沉积,然后是势垒层和种子沉积,然后电化学铜沉积从而用导致相对薄的场(横向于TSV通孔)沉积的方法填充通孔。在场区域上的铜和势垒层可以然后移除(例如,湿法剥除或CMP),继之以常规衬底(例如硅)干法回蚀,从而产生从衬底的底表面延伸出的凸出TSV尖端。干法回蚀可以用来同样将介电衬层凹入(如果存在)。
用于公开实施例的示例应用包括高功率耗散应用,包括模拟、功率管理和应用处理器。然而,各种其它应用可以从公开实施例受益。在顶侧半导体表面上形成的有源电路包含电路元件,该电路元件一般包括晶体管、二极管、电容器和电阻器,以及信号线和将这些各种电路元件互连的其它电导体。
公开实施例可以整合进入各种工艺流程,从而形成各种器件和相关产品。半导体衬底可以在其中和/或其上的层包括各种元件。这些可以包括势垒层、其它介电层、器件结构,包括源极区、漏极区、位线、基极、发射极、集电极、导线、导电通孔的有源元件和无源元件等。此外,公开实施例可以用于包括双极、CMOS、BiCMOS和MEMS的各种工艺。
因此,这里意图覆盖具有在示例实施例背景下描述的特征或步骤的一个或更多的不同组合的实施例,所述示例实施例具有这样特征或步骤的全部或仅一些特征。本领域技术人员会意识到许多其它实施例和变化在本要求发明的保护范围内也是可能的。

Claims (6)

1.一种集成电路器件,包含:
第一衬底,所述第一衬底具有包括衬底焊盘的顶表面;
第二衬底,所述第二衬底具有包括电路的第一和第二表面;
结合连接器,所述结合连接器在所述第一表面上并耦合到所述衬底焊盘,以及
多个贯穿通孔,每个通孔都包含从所述第一表面通过所述第二衬底延伸到所述第二表面的金属芯,并具有从所述第二表面向外凸出的尖端;所述多个通孔包括在各自焊盘和所述电路之间提供电气连接路径的有源通孔和提供散热路径的伪通孔。
2.根据权利要求1所述的器件,其中所述金属芯包含铜;并且每个通孔进一步包含在势垒层之上的介电衬层,所述介电衬层覆盖所述凸出尖端的长度的小于50%。
3.根据权利要求2所述的器件,其中所述凸出尖端的所述长度是至少5μm。
4.根据权利要求3所述的器件,其中所述伪通孔布置成均匀阵列。
5.根据权利要求2所述的器件,其中所述电路进一步包括多个金属互连层;以及所述通孔连接到所述多个金属互连层的第一层。
6.根据权利要求1所述的器件,其中所述多个贯穿衬底通孔包括在与所述电路关联的扩散的垂直范围之下终止的多个顶表面偏移通孔。
CN201080065821.3A 2010-01-29 2010-12-17 用于集成电路器件的增强散热的凸出tsv尖端 Active CN102870203B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US29982610P 2010-01-29 2010-01-29
US61/299,826 2010-01-29
US12/888,135 2010-09-22
US12/888,135 US8294261B2 (en) 2010-01-29 2010-09-22 Protruding TSV tips for enhanced heat dissipation for IC devices
PCT/US2010/061033 WO2011093956A2 (en) 2010-01-29 2010-12-17 Protruding tsv tips for enhanced heat dissipation for ic devices

Publications (2)

Publication Number Publication Date
CN102870203A true CN102870203A (zh) 2013-01-09
CN102870203B CN102870203B (zh) 2016-08-24

Family

ID=44320033

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080065821.3A Active CN102870203B (zh) 2010-01-29 2010-12-17 用于集成电路器件的增强散热的凸出tsv尖端

Country Status (4)

Country Link
US (1) US8294261B2 (zh)
JP (1) JP2013518433A (zh)
CN (1) CN102870203B (zh)
WO (1) WO2011093956A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503934B (zh) * 2013-05-09 2015-10-11 Advanced Semiconductor Eng 半導體元件及其製造方法及半導體封裝結構
CN108321131A (zh) * 2018-01-16 2018-07-24 厦门科半导体科技有限公司 具有高效散热结构的集成电路
US20220359482A1 (en) * 2021-05-10 2022-11-10 International Business Machines Corporation Memory and logic chip stack with a translator chip

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825517B2 (en) * 2007-07-16 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for packaging semiconductor dies having through-silicon vias
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US8513119B2 (en) * 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US8736050B2 (en) 2009-09-03 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Front side copper post joint structure for temporary bond in TSV application
US8097964B2 (en) * 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US20100171197A1 (en) * 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8759949B2 (en) * 2009-04-30 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside structures having copper pillars
US8158489B2 (en) * 2009-06-26 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV backside interconnects by modifying carrier wafers
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
JP2011082450A (ja) * 2009-10-09 2011-04-21 Elpida Memory Inc 半導体装置及びこれを備える情報処理システム
US8659155B2 (en) * 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
JP5537197B2 (ja) * 2010-03-12 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8174124B2 (en) * 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US10249379B2 (en) * 2010-08-20 2019-04-02 Attopsemi Technology Co., Ltd One-time programmable devices having program selector for electrical fuses with extended area
US10923204B2 (en) 2010-08-20 2021-02-16 Attopsemi Technology Co., Ltd Fully testible OTP memory
US10229746B2 (en) 2010-08-20 2019-03-12 Attopsemi Technology Co., Ltd OTP memory with high data security
US10916317B2 (en) 2010-08-20 2021-02-09 Attopsemi Technology Co., Ltd Programmable resistance memory on thin film transistor technology
US9818478B2 (en) 2012-12-07 2017-11-14 Attopsemi Technology Co., Ltd Programmable resistive device and memory using diode as selector
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8836116B2 (en) * 2010-10-21 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging of micro-electro-mechanical systems (MEMS) and complementary metal-oxide-semiconductor (CMOS) substrates
TWI445155B (zh) * 2011-01-06 2014-07-11 Advanced Semiconductor Eng 堆疊式封裝結構及其製造方法
US8742564B2 (en) * 2011-01-17 2014-06-03 Bai-Yao Lou Chip package and method for forming the same
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10586832B2 (en) 2011-02-14 2020-03-10 Attopsemi Technology Co., Ltd One-time programmable devices using gate-all-around structures
US10192615B2 (en) 2011-02-14 2019-01-29 Attopsemi Technology Co., Ltd One-time programmable devices having a semiconductor fin structure with a divided active region
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8604619B2 (en) * 2011-08-31 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via keep out zone formation along different crystal orientations
US8617989B2 (en) * 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US20130082383A1 (en) * 2011-10-03 2013-04-04 Texas Instruments Incorporated Electronic assembly having mixed interface including tsv die
JP5696647B2 (ja) * 2011-11-18 2015-04-08 富士通株式会社 半導体装置およびその製造方法
DE112011106068B4 (de) 2011-12-28 2023-11-16 Exo Imaging, Inc. MEMS auf Rückseite von Bulk-Silizium
US8664768B2 (en) * 2012-05-03 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer having a defined through via pattern
CN103633039B (zh) * 2012-08-29 2017-02-08 中芯国际集成电路制造(上海)有限公司 半导体散热结构及其形成方法、半导体芯片
US20140061855A1 (en) * 2012-09-06 2014-03-06 United Microelectronics Corporation Capacitor structure and fabricating method thereof
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR102021884B1 (ko) * 2012-09-25 2019-09-18 삼성전자주식회사 후면 본딩 구조체를 갖는 반도체 소자
KR101959715B1 (ko) 2012-11-06 2019-03-20 삼성전자 주식회사 반도체 장치
US9204542B1 (en) * 2013-01-07 2015-12-01 Xilinx, Inc. Multi-use package substrate
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
RU2546710C2 (ru) * 2013-07-30 2015-04-10 Акционерное общество "Научно-исследовательский институт молекулярной электроники" (АО "НИИМЭ") Способ изготовления кристаллов с теплоотводящими элементами для вертикальной трехмерной (through-silicon vias ) сборки многокристальных сверхбольших интегральных схем
US9041193B2 (en) 2013-09-17 2015-05-26 Hamilton Sundstrand Corporation Semiconductor substrate including a cooling channel and method of forming a semiconductor substrate including a cooling channel
US9324628B2 (en) 2014-02-25 2016-04-26 International Business Machines Corporation Integrated circuit heat dissipation using nanostructures
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9184112B1 (en) 2014-12-17 2015-11-10 International Business Machines Corporation Cooling apparatus for an integrated circuit
US9472483B2 (en) 2014-12-17 2016-10-18 International Business Machines Corporation Integrated circuit cooling apparatus
KR102316267B1 (ko) 2015-04-15 2021-10-22 삼성전자주식회사 씨오피 구조를 갖는 메모리 장치, 이를 포함하는 메모리 패키지 및 그 제조 방법
JP2016219683A (ja) 2015-05-25 2016-12-22 ソニー株式会社 配線基板、および製造方法
US9728494B2 (en) * 2015-09-24 2017-08-08 Verily Life Sciences Llc Body-mountable device with a common substrate for electronics and battery
US10319694B2 (en) 2016-08-10 2019-06-11 Qualcomm Incorporated Semiconductor assembly and method of making same
US11527454B2 (en) * 2016-11-14 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US11062786B2 (en) 2017-04-14 2021-07-13 Attopsemi Technology Co., Ltd One-time programmable memories with low power read operation and novel sensing scheme
US10535413B2 (en) 2017-04-14 2020-01-14 Attopsemi Technology Co., Ltd Low power read operation for programmable resistive memories
US11615859B2 (en) 2017-04-14 2023-03-28 Attopsemi Technology Co., Ltd One-time programmable memories with ultra-low power read operation and novel sensing scheme
US10726914B2 (en) 2017-04-14 2020-07-28 Attopsemi Technology Co. Ltd Programmable resistive memories with low power read operation and novel sensing scheme
US10256203B2 (en) * 2017-07-27 2019-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and semiconductor package
US10770160B2 (en) 2017-11-30 2020-09-08 Attopsemi Technology Co., Ltd Programmable resistive memory formed by bit slices from a standard cell library
KR20190119475A (ko) * 2018-04-12 2019-10-22 에스케이하이닉스 주식회사 반도체 다이들의 스택에서 조인트 불량을 검출하는 방법
US11521923B2 (en) * 2018-05-24 2022-12-06 Intel Corporation Integrated circuit package supports
CN110010575B (zh) * 2018-12-25 2021-03-30 浙江集迈科微电子有限公司 一种栓塞互联式的tsv结构及其制作方法
CN113035801A (zh) * 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 存储器装置及其制造方法
TWI741935B (zh) * 2020-04-28 2021-10-01 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US11355410B2 (en) 2020-04-28 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
EP4260368A2 (en) * 2020-12-11 2023-10-18 Qorvo US, Inc. 3d packaging with silicon die as thermal sink for high-power low thermal conductivity dies
TWI755281B (zh) * 2021-02-18 2022-02-11 創意電子股份有限公司 散熱結構、半導體封裝裝置及半導體封裝裝置之製造方法
US11846804B2 (en) * 2022-02-24 2023-12-19 Globalfoundries U.S. Inc. Thermally-conductive features positioned adjacent to an optical component

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1742364A (zh) * 2003-01-24 2006-03-01 纳米传导公司 使用自组装纳米线从集成电路除去热量的方法及设备
CN101292348A (zh) * 2005-10-24 2008-10-22 英特尔公司 具有增强的热和器件性能的可堆叠晶片或管芯封装
US20090001568A1 (en) * 2007-06-26 2009-01-01 Raul Mancera Wafer-level solder bumps
US20090278245A1 (en) * 2008-05-12 2009-11-12 Texas Instruments Inc Packaged electronic devices with face-up die having tsv connection to leads and die pad

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069498A (en) * 1976-11-03 1978-01-17 International Business Machines Corporation Studded heat exchanger for integrated circuit package
JP3442630B2 (ja) * 1997-11-20 2003-09-02 株式会社日立製作所 半導体装置
US20090008792A1 (en) 2004-11-19 2009-01-08 Industrial Technology Research Institute Three-dimensional chip-stack package and active component on a substrate
JP2008103387A (ja) * 2006-10-17 2008-05-01 Murata Mfg Co Ltd 半導体装置
US20090115026A1 (en) 2007-11-05 2009-05-07 Texas Instruments Incorporated Semiconductor device having through-silicon vias for high current,high frequency, and heat dissipation
CN101499480B (zh) * 2008-01-30 2013-03-20 松下电器产业株式会社 半导体芯片及半导体装置
JP2009231371A (ja) * 2008-03-19 2009-10-08 Toshiba Corp 半導体チップ及び半導体装置
JP2009238957A (ja) * 2008-03-26 2009-10-15 Panasonic Electric Works Co Ltd 基板へのビアの形成方法
US7851346B2 (en) 2008-07-21 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding metallurgy for three-dimensional interconnect
US8097964B2 (en) 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US7838988B1 (en) * 2009-05-28 2010-11-23 Texas Instruments Incorporated Stud bumps as local heat sinks during transient power operations

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1742364A (zh) * 2003-01-24 2006-03-01 纳米传导公司 使用自组装纳米线从集成电路除去热量的方法及设备
CN101292348A (zh) * 2005-10-24 2008-10-22 英特尔公司 具有增强的热和器件性能的可堆叠晶片或管芯封装
US20090001568A1 (en) * 2007-06-26 2009-01-01 Raul Mancera Wafer-level solder bumps
US20090278245A1 (en) * 2008-05-12 2009-11-12 Texas Instruments Inc Packaged electronic devices with face-up die having tsv connection to leads and die pad

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503934B (zh) * 2013-05-09 2015-10-11 Advanced Semiconductor Eng 半導體元件及其製造方法及半導體封裝結構
US9589840B2 (en) 2013-05-09 2017-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor package and manufacturing method thereof
US10056325B2 (en) 2013-05-09 2018-08-21 Advanced Semiconductor Engineering, Inc. Semiconductor package having a trench penetrating a main body
CN108321131A (zh) * 2018-01-16 2018-07-24 厦门科半导体科技有限公司 具有高效散热结构的集成电路
CN108321131B (zh) * 2018-01-16 2019-09-24 厦门科一半导体科技有限公司 具有高效散热结构的集成电路
US20220359482A1 (en) * 2021-05-10 2022-11-10 International Business Machines Corporation Memory and logic chip stack with a translator chip

Also Published As

Publication number Publication date
US8294261B2 (en) 2012-10-23
US20110186990A1 (en) 2011-08-04
CN102870203B (zh) 2016-08-24
JP2013518433A (ja) 2013-05-20
WO2011093956A2 (en) 2011-08-04
WO2011093956A3 (en) 2011-10-13

Similar Documents

Publication Publication Date Title
CN102870203A (zh) 用于集成电路器件的增强散热的凸出tsv尖端
CN104716109B (zh) 具有降低热串扰的热管理部件的封装件及其形成方法
JP6053779B2 (ja) ダイ上の開ウィンドウを有するヒートスプレッダを備えた基板上のダイを含む電子的アッセンブリ
US8110415B2 (en) Silicon based microchannel cooling and electrical package
US8785289B2 (en) Integrated decoupling capacitor employing conductive through-substrate vias
US9252101B2 (en) Packages for three-dimensional die stacks
CN102347316B (zh) 三维集成电路结构
US6278181B1 (en) Stacked multi-chip modules using C4 interconnect technology having improved thermal management
US9953957B2 (en) Embedded graphite heat spreader for 3DIC
CN100459111C (zh) 用于双衬底封装的方法和装置
JP5190122B2 (ja) 熱拡散抵抗を低減した半導体アセンブリおよびその製造方法
CN109427702A (zh) 散热器件和方法
US9613881B2 (en) Semiconductor device having improved heat-dissipation characteristics
KR101554686B1 (ko) 칩내 능동 열 전달 시스템을 포함하는 반도체 디바이스
JP6550140B2 (ja) アンダーフィル収容キャビティを伴う半導体デバイス・アセンブリ
CN101937907B (zh) 芯片堆叠封装结构及其制作方法
TW201225246A (en) Multi-chip stack structure
US20150187675A1 (en) Methods and apparatus for dissipating heat from a die assembly
US8704358B2 (en) Method for forming an integrated circuit
JP2014507809A (ja) Pcb基板に埋め込まれたチップモジュール
US6710443B1 (en) Integrated circuit providing thermally conductive structures substantially horizontally coupled to one another within one or more heat dissipation layers to dissipate heat from a heat generating structure
CN104201157B (zh) 混合键合工艺中的半导体散热结构和方法
CN103050455A (zh) 堆叠封装结构
US9839159B1 (en) Dispense pattern for thermal interface material for a high aspect ratio thermal interface
US7898078B1 (en) Power connector/decoupler integrated in a heat sink

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant