CN102282653B - 防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀的方法 - Google Patents

防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀的方法 Download PDF

Info

Publication number
CN102282653B
CN102282653B CN201080004796.8A CN201080004796A CN102282653B CN 102282653 B CN102282653 B CN 102282653B CN 201080004796 A CN201080004796 A CN 201080004796A CN 102282653 B CN102282653 B CN 102282653B
Authority
CN
China
Prior art keywords
treatment chamber
fluid
etch
cleaning solution
chemicals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080004796.8A
Other languages
English (en)
Other versions
CN102282653A (zh
Inventor
马克·I·瓦格纳
詹姆斯·P·德扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102282653A publication Critical patent/CN102282653A/zh
Application granted granted Critical
Publication of CN102282653B publication Critical patent/CN102282653B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

处理微电子形貌的方法,包括采用包含有处于超临界状态或液态的流体的蚀刻溶液选择性蚀刻所述形貌的层。在一些实施方式中,所述蚀刻处理可包括将所述蚀刻溶液的新鲜组分引入处理腔室,并且同时排放所述腔室以阻止蚀刻副产物的沉淀。在所述蚀刻处理后,可将包含有处于超临界状态或液态的所述流体引入到所述腔室。在一些情况下,所述清洗溶液可包括一种或一种以上的极性助溶剂,例如酸、极性醇和/或水,所述极性助溶剂可与所述流体相混合以帮助阻止蚀刻副产物沉淀。附加地或替代地,所述蚀刻溶液和清洗溶液中的至少一种可包括被配置用于对在所述形貌的环境内的溶解的蚀刻副产物进行改性的化学品,以阻止蚀刻副产物沉淀。

Description

防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀的方法
技术领域
本发明通常涉及用于加工微电子形貌(topography)的方法和溶液以及,并且更具体地,涉及用于防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀到微电子形貌上的方法。
背景技术
以下的说明和实施例并不因为被并入到该部分中而成为现有技术。
微电子形貌的制造通常包括多个处理步骤,所述多个处理步骤包括但不限于沉积、图案化和蚀刻材料以形成器件结构的集成件。在一些实施方式中,可在微电子形貌的牺牲层内形成导电结构,然后所述牺牲层的一些部分或者全部可随后被除去,暴露所述导电结构的侧壁。此后,可用去离子水清洗所述微电子形貌,以除去蚀刻溶液和/或副产物,并且随后干燥。在一些情况下,所述蚀刻、清洗和/或干燥处理可导致导电结构塌陷(即互相向对方倒塌),致使所述微电子形貌不可使用。特征塌陷的发生似乎在不断的增加,尤其是由于以增加处理速度和集成电路的存储密度作为更紧迫的目标,而导致的结构的宽度尺寸不断减小并且由此产生的高宽比增加。具体地,在一些实施方式中,似乎导电结构的高宽比可增加至导电结构之间的液体的表面张力引起导电结构的塌陷的程度。
一种已被证明可减轻特征塌陷的技术是在超临界流体环境中蚀刻牺牲层,然后排放蚀刻腔室,从而防止在所述形貌上形成液体。一般而言,超临界流体没有表面张力。因此,通常在所述处理期间在形貌的器件结构之间没有放置具有表面张力的流体。因此,可减少特征塌陷。然而,在超临界流体的环境中蚀刻的缺点是,在蚀刻处理期间产生的蚀刻副产物在超临界流体中倾向于具有低的可溶性,并且可能容易沉淀到所述形貌上。在一些情况下,蚀刻副产物的沉淀可不符合需要地改变并且,在一些实施方式中,对随后的器件的功能性产生不利的影响。例如在一些情况下,溶解的氧化物的沉淀可增加在导电结构上的接触电阻。因此,在很容易产生沉淀物质的蚀刻处理之后可能需要除去沉淀物质的处理。但是,为了除去沉淀在形貌上的物质,通常需要湿法清洗。如上所述,由于流体的表面张力,在具有器件结构的微电子形貌上实施湿法处理可导致特征塌陷。
因此,研发用于在超临界流体的环境中在蚀刻所述形貌的部分的期间或之后防止蚀刻副产物在形貌上沉淀的方法将是有利的。
发明内容
可通过改变(modify)蚀刻处理和/或随后的清洗处理和溶液以防止蚀刻副产物在微电子形貌上沉淀,而在很大程度上解决上述的问题。以下是仅作为示例性的用于采用所述改变的方法的实施方式并且不以任何方式被解释为限制权利要求的主题。
所述方法的实施方式包括将微电子形貌载入处理腔室,并采用蚀刻溶液选择性蚀刻包含有微电子形貌的上表面的牺牲层,所述蚀刻溶液包括处于超临界状态或者液态的流体。此外,所述方法包括在所述蚀刻处理后将清洗溶液引入到所述处理腔室,其中所述清洗溶液包括处于临界状态或者液态的流体。在一些情况下,在所述蚀刻处理和清洗处理中的一个或两个的期间,至少部分地将被化学配置用于对在微电子形貌的周围环境内的溶解的蚀刻副产物进行改性的化学品引入到所述处理腔室内,从而阻止所述溶解的蚀刻副产物沉淀到所述形貌上。
附图说明
在阅读以下详细的说明以及参考附图后,本发明的其他目的和优点将变得显而易见,在所述附图中:
图1描绘了用于加工衬底的示例性方法的流程图;以及
图2描绘了二氧化碳的压强-温度相图。
虽然本发明易于进行各种修改形式和替换形式,但是其具体的实施方式通过在附图中的举例的方式显示了,并在此将进行详细说明。然而,应理解的是附图和对其的详细说明不是意图将本发明限制为所公开的特定形式,但与此相反,本发明意在覆盖所有的落入如所附权利要求书界定的本发明的范围和精神内的修改、等同形式和替代形式。
具体实施方式
现在看所述附图,参考图1,对用于在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物凝结和沉淀至微电子形貌上的方法的示例性实施方式进行图解说明和描述。应注意的是这里描述的方法不一定局限于图1中描绘的流程图。尤其是,这里描述的所述方法可包括未显示在图1中的用于制造微电子器件和/或电路的其它步骤,包括在图1所示的步骤之前、之中和/或之后实施的步骤。此外,如下文中更为详细的描述,图1中所描绘的一些处理可以是可选的并且,因此,在一些情况下可从这里描述的方法中省略。一般而言,术语“微电子形貌”,如本文所采用的,可以是指具有用于形成微电子器件和/或电路的一个或一个以上的层和/或结构的形貌。因此,所述术语可以是指在制造微电子器件和/或电路期间在任意阶段采用的形貌。微电子形貌可以替代地指“半导体形貌”并且,因此这些术语在本文中是可互换使用的。
如图1的模块(block)10所示,本文所描述的方法包括将微电子形貌载入处理腔室内。如下文中更为详细的描述,所述处理腔室可特别地被配置为用于将引入到所述腔室内的流体转换成超临界状态,使得可采用减轻特征塌陷的方法加工所述微电子形貌。一般而言,可采用任意的被配置为产生并承受所述压强的处理腔室。更具体地,可采用任意的被配置为产生并承受压强的处理腔室,所述压强足以转换和/或保持该(些)流体处于超临界状态,该(些)流体被选择用于在下文中参考图1的模块14、18和/或24的所描述的处理。考虑到本文所述的方法,大于将近1000psig的压强范围可适于转换和/或保持大部分流体并且,因此,可采用通常被配置用于产生并承受大于将近1000psig的压强的处理腔室。然而,可采用被配置用于产生并承受较低压强的处理腔室。
在所述微电子形貌被载入所述处理腔室后,如图1中的模块12所示对所述处理腔室进行加压。一般而言,所述加压的方法可包括将气态的流体引入到所述处理腔室内。在一些情况下,用于加压所述腔室的流体可以是随后用于选择性蚀刻所述微电子形貌的层的流体,方法在下文参考模块14更详细描述。在所述实施方式中,在模块12中概述的加压方法可包括将气态的流体引入到所述处理腔室中直到获得等于或大于所述流体的饱和蒸气压或者所述流体的临界压强的腔室压强。在这一点上,所述流体被转换成液态或超临界状态(这取决于所述处理腔室内部的温度)。如在下文参考模块14更为详细描述的,采用液态或者超临界状态的流体进行所述形貌的选择性蚀刻处理。因此,采用随后用于蚀刻所述形貌的流体对所述处理腔室进行加压可提供易于将所述腔室从加压处理转变为蚀刻处理的方式。在其它的实施方式中,然而,可采用与用于选择性蚀刻所述形貌的流体不同的流体来对所述处理腔室进行加压。例如,可采用氮气对所述处理腔室进行加压。在这些情况下,一经引入用于随后的选择性蚀刻处理的蚀刻溶液,所述氮气就从所述处理腔室排出。
继续看模块14,通过采用包含有处于液态或超临界状态的至少一种流体的蚀刻溶液选择性蚀刻所述微电子形貌的层。所述至少一种流体是具有(或者能够达到)充分低表面张力(例如小于约30达因/cm(dynes/cm))或没有表面张力的流体并且,因此在下文中是指“低/无表面张力流体”。如下文所述,所述蚀刻溶液包括其它的化学品,其可以是液态、气态或等离子状态并且,因此,所述蚀刻溶液包括其它流体。所述选择性蚀刻处理的持续时间可通常取决于所采用的蚀刻剂,但是示例性的时间可以是约20秒至约1分钟。
如上所述,在超临界流体的环境中蚀刻微电子形貌对阻止随后的特征塌陷是有益的。特别是,超临界蚀刻环境通常能容易过渡至超临界干燥环境,从而有效地阻止特征的塌陷。然而本文所描述的方法不一定局限于在超临界环境中蚀刻。特别是,在模块14中概述的选择性蚀刻处理可替代地包括采用液态的低/无表面张力流体蚀刻微电子形貌的层。所述情况下,在所述蚀刻处理期间和/或之后液体的残留部分可保留在围绕所述器件结构的微电子形貌上。如上所述,由于液体的表面张力,所述残留部分有可能导致所述器件结构塌陷。然而本文所述的方法通过让微电子形貌经过(expose)一系列用于阻止特征塌陷的工艺步骤而避免这样的不利影响,其在图1的模块24-30中已有概述并且在下文中进行更为详细的描述。
为了简化用于实施本文所描述的方法的所述处理腔室的复杂性和/或时间控制,对于所述蚀刻溶液的低/无表面张力流体,具有相对容易达到的热力学临界点(即具有相对低的临界温度和临界压强),这可能是有利的,尤其是在所述流体用于随后的清洗所述形貌和/或排放所述处理腔室的过程中时。如下文中更为详细的描述,于本文描述的参考图1的模块30所述的排放处理以前的方法的过程中,在某点于所述处理腔室内建立超临界环境。因此,在各实施方式中,用于所述蚀刻处理的低/无表面张力流体与清洗和排放处理的流体相同,需要具有相对容易达到的热力学临界点的流体。示例性流体包括但不限于二氧化碳和六氟化硫。
由于二氧化碳的相对低的临界温度是31℃,以下可能是特别有利的:参考模块14采用二氧化碳作为低/无表面张力流体对微电子形貌进行蚀刻并且,在一些情况下,还可参考模块12对所述处理腔室进行加压和/或参考模块18对所述形貌进行清洗。特别是,使处理所需的温度最小化是可取的,从而可使加热机构(即在所述处理腔室内部的加热交换器或加热器)最小。此外,与具有相对容易达到的热力学临界点的其它流体相比,二氧化碳是相对廉价的,因此,仅仅因为这个原因,采用二氧化碳作为低/无表面张力流体蚀刻所述微电子形貌并且,在一些情况下,还对所述处理腔室进行加压和/或清洗所述形貌是可取的。
如上所述,用于蚀刻所述微电子形貌的低/无表面张力流体可以是液态或超临界状态,这通常取决于所述处理腔室内部的压强和温度。二氧化碳的压强-温度相图在图2中描绘以图解说明这种现象。当在蚀刻溶液中采用二氧化碳(例如)以蚀刻所述微电子形貌时,所述处理腔室可被加压到的压强的示例性范围可以是约800psig至约4000psig。在一些实施方式中,当在用于蚀刻所述微电子形貌的蚀刻溶液中采用二氧化碳时,所述处理腔室的压强范围可以是约800psig至约2900psig。在一些情况下,所述处理腔室内二氧化碳的温度在其临界温度以上,并且,因此获得超临界状态,在这样的情况下,所述处理腔室可被加压到的压强的示例性范围可以是约1100psig至约4000psig,更具体地,是约1500psig至约2900psig。
一般而言,用于被配置用来产生和承受大于约1000psig的压强的处理腔室的加热机构可能是复杂的,尤其有高温要求时。此外,加热处理腔室所需的能量的量通常可随着温度的需要而成指数倍数地增加。因此,在一些实施方式中,使加热所述处理腔室以获得所述低/无表面张力流体的特定状态所达到的温度最小化是有利的。例如,当在蚀刻溶液中采用二氧化碳以蚀刻所述形貌时,限制加热所述处理腔室至小于约60℃的温度是有利的,并且在一些实施方式中,限制加热所述处理腔室至小于约40℃的温度是有利的。然而,可采用更高的温度。在蚀刻溶液中采用液态的二氧化碳以蚀刻微电子形貌的层的情况下,限制加热所述处理腔室至小于约30℃的温度是有利的,并且在一些实施方式中,限制加热所述处理腔室至小于约20℃的温度是有利的。
除了上述的低/无表面张力流体以外,所述选择性蚀刻处理包括其它的适用于除去所述形貌的层的化学品。示例性化学品可包括,例如,氯-基等离子体蚀刻剂或氟-基等离子体蚀刻剂,例如CF4和/或CHF3。替代地,可采用可溶于低/无表面张力流体的包含有氢氟酸(HF)的液体蚀刻溶液。例如,可将包含有约0.1%至约10%HF和约0.1%至约10%水以及余量的一种或一种以上极性助溶剂(均以重量计)的溶液加入到所述低/无表面张力流体中。还可考虑包含有HF的液体蚀刻溶液的其它组分。例如,在一些实施方式中,所述液体蚀刻溶液可包括缓冲剂,例如重量浓度为约0.1%至约10%的氟化铵。附加地或替代地,在所述蚀刻溶液中可包括吡啶加合物。在任意情况下,在所述低/无表面张力流体已被加入到所述处理腔室并且已(例如通过所述加压处理)建立特定的液态或超临界状态以后,可将其它化学品加入到所述处理腔室内。在其它实施方式中,然而,在所述低/无表面张力流体被引入腔室以前可使所述低/无表面张力流体与所述其它化学品联合使用。在所述情况下,在被引入到腔室时所述低/无表面张力流体可以是液态或气态并且,如果适用,在所述处理腔室内所述低/无表面张力流体被转换成特定的液态或超临界状态。
一般而言,可考虑适用本文所述的方法的微电子形貌可包括半导体衬底例如单晶硅衬底、镓-砷衬底、磷化铟衬底、硅-锗衬底、绝缘体上硅衬底或蓝宝石硅衬底。所述半导体衬底可以是掺杂n-型或p-型并且,在一些实施方式中,可在其中形成扩散区和/或隔离区。在一些情况下,所述微电子形貌可包括在所述半导体衬底上面和其上方以及在下文描述的器件结构和牺牲层下面形成的结构和层。在所述半导体衬底上面和其上方形成的结构和层可包括,但不限于,介电层、金属化层、栅结构、触点结构、通孔或本地互连线。
如下文所述,本文所述的方法可具体地适用于具有被包埋在牺牲层内的器件结构的微电子形貌。特别是,本文所述的方法可特别适用于提供选择性除去包含有微电子形貌的上表面的牺牲层的方法,使得被包埋在所述牺牲层内的器件结构的侧壁表面暴露并进一步防止在所述处理期间所述器件结构的特征塌陷。然而,应注意的是本文所述的方法不受如此限制。特别是,本文所述的方法可适用于具有相对于所述形貌的其它材料而言可被选择性蚀刻的材料的任意微电子形貌。特别是,本文所述的方法不一定局限于包括下文所描述的材料和结构的形貌。
如上所述,在一些实施方式中,被考虑适用于本文所述的方法的微电子形貌可包括多个包埋在形成在半导体衬底上方的牺牲层内的器件结构。所述器件结构的材料可包括在半导体工业中采用的用于半导体器件结构的任意材料,包括但不限于多晶硅、铝、铜、钛、氮化钛、钨和/或它们的任意的合金。由于具有高宽比等于或大于约10∶1的器件结构通常更容易引起特征塌陷,所以,虽然本文所述的方法可适用于具有任意尺寸的器件结构的形貌,但是所述方法可特别适用于具有高宽比等于或大于约10∶1的器件结构的形貌。本文所采用的术语“高宽比”通常是指特征的高度与宽度的比。针对所述器件结构的示例性宽度可以是约10nm至约250nm,并且所述器件结构之间的示例性间距可以是约10nm至约100nm。然而,可考虑其它尺寸的宽度和/或间距,特别是减小器件尺寸的技术发展时。虽然不一定受此所限,在一些实施方式中,可通过镶嵌(damascene)处理形成所述器件结构。特别是,所述器件结构的材料可沉积牺牲层的沟槽内,并且随后对所述形貌进行抛光以除去在所述牺牲层的上表面上的器件结构材料的各部分。
一般而言,所述牺牲层可包括相对于所述器件结构的材料而言可被选择性除去的任意材料。用于所述牺牲层的示例性材料包括但不限于二氧化硅(SiO2)、四正硅酸盐玻璃(TEOS)、氮氧化硅(SiOxNy(H2))、二氧化硅/氮化硅/二氧化硅(ONO)或,一般而言,任意氧化物层。本文所采用的术语“氧化物层”可通常是指包括有氧原子的层,其中,氧原子并入了该层中。如下文中更为详细的描述,本文中所述的方法的一些可特别适用于其中牺牲层包括氧化物层的处理。更具体地,在一些实施方式中,其中可化学配置所述蚀刻溶液和/或清洗溶液以在微电子形貌的环境中对溶解的氧化物蚀刻副产物进行改性,由于蚀刻包含有氧化物层的牺牲层将产生氧化物蚀刻副产物,所述实施方式可特别适用于其中牺牲层包括有氧化物层的情况。在所述情况下,将参考模块20在下文中更为详细地描述所述蚀刻溶液和/或清洗溶液的化学配置的细节。不管所述牺牲层的组成如何,可对所述牺牲层进行掺杂或不掺杂。因此,在一些实施方式中,所述牺牲层可包括硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)或氟硅酸盐玻璃(FSG)。
一般而言,所述选择性蚀刻处理可除去与所述器件结构相邻的部分牺牲层,使得所述器件结构的侧壁表面暴露。在一些情况下,可进行所述选择性蚀刻处理,使得所述器件结构是独立的(free standing)。在任意情况下,所述选择性蚀刻处理可除去跨越所述微电子形貌的整个牺牲层,或可仅除去在所述器件结构附近的部分牺牲层。在所述后一实施方式中,可对预定保留在所述微电子形貌内的所述牺牲层的部分(即不在所述器件结构附近的部分牺牲层)用掩模进行遮盖用于所述选择性蚀刻处理。
如模块16中所示,在一些实施方式中,本文中所述的方法包括以与所述蚀刻溶液被引入到所述腔室内的速率大约相同的速率对所述处理腔室进行排放。所述同时发生的处理可被双重(dually)称为“流通处理”(flow-through process)并包括在对所述腔室进行排放的同时将所述蚀刻溶液的新鲜组分引入到所述处理腔室内。所述短语“新鲜组分”可通常是指先前尚未进行通过所述处理腔室的处理的蚀刻溶液,因此不包括回收的蚀刻溶液。引入蚀刻溶液的新鲜组分的流通处理有利地让从所述蚀刻处理产生的副产物从所述处理腔室有效地除去。由于所述副产物将不继续存留在所述处理腔室内,它们将不太可能沉淀在所述微电子形貌上。通过连接模块14和16的虚线以及对模块16分界的虚线可知,所述排放处理是可选的,并且因此,在一些情况下,可从本文所述的方法省略所述排放处理。特别是,根据模块14描述的蚀刻处理可替代地包括将批量的蚀刻溶液引入到所述处理腔室内并采用所述批量的蚀刻溶液处理所述微电子形貌。然而在另一实施方式中,在所述蚀刻处理期间可循环利用所述蚀刻溶液。
如图1的模块18中所示,所述方法包括在所述选择性蚀刻处理后将清洗溶液引入到所述处理腔室内。通常可采用所述清洗处理来除去、改性和/或稀释残留的蚀刻溶液和/或蚀刻来自所述形貌的副产物,并且在一些实施方式中,所述清洗处理可进一步用作为过渡清洗以降低可留在所述形貌上的任意液体的表面张力。如下文所述,所述清洗溶液包括至少一种液态或超临界状态的低/无表面张力流体[即,实质上具有(或有能力达到)低表面张力(例如小于约30dynes/cm)或无表面张力的流体],与根据模块14所述的蚀刻溶液相似。还如下文所述,所述清洗溶液可包括其它化学品,所述化学品可以是液态、气态或等离子态,并且,因此,所述清洗溶液可包括其它流体。在任意情况下,所述清洗处理的持续时间可以变化,但是所述持续时间通常可小于约60秒。
在一些实施方式中,所述清洗溶液的低/无表面张力流体可与在所述蚀刻溶液中使用的低/无表面张力流体不同。然而,在其它情况下,所述清洗溶液的低/无表面张力流体可包括与在所述蚀刻溶液中使用的相同的低/无表面张力流体。在所述实施方式中,特别是在蚀刻处理包括如根据图1的模块16的如上所述的流通处理的实施方式中,所述蚀刻溶液和清洗溶液之间的共性可促进从蚀刻处理顺利过渡至清洗处理。更具体地,可通过停止向所述处理腔室引入任意其它的蚀刻化学品(即,除了所述低/无表面张力流体以外的引入的化学品)而终止所述蚀刻处理,因此可以将所述低/无表面张力流体继续引入到所述处理腔室内来开始所述清洗处理。替代地,可相对于所述蚀刻处理的终止而延迟所述清洗处理的开始。
在任意的情况下,为了简化用于本文所述的方法的所述处理腔室的复杂性和/或时间控制,在一些实施方式中,所述清洗溶液的低/无表面张力流体具有相对容易达到的热力学临界点(即,有相对低的临界温度和临界压强)是有利的。特别是,如下文更为详细的描述,于本文描述的参照图1的模块30所述的排放处理之前的该方法的过程中,在某点于所述处理腔室中建立超临界环境。因此,在一些实施方式中,所述清洗处理中采用的低/无表面张力流体与所述排放处理相同,在这样的实施方式中,具有相对容易获得的热力学临界点的流体是符合需要的。示例性的流体可包括但不限于二氧化碳和六氟化硫,并在一些情况下,根据与模块14中概述的蚀刻处理所描述的原由类似的原由具体采用二氧化碳。在一些实施方式中,所述清洗溶液的低/无表面张力流体处于大于其热力学临界点约90%的温度和压强是有利的。特别是,当在模块24中概述的所述处理采用所述低/无表面张力流体时,所述热力学处理范围可有助于减少随后在所述处理腔室内建立处于超临界状态的低/无表面张力流体的纯的环境所需的时间的量,这在下文详细描述。
在一些实施方式中,所述清洗处理可采用单一的清洗制剂(formulation)(即,无需在所述清洗处理期间改变其组分的单一制剂)。在替代的情况下,所述清洗处理可采用连续引入到所述处理腔室内并且因此连续暴露至微电子形貌的多种不同的清洗制剂。例如,所述清洗处理可包括将具有不同浓度的低/无表面张力流体的清洗制剂连续地引入到所述处理腔室内。然而在其它的情况下,所述清洗处理可包括逐渐改变被引入到所述处理腔室内的清洗溶液的组分(例如通过逐渐改变所述溶液中的低/无表面张力流体的浓度)。在该方法中,在微电子形貌的环境中的流体的表面张力可以与梯级(graduated)方式相反的线性方式发生变化。特别是,随着所述清洗处理的进行,被引入到所述处理腔室内的低/无表面张力流体的浓度的逐渐变化,可有利地让所述处理腔室内的清洗溶液基本上保持均质,因此可避免不同清洗制剂的明显的界面,从而有助于防止特征塌陷,尤其是在所述清洗溶液的低/无表面张力流体处于液态的情况时,这在下文中更为详细地描述。
任一情况下,在一些实施方式中,所述清洗制剂可被配置为随着所述清洗处理的进行而增加被引入到所述工艺中的低/无表面张力流体的浓度。特别是,随着所述清洗处理的进行所述被引入到所述工艺腔室中的低/无表面张力流体的浓度的逐步(step-wise)或逐渐增加,可促进图1的模块18中概述的清洗处理和建立图1的模块24中概述的超临界状态的低/无表面张力流体的纯环境的处理二者之间的轻松过渡,此时,所述流体用于所述后一处理。应注意的是引入所述处理腔室内的清洗制剂的数量通常可取决于所述制造工艺的设计规格并且,因此可能会在各应用中有所不同。
如上所述,所述清洗溶液可包括其它的化学品(即,不同于所述低/无表面张力流体的其它组分)。在一些情况下,所述其它化学品可有助于防止溶解的蚀刻副产物沉淀在微电子形貌上。例如,所述清洗溶液可可选地包括与如模块22中所述的低/无表面张力流体混合的一种或一种以上的助溶剂。特别是,在一些情况下,从所述蚀刻处理产生的蚀刻副产物可以是极性质子类物质。此外,一些溶解的蚀刻副产物随着时间的推移可具有自我-凝结(self-condense)和交联的趋势,进一步减小它们在非极性环境中的可溶性。例如,从蚀刻氧化物层产生的溶解的氧化物前体通常是显示出所述趋势的极性质子类物质。可增加所述极性质子类物质的可溶性,并且,因此可通过向所述清洗处理采用的非极性低/无表面张力流体中加入一种或一种以上的极性助溶剂来降低自我凝结的趋势。特别是,一种或一种以上的极性助溶剂加入所述低/无表面张力流体可增加该流体的极性,使得其对于溶解的蚀刻副产物是较好的溶剂。在所述清洗溶液内的一种或一种以上的极性助溶剂的浓度在各应用中可有所不同,但是示例性的浓度范围可以,以重量计,是约5%至约40%。然而,可考虑更大或更小的浓度。所述一种或一种以上极性助溶剂可包括如模块22中所述的酸、极性醇类和/或水。
在所述极性助溶剂包括酸的情况中,具有的pKa小于根据模块14所述的在蚀刻处理中采用的蚀刻溶液的pKa的酸是有利的。特别是,具有所述pKa值的酸可向所述低/无表面张力流体提供足够的极性以阻止溶解的蚀刻前体的初始凝结。选择酸的示例性pKa范围可取决于所述应用,但是,相对于上文根据模块14所述的蚀刻溶液,可特别考虑具有pKa值小于约6.4(并且在一些情况下小于约3.5)的酸。可考虑的示例性的酸包括三氟乙酸、乙酸、三氟甲磺酸、甲磺酸、苯甲酸、硝酸、硫酸和盐酸。附加地或替代地,水可与用于所述清洗溶液的所述低/无表面张力流体混合。除了用作为极性助溶剂以外,水还可通过使得这个脱水处理热力学不利凝结的方式而帮助防止蚀刻副产物凝结。在其它实施方式中,所述清洗溶液的一种或一种以上极性助溶剂可附加地或替代地包括极性醇,例如但不限于甲醇、乙醇和异丙醇。
如图1中的模块20所示,其中阻止蚀刻副产物在所述微电子形貌上沉淀的附加的或替代的方法可包括化学配置所述蚀刻溶液和/或所述清洗溶液以对溶解的蚀刻副产物进行改性。特别是,在所述蚀刻处理和清洗处理中之一或者二者的持续时间期间,以及一些情况下整个持续时间期间,可至少部分地添加被配置用于对已知的蚀刻处理副产物进行改性的化学品,使得在蚀刻处理和/或清洗处理期间在微电子形貌的环境内分别增加所述蚀刻副产物的可溶性。所述蚀刻副产物与该改性的化学品之间的相互作用将降低它们凝结和沉淀到微电子形貌上的趋势。在所述蚀刻溶液和/或清洗溶液内的改性的化学品的浓度在各应用中可有所不同,但是示例性浓度范围可包括,以重量计,高达约10%。然而,可考虑更高的浓度。所述化学品与蚀刻副产物之间的相互作用可以是共价或非共价的。
例如,在其中采用本文所述的方法对微电子形貌的氧化物层进行蚀刻的情况下,在所述蚀刻处理和(在一些情况下)所述清洗处理期间,所述溶解的氧化物蚀刻副产物(例如溶解的羟基硅烷复合物(Si(OH)4))可停留在所述微电子形貌的环境内。如下文所述,溶解的羟基硅烷复合物(Si(OH)4)可能是由用于蚀刻氧化物层的氟-基蚀刻处理所产生。然而,在所述蚀刻处理和/或清洗处理期间向所述微电子形貌的环境添加改性的化学品,以对所述溶解的羟基硅烷复合物进行改性,特别是阻止它们的凝结和沉淀。示例性改性化学品可包括但不限于硅氮烷、氯硅烷、羟基硅烷、烷氧基硅烷、二氯亚砜、酸酐、羧酸、异氰酸酯、胺、铵盐、醇、醚和表面活性剂。在一些情况下,可加入酸、碱或各种催化剂以促进与羟基硅烷的反应。改性的化学品除了具有如上所述的反应活性部分以外,该化学品还可包括非反应活性部分,所述非反应活性部分包含有促进在所述蚀刻处理和/或清洗处理中采用的低/无表面张力流体中的溶解性的基团。示例性非反应活性部分包括烃、碳氟化合物和硅酮。在一些情况下,所述非反应活性部分可以是空间上大体积的(sterically bulky)以进一步有助于防止羟基硅烷凝结。
在一些情况下,使改性化学品和蚀刻副产物之间的相互作用的热力学和动力学效果最优化可能是特别有利的。特别是,对所述反应的热力学和动力学效果进行优化通常可使所述改性化学品阻止副产物沉淀的有效性最大化。为改善所述相互作用的热力学效果,可采用与所述蚀刻副产物高反应活性的官能团。例如,对于与羟基硅烷复合物反应,在热力学上,硅氮烷和氯硅烷可是有利的。为了有利于所述相互作用的动力学效果,采用显著过量的改性化学品可能是有益的。例如,在一些情况下,在1升压力容器中对涂覆在300mm晶片上的1μm厚的氧化物进行蚀刻,在这样的情况中,溶解的氧化物的摩尔浓度应为约0.01M。在该实施例中,为了在所述化学品和蚀刻副产物之间提供足够的动力学相互作用,在所述蚀刻溶液和/或清洗溶液内的改性化学品的摩尔浓度可以是大于约0.1M,使得所述蚀刻副产物不沉淀。此外,针对蚀刻处理和/或清洗处理(例如上面参见模块16描述的蚀刻处理)而采用流通处理,从而在蚀刻副产品形成时即将其从衬底表面清除并且降低其在微电子形貌的环境中的局部的和绝对的浓度,这从动力学角度而言也是有利的。
除了采用对所述蚀刻副产物有高反应活性的改性化学品以外,所述改性化学品与其本身没有反应活性是进一步有益的。然而,如果采用自身-反应活性(self-reactive)的化学品,优选采用单官能的改性化学品,以使得仅形成二聚物。例如包含有烷氧基硅烷官能度的改性化学品可能会与羟基硅烷基团适当地反应,但是还会自身-凝结(self-condense)。每个分子包含有大于一种自身-凝结官能度的二烷氧基硅烷和三烷氧基硅烷将很可能会导致低聚物的形成和/或交联的硅烷,所述低聚物和/或交联的硅烷很难保持在低/无表面张力流体中的可溶性。因此,当在蚀刻溶液或清洗溶液中采用烷氧基硅烷或类似的自身-反应性改性化学品时,将优选采用单烷氧基硅烷,这是因为从它们的自身-凝结反应形成的硅烷二聚物将仍保持在低/无表面张力流体中的可溶性。类似的原因可适用于通常是自身-反应性的氯硅烷,因此,优选是单氯硅烷。
在常规处理中氟-基蚀刻处理的氧化物蚀刻产物易于凝结和沉淀在微电子形貌上的原因的解释将在下文中概述。虽然上文根据模块20和22所述的溶液的变化可适用于防止氧化物蚀刻副产物的凝结和沉淀,值得注意的是本文所述的方法不受限于此。具体地,根据模块20和22(以及上述的模块16)所述的用于阻止蚀刻副产物沉淀的创意的总的构思可适用于防止含任意组分的蚀刻产物的沉淀,这取决于采用的所述蚀刻溶液和被蚀刻的层的材料组分。此外,根据模块16、20和22所述的工艺不一定是互相排斥的。特别是,本文所述的方法可根据制造工艺的设计规范而采用所述工艺的任意组合或所述工艺中的任一种。
在氧化物层蚀刻处理中,不考虑采用的氟-基蚀刻化学品,生成的SiF4作为所述蚀刻副产物之一。SiF4与水快速反应,形成如式1中概述的羟基硅烷复合物(Si(OH)4):
SiF4+4H2O→Si(OH)4+4HF             (1)
由于羟基硅烷复合物在非极性环境中的可溶性有限,羟基硅烷复合物将开始与其它羟基硅烷凝结,形成如式2所示的硅烷低聚物(即(HO)3Si-O-Si(OH)3):
Si(OH)4+Si(OH)4→(HO)3Si-O-Si(OH)3           (2)
所述硅烷低聚物可能继续凝结,再形成如式3所示的氧化物(即,(Si-O)n)并从溶液沉淀出来:
(HO)3Si-O-Si(OH)3+n(HO)3Si-O-Si(OH)3→(Si-O)n+nH2O  (3)
然而,根据模块16、20和22所述的处理和/或溶液改性已显示可在氧化物蚀刻处理期间和之后阻止氧化物蚀刻副产物凝结和沉淀在微电子形貌上。
如上所述,在一些情况下,通过采用液态的流体可进行所述蚀刻处理和/或清洗处理。在所述情况下,在所述蚀刻处理和/或清洗处理期间和/或之后,一种或一种以上的液体的残留物可保留在围绕器件结构的微电子形貌上。如上所述,由于液体的表面张力,在一些实施方式中,所述残留物可引起器件结构塌陷。然而,本文所述的方法通过使所述微电子形貌经历一系列阻止特征塌陷的处理步骤而避免所述不利的影响,其在图1的模块24-30中概述并在下文中有更为详细的描述。
除了在模块24-30中概述的一系列步骤以外,一种防止特征塌陷的方法可在所述处理腔室内建立超临界环境之前保持所述器件结构浸没在液体内。特别是,这样的预防可防止所述微电子形貌过早干燥和/或防止微电子形貌的器件结构接触不同介质的界面张力。假设,由于特征间距继续减少并且器件结构的高宽比继续增加(例如高宽比为大致约20∶1或更大),在形貌干燥之前界面张力可引起器件结构塌陷。更具体地,在一些实施方式中,假设所述器件结构与液体-气体界面或液体-液体界面的单纯接触可增加特征塌陷的可能性。如此,允许微电子形貌过早干燥可能不是导致特征塌陷的唯一因素。
浸没微电子形貌的器件结构所需的液体的量在各应用中通常可有所不同。然而,在一些实施方式中,对于所述器件结构的上表面在液体-气体界面以下并与液体-气体界面距离至少3mm可能是有利的,并且在一些情况下,距离约3mm至约25mm,并且更具体地,距离约5mm至约12mm可能是有利的。没有受理论的限制,可猜想所述浸没范围可以在处理腔室内建立超临界环境之前足以防止微电子形貌干燥和/或防止在其上的器件结构被暴露。在一些情况下,然而,可考虑较少的浸没缓冲液。在一些实施方式中,所述蚀刻溶液和/或清洗溶液还可附加地或替代地被配置用于防止微电子形貌的器件结构周围形成液体-液体界面。特别是,在一些实施方式中,所述蚀刻溶液和/或清洗溶液可包括增加所述两种流体的分散力的物质,例如表面活性剂。然而在其它实施方式中,可在处于超临界状态的流体存在下实施所述蚀刻处理和清洗处理。
应注意的是液体中的浸没器件结构不一定是本文所述的方法所必需的。特别是,所述方法可替代地包括将所述蚀刻溶液和/或清洗溶液添加到所述微电子形貌上,使得液体制剂(liquidformulations)位于所述器件结构的上表面水平以下。更具体地,理论上,在所述处理腔室内建立超临界流体的环境之前,微电子形貌可能干燥或者器件结构可能被暴露的可能性并且,因此器件结构可能易受特征塌陷的影响的可能性,可取决于所述器件结构的特征间距和/或高宽比。特别是,在本文所述的方法和溶液的研究中,在其中器件结构没有浸没在液体制剂中的所有情况下,没有发生特征塌陷,但是具有器件结构的较小特征间距和/或更大的高宽比似乎是更为普遍。未对需要器件结构浸没的特征间距和高宽比的特定范围进行研究,但是应注意的是在基于本文所提供的教导的基础上所述尝试不需要本领域技术人员的过多的实验。因此不一定需要浸没器件结构,而是作为针对本文所述的蚀刻处理和/或清洗处理的可选的操作过程。
如上文以及图1的模块26所述,所述方法包括在图1的模块10-30中概述的一系列处理中的某点在所述处理腔室内提供加热环境,使得在处理腔室内的低/无表面张力流体在模块30中处于其临界温度或其临界温度以上以进行所述的排放处理。在该方法中,处理腔室内的低/无表面张力流体可设定用于所述排放处理的超临界状态。例如,当二氧化碳位于所述处理腔室内时所述处理腔室可被加热至高于或等于约31℃的温度,或者,替代地,当六氟化硫处于所述处理腔室内时所述处理腔室可被加热至高于或等于约45.5℃的温度。
如上所述,用于被配置产生和承受本文所述的压强的处理腔室的加热机构可能是复杂的,尤其是有高温需要时。此外,加热处理腔室所需的能量的量通常可随温度的要求以指数倍数增加。因此,在一些实施方式中,让加热所述处理腔室以获得低/无表面张力流体的超临界状态所达到的温度降到最低可能是有利的。例如,当在所述处理腔室内采用二氧化碳时,限制加热所述处理腔室至约31℃-约60℃可能是有利的,并且在一些实施方式中,约31℃-约40℃可能是有利的。然而,可采用更高的温度。在一些情况下,加热所述处理腔室至比所述低/无表面张力流体的临界温度高一度或一度以上的温度范围可能是有利的,可确保所述流体的超临界状态可被保持用于根据模块30描述的排放处理。例如,当在所述处理腔室内采用二氧化碳时,在约35℃-约40℃的温度范围内加热所述处理腔室可能是有利的。可考虑其它温度范围。
在一些实施方式中,可在模块12的对处理腔室加压后,实施提供加热的环境(即模块26)的处理。换言之,对处理腔室进行加压的处理可在低于在所述处理期间采用的低/无表面张力流体的临界温度的温度范围内施行。在所述实施方式中,所述处理腔室内达到饱和蒸气压时,所述低/无表面张力流体将转换成液态。在采用二氧化碳的所述方法中用于对处理腔室加压的示例性温度范围通常可包括在小于约30℃(更具体地在约0℃-约20℃之间)的温度将二氧化碳引入到所述处理腔室内。在处理腔室内达到饱和蒸气压之后的某个时间,处理腔室的温度可升高至等于或大于低/无表面张力流体的临界温度的温度。在达到临界温度的点,所述低/无表面张力流体将转变成超临界状态。所述超临界状态以及,因此等于或大于所述低/无表面张力流体的热力学临界点的温度和压强,优选保持直到至少实施根据模块30的下文中描述的排放处理。
在其它实施方式中,所述处理腔室可预先加热至给定的流体的临界温度(即,在对具有低/无表面张力流体的处理腔室进行加压之前,并且甚至在将所述微电子形貌载入所述处理腔室之前)。与在对所述处理腔室进行加压和/或载入所述微电子形貌之后提供加热的环境相比,所述实施方式的优点是可能这样做会更有时间效率。特别是,由于所述处理腔室不得不产生和承受相对高的压强,所述处理腔室具有相当厚的壁。升高所述处理腔室内的温度可能需要大量的时间(例如大约30-60分钟),这可能实质上延迟了制造工艺并且,因此不符合产率的要求。在供选择的情况下,在对所述处理腔室进行加压(即模块12)的同时可进行提供加热的环境的处理(即,在所述处理腔室内建立临界温度)。在任意情况下,所述临界温度可在其后保持直至至少实施下文根据模块30所述的排放处理。在该方法中,当处理腔室内的低/无表面张力流体达到其超临界压强时,所述流体将转变并保持在超临界状态。
不考虑何时处理腔室内的低/无表面张力流体被加热至其临界温度,在一些实施方式中,所述方法可继续模块24以在所述处理腔室内建立超临界状态的低/无表面张力流体的纯环境。换言之,本文所述的方法可在所述处理腔室内建立环境,所述处理腔室内不含有根据所述蚀刻处理和清洗处理预先加入到所述处理腔室内的补充的溶液组分。在该方法中,所述处理腔室可用在所述蚀刻处理和清洗处理期间添加的任意补充的化学品来冲洗。此外,如果在根据模块18所述的在先的清洗处理中尚未建立针对处于超临界状态的低/无表面张力流体的环境,模块24可在处理腔室内建立所述环境。
在一些实施方式中,用于建立模块24所述的环境的低/无表面张力流体与所述清洗溶液中采用的低/无表面张力流体相同。在所述情况下,用于所述清洗处理的所述低/无表面张力流体的供应可持续没有清洗辅助剂的供应。然而在其它实施方式中,用于建立根据模块24所述的环境的低/无表面张力流体可与所述清洗溶液中采用的低/无表面张力的流体不同。特别是,根据模块24所述的处理可包括在一段预定的时间内使微电子形貌接触不同于所述清洗溶液的流体,以使清洗溶液从所述处理腔室排出来。在所述情况下,为模块24的处理引入的流体的压强可大于处理腔室内的清洗溶液的压强。
在一些情况下,用于建立根据模块24所述的环境的低/无表面张力流体可在一些实施方式中与所述腔室内的清洗溶液不相混溶。此外,在一些情况下,用于建立根据模块24所述的环境的低/无表面张力的流体可比所述处理腔室内的清洗溶液具有更低的密度、临界温度和焦耳-汤普森(Joule-Thompson)膨胀系数。如下文根据模块28所述,具有这些特征的流体可适用于相对于模块30的更快的排放,这是因为所述处理腔室内的压强可以更快的速度减小且不损坏所述微电子形貌上的特征。用于建立这样的情况下的根据模块24所述的环境的示例性流体可包括但不限于氦气、氩气、氮气、氧气及其混合物。在所述情况下,一旦在所述处理腔室内建立了处于超临界状态的流体的纯环境,模块24的处理可在模块30的排放处理期间实现下文所述的根据模块28的有益效果,并且因此可不需要采用与模块24的处理分开的模块28的处理。
总之,根据模块24的处理可包括将气态的低/无表面张力流体引入到所述处理腔室内而同时对所述处理腔室进行排放。根据所述处理腔室的温度,所述低/无表面张力流体可设定液态或超临界状态。在其中所述处理腔室不处于其临界温度的情况下,所述处理腔室可能是给定时间来升高所述低/无表面张力流体的温度以针对随后的模块30概述的排放处理设定超临界状态。在足以基本除去任意补充的化学品的一段时间之后以及在建立超临界状态的时间之后,可停止引入所述低/无表面张力流体并且所述排放处理可继续且成为模块30中概述的排放处理的一部分。
模块30中概述的排放处理可用于将所述超临界流体转换为气态或者用于在流通处理中将所述超临界流体冲洗出所述处理腔室。在这两种情况下,以足以防止在处理腔室内形成液体的方法进行模块30的排放处理。例如,在第一种情况下,可通过以允许低/无表面张力流体从超临界状态直接过渡至气态且没有形成液相的速率对所述处理腔室进行排放而执行模块30的排放处理。特别是,可控制所述排放速率以避免会导致形成与所述微电子形貌接触的液滴的膨胀冷却。在一些情况下,然而,例如当二氧化碳是超临界流体时,所述过渡工艺是耗费时间的。特别是,超临界二氧化碳具有高的焦耳-汤普森膨胀系数,这意味着当所述流体膨胀成气相时需要耗费大量的热。由于所述与膨胀有关的冷却导致液态二氧化碳的形成,如果需要快速排放处理(例如小于约1分钟),则这可能会有问题,其中所述液态二氧化碳根据所述处理腔室内的压强或者沸腾成气体或变回至超临界相。不论发生何种情况,所述相变会导致所述微电子形貌的精密的特征的损坏。
实现加快模块30的排放处理的一种方法是采用不同的超临界流体替换处理腔室内的超临界流体。在DeYoung等的U.S.专利号为6,602,351的美国专利以及DeYoung等的U.S.专利号为6,905,555的美国专利中提供这种处理的详细的描述,其通过以视同在此进行了全面描述的引用方式并入本发明中。在图1的模块28中描述了可选的处理,将不同的流体引入到所述处理腔室内,同时排放所述处理腔室,使得根据模块24从所述处理腔室建立的超临界流体被替换。所述不同的超临界流体通常与所述腔室内的流体不相混溶。此外,所述不同的超临界流体可能比所述处理腔室内的流体具有更低的密度、临界温度和焦耳-汤普森膨胀系数。因此,可以远远更快的速率减小所述处理腔室的压强且不损坏所述微电子形貌上的特征。此外,该技术不会引起在所述处理腔室内形成液体,并且因此减少特征塌陷的问题。可用于加快排放处理的示例性超临界流体包括但不限于氦气、氩气、氮气、氧气及其混合物。
在任意情况下,在所述处理腔室内的压强减小到大气压强或者所述处理腔室所在的环境的环境压强之后,所述微电子形貌可能是干燥的并且没有损坏。可在所述处理腔室内或者在不同的处理腔室内接着进行所述微电子形貌的进一步的处理。
应理解,对于从本公开得到启示的本领域的技术人员而言,相信本发明提供用于在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物凝结和沉淀在微电子形貌上的方法。根据本说明书,对于本领域技术人员而言,本发明的各方面的进一步的修改或替换的实施方案将是显而易见的。例如,虽然本文所述的大部分实施例引用了二氧化碳作为用于所述蚀刻处理和清洗处理的低/无表面张力流体,但是本文所述的方法不受此所限。因此,本说明书被解释为仅是说明性的并且是基于教导本领域技术人员关于执行本发明的通用的(general)方式。应理解的是本文中已显示并描述的本发明的各形式被认为是本发明的目前的优选实施方式。对于本文中所图示和解释的要素和材料可以替换,步骤和过程可以颠倒,以及本发明的某些特征可以独立采用,对于得到本发明的说明书的启示的本领域技术人员而言所有的这些将是显而易见的。在不脱离如以下权利要求书所述的本发明的精神和范围的情况下,可改变本文所述的要件。

Claims (21)

1.处理微电子形貌的方法,包括:
将微电子形貌载入处理腔室内;
将蚀刻溶液引入到所述处理腔室内,以选择性蚀刻包含有所述微电子形貌的上表面的层,其中所述处理腔室内的所述蚀刻溶液包括处于超临界状态或液态的流体;
随后将清洗溶液引入到所述处理腔室内,其中所述清洗溶液包括处于超临界状态或液态的流体;以及
在引入所述蚀刻溶液和引入所述清洗溶液的两个步骤的期间均至少部分地将化学品引入所述处理腔室内,其中所述化学品是化学配置用于对在所述微电子形貌的周围环境内的溶解的蚀刻副产物进行改性,从而阻止所述溶解的蚀刻副产物在所述微电子形貌上沉淀,其中所述改性使得在蚀刻处理和清洗处理期间在微电子形貌的环境内分别增加所述蚀刻副产物的可溶性。
2.根据权利要求1所述的方法,其中将所述化学品引入所述处理腔室的所述步骤包括在引入所述蚀刻溶液和引入所述清洗溶液的步骤中的至少一个步骤的整个持续期间将所述化学品引入所述处理腔室内。
3.根据权利要求1所述的方法,其中所述蚀刻副产物包括氧化物蚀刻副产物。
4.根据权利要求3所述的方法,其中所述蚀刻副产物包括羟基硅烷基团。
5.根据权利要求1所述的方法,其中所述化学品是硅氮烷、氯硅烷或烷氧基硅烷。
6.根据权利要求1所述的方法,其中所述化学品选自羟基硅烷、氯化亚砜、酸酐、异氰酸酯和铵盐。
7.根据权利要求1所述的方法,进一步包括在将所述化学品引入所述处理腔室的所述步骤期间至少部分地将催化剂引入到所述处理腔室,以促进与所述蚀刻副产物的反应。
8.根据权利要求1所述的方法,其中所述化学品包括对蚀刻副产物不具有反应活性的部分。
9.根据权利要求8所述的方法,其中所述部分包括烃、氟碳化合物或硅酮。
10.根据权利要求8所述的方法,其中所述部分是空间上大体积的。
11.根据权利要求1所述的方法,其中所述化学品包括高达所述蚀刻溶液或所述清洗溶液的以重量计的约10%。
12.根据权利要求1所述的方法,其中引入所述蚀刻溶液的所述步骤包括将所述蚀刻溶液的新鲜组分引入到所述处理腔室,同时排放所述处理腔室。
13.根据权利要求1所述的方法,其中所述化学品包括单烷氧基硅烷或单氯硅烷。
14.根据权利要求1所述的方法,其中所述清洗溶液进一步包括与所述流体混合的一种或一种以上的极性助溶剂。
15.根据权利要求14所述的方法,其中所述一种或一种以上的极性助溶剂包括具有比所述蚀刻溶液的pKa更低的pKa的酸。
16.根据权利要求14所述的方法,其中所述一种或一种以上的极性助溶剂包括水和极性醇。
17.根据权利要求1所述的方法,进一步包括建立处于超临界状态的所述流体的纯环境以从所述处理腔室替换所述清洗溶液。
18.根据权利要求1所述的方法,进一步包括在将所述清洗溶液引入到所述处理腔室的所述步骤之后在比所述处理腔室内的所述清洗溶液的压强更大的压强下将不同的流体引入到所述处理腔室,其中所述不同的流体与所述清洗溶液不相混溶,并且其中将所述不同的流体引入到所述处理腔室的所述步骤对所述处理腔室内的所述清洗溶液进行了替换。
19.根据权利要求1所述的方法,其中所述随后将清洗溶液引入到所述处理腔室内的步骤包括将包含有温度和压强高于所述流体的热力学临界点的约90%的所述流体的清洗溶液引入到所述处理腔室。
20.根据权利要求1所述的方法,其中选择性蚀刻所述层的所述步骤包括选择性蚀刻包埋所述微电子形貌内的多个器件结构的牺牲层。
21.根据权利要求1所述的方法,其中所述流体是二氧化碳。
CN201080004796.8A 2009-01-20 2010-01-05 防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀的方法 Active CN102282653B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/356,170 US9620410B1 (en) 2009-01-20 2009-01-20 Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US12/356,170 2009-01-20
PCT/US2010/020093 WO2010090780A1 (en) 2009-01-20 2010-01-05 Methods for preventing precipitation of etch byproducts during an etch process and/or a subsequent rinse process

Publications (2)

Publication Number Publication Date
CN102282653A CN102282653A (zh) 2011-12-14
CN102282653B true CN102282653B (zh) 2014-10-22

Family

ID=42542346

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080004796.8A Active CN102282653B (zh) 2009-01-20 2010-01-05 防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀的方法

Country Status (7)

Country Link
US (1) US9620410B1 (zh)
JP (1) JP2012516035A (zh)
KR (1) KR101791701B1 (zh)
CN (1) CN102282653B (zh)
SG (1) SG173012A1 (zh)
TW (1) TWI534874B (zh)
WO (1) WO2010090780A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117568038A (zh) * 2016-12-26 2024-02-20 秀博瑞殷株式公社 蚀刻用组合物和使用该蚀刻用组合物制造半导体器件的方法
JP2019062039A (ja) 2017-09-26 2019-04-18 株式会社東芝 エッチング装置及び方法、処理システム、並びに、物品、半導体装置及び半導体チップの製造方法
CN110153097A (zh) * 2019-05-17 2019-08-23 深圳市华星光电技术有限公司 设备清洗方法、装置及显影设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306754B1 (en) * 1999-06-29 2001-10-23 Micron Technology, Inc. Method for forming wiring with extremely low parasitic capacitance
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3829541A1 (de) 1987-09-03 1989-03-16 Ricoh Kk Blattfoermige elektrode, verfahren zur herstellung derselben und diese enthaltende sekundaerbatterie
KR100253086B1 (ko) 1997-07-25 2000-04-15 윤종용 반도체장치제조를위한세정용조성물및이를이용한반도체장치의제조방법
US5962743A (en) 1998-11-12 1999-10-05 Catalytica Pharmaceuticals, Inc. Process for preparing acylaromatic compounds
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US7044143B2 (en) 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
AU2002211546A1 (en) 2000-10-13 2002-04-22 Micell Technologies, Inc. Device and process for dry-cleaning process using carbon dioxide and a divided pressure vessel
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6613157B2 (en) 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6602351B2 (en) 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6641678B2 (en) 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
DE10109564A1 (de) 2001-02-28 2002-09-12 Infineon Technologies Ag Grabenkondensator und Verfahren zu seiner Herstellung
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
WO2003064065A1 (en) 2002-01-25 2003-08-07 Supercritical Systems Inc. Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6953041B2 (en) 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US7223352B2 (en) 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7011716B2 (en) 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US6624127B1 (en) 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
US6735978B1 (en) 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7799141B2 (en) 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050118832A1 (en) 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
JP4464125B2 (ja) 2003-12-22 2010-05-19 ソニー株式会社 構造体の作製方法及びシリコン酸化膜エッチング剤
US7141496B2 (en) 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US7250374B2 (en) 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7291565B2 (en) 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060180572A1 (en) 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US20060186088A1 (en) 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
KR20070120609A (ko) 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
KR20080023346A (ko) 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
US20070095367A1 (en) 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
JP2007142335A (ja) 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
JP2007305677A (ja) * 2006-05-09 2007-11-22 Sony Corp 洗浄方法及び半導体装置の製造方法
US8084367B2 (en) 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US20080241489A1 (en) * 2007-03-30 2008-10-02 Renesas Technology Corp. Method of forming resist pattern and semiconductor device manufactured with the same
US20100184301A1 (en) 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306754B1 (en) * 1999-06-29 2001-10-23 Micron Technology, Inc. Method for forming wiring with extremely low parasitic capacitance
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes

Also Published As

Publication number Publication date
WO2010090780A1 (en) 2010-08-12
US9620410B1 (en) 2017-04-11
TWI534874B (zh) 2016-05-21
TW201034061A (en) 2010-09-16
KR101791701B1 (ko) 2017-10-30
JP2012516035A (ja) 2012-07-12
KR20110110777A (ko) 2011-10-07
CN102282653A (zh) 2011-12-14
SG173012A1 (en) 2011-08-29

Similar Documents

Publication Publication Date Title
CN102160149B (zh) 用于在微电子拓扑制造期间防止特征塌缩的方法和系统
CN102282652A (zh) 在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物沉淀的方法
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
US5980770A (en) Removal of post-RIE polymer on Al/Cu metal line
WO2004105093A2 (en) Tetra-organic ammonium fluoride and hf in supercritical fluid for photoresist and residue removal
CN102934207B (zh) 保护膜形成用化学溶液
US9228120B2 (en) Liquid chemical for forming protecting film
TW201311869A (zh) 選擇性蝕刻氮化矽之組成物及方法
JPH06295898A (ja) 有機金属化合物および有機ケイ素化合物の残留物と損傷酸化物を選択的に除去するための方法
WO2008002443A1 (en) Repairing and restoring strength of etch-damaged low-k dielectric materials
TW202026403A (zh) 氮化矽蝕刻組合物及方法
CN102282653B (zh) 防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀的方法
US20060122083A1 (en) Remover composition
WO2021112932A2 (en) Improved formulations for high selective silicon nitride etch
Knotter The chemistry of wet etching
TWI385720B (zh) Etching composition and etching treatment method
EP1877530A2 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
CN112513192A (zh) 表面处理组合物及方法
CN106952803A (zh) 半导体元件的清洗用液体组合物及半导体元件的清洗方法、以及半导体元件的制造方法
US20010006166A1 (en) Removal of post-rie polymer on a1/cu metal line
CN116710597A (zh) 湿式蚀刻溶液及湿式蚀刻方法
TW202400755A (zh) 氮化矽蝕刻組合物及方法
TW202418385A (zh) 基板處理方法、及基板處理裝置
KR20070090199A (ko) 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant