CN102282652A - 在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物沉淀的方法 - Google Patents

在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物沉淀的方法 Download PDF

Info

Publication number
CN102282652A
CN102282652A CN2010800047949A CN201080004794A CN102282652A CN 102282652 A CN102282652 A CN 102282652A CN 2010800047949 A CN2010800047949 A CN 2010800047949A CN 201080004794 A CN201080004794 A CN 201080004794A CN 102282652 A CN102282652 A CN 102282652A
Authority
CN
China
Prior art keywords
fluid
treatment chamber
cleaning solution
etch
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800047949A
Other languages
English (en)
Inventor
马克·I·瓦格纳
詹姆斯·P·德扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102282652A publication Critical patent/CN102282652A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

处理微电子形貌的方法,包括使用包括处于超临界或液体状态的流体的蚀刻溶液选择性蚀刻所述形貌的层。在一些实施方式中,所述蚀刻处理可以包括将蚀刻溶液的新组分引入到处理腔室,同时排放腔室以阻止蚀刻副产物的沉淀。包括处于超临界或液体状态的流体的清洗溶液可以继所述蚀刻处理之后引入到腔室内。在一些实施例中,所述清洗溶液可以包括一种或一种以上的与所述流体混合极性助溶剂,例如酸、极性醇类和/或水,以帮助阻止蚀刻副产物的沉淀。附加地或替代地,蚀刻溶液和清洗溶液中的至少一种可以包括配置为在所述形貌的环境中对溶解的蚀刻副产物进行改性的化学品,以阻止所述蚀刻副产物沉淀。

Description

在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物沉淀的方法
技术领域
本发明总体上涉及处理微电子形貌(topography)的方法和溶液,并且更具体地,在蚀刻处理和/或随后的清洗处理中防止在微电子形貌上沉淀蚀刻副产物的方法。
背景技术
以下的描述和实施例并不因为包含于该部分中而成为现有技术。
微电子形貌的制造通常包括多个处理步骤,包括但不限于,沉积、图案化和蚀刻材料以形成器件结构的集成件。在一些实施例中,导电结构可以形成于微电子形貌的牺牲层内,然后一部分或所有牺牲层可以被随后移除,暴露导电结构的侧壁。因此,该微电子形貌可以用去离子水清洗以移除该蚀刻溶液和/或副产物,且随后干燥。在一些实施例中,该蚀刻、清洗和/或干燥处理可以导致导电特征塌陷(例如,彼此向对方倒塌)从而使微电子形貌不能使用。特征塌陷的发生越来越多,特别是因为以增加处理速率和集成电路的存储密度为紧迫的目标而造成的结构的宽度尺寸不断减少和因此导致的高宽比增加。特别是,在一些实施例中,似乎导电结构的高宽比可以被增加到导电结构之间的流体表面张力就能导致导电特征塌陷的程度。
示出的一种减轻特征塌陷的一项技术是在超临界流体的环境中蚀刻牺牲层,随后排放蚀刻腔室,以防止该形貌上形成液体。一般而言,超临界流体没有表面张力。依此来说,通常在这样的处理过程中,在形貌的器件结构之间没有放置具有表面张力的流体。因此,特征塌陷可以减少。然而,在超临界流体环境中蚀刻的缺点是在蚀刻处理过程中生成的蚀刻副产物在超临界流体中倾向于有低的溶解性,且易于沉淀到形貌上。在一些实施例中,蚀刻副产物的沉淀可以不符合要求地改变并且,在一些实施方式中,不利地影响随后生产的器件的功能。例如,在一些实施例中,溶解的氧化物的沉淀可以在导体器件结构上增加接触电阻。如此,在进行容易生成沉淀材料的蚀刻处理后可能需要进行移除沉淀材料的处理。然而,为了移除沉淀在形貌上的材料,通常需要湿法清洗。如上所述,由于流体的表面张力,在具有器件结构的微电子形貌上使用湿法处理可导致特征塌陷。
因此,研发在超临界流体环境中蚀刻形貌部分的期间和其后防止蚀刻副产物沉积到形貌上的方法是有利的。
发明内容
上述问题在很大程度上可以通过修改(modify)蚀刻处理和/或随后的清洗处理和溶液以防止蚀刻副产物在微电子形貌上的沉淀而解决。以下仅仅是使用这些修改的方法的具体实施方式,且不能以任何方式被解释为限制权利要求的主旨。
该方法的实施方式包括在处理腔室内装载微电子形貌,且可选择地使用包含超临界状态或液态的流体的蚀刻溶液蚀刻牺牲层,牺牲层包括微电子形貌的上表面。在一些实施例中,该方法可以包括,在蚀刻处理之前且至少在处理腔室内该流体达到饱和蒸气压或临界压强时将气体状态的流体引入到处理腔室。而且,在一些实施方式中,该方法可以包括于蚀刻处理后将清洗溶液引入到处理腔室,其中清洗溶液包括处于超临界状态或液态的流体。在一些实施例中,清洗溶液可以进一步包括与该流体混合的一个或多个极性助溶剂以帮助阻止蚀刻副产物沉淀到微电子形貌上。示例的极性助溶剂可以包括酸、极性醇和/或水。附加地或可选择地,在一些实施例中,该蚀刻处理可以包括将蚀刻溶液的新组分引入到处理腔室,同时使处理腔室排放以阻止蚀刻副产物沉淀在微电子形貌上。
附图说明
本发明的其它目的和优势在阅读以下具体描述和参考附图后会变得清楚,其中:
图1表示处理衬底的示例方法的流程图;并且
图2表示二氧化碳压强-温度相图。
然而本发明易于进行各种修改和替代形式,其具体实施方式通过附图中举例的方式示出,且会在此详细描述。然而应理解该图和详细描述并不意在将本发明限制在所公开的特定形式,相反,意在覆盖落入所附权利要求所限定的本发明的精神和范围的所有修改、等同和替代形式。
具体实施方式
现在看附图,参考图1,图解说明和描述在蚀刻处理和/或随后的清洗处理过程中防止在微电子形貌上凝结和沉淀蚀刻副产物的方法的示例实施方式。注意在此描述的方法并不必然限于图1所描绘的流程图。特别是,在此描述的制造微电子器件和/或电路的方法可以包括没有出现在图1中的关于微电子设备和/或电路的形成的其他步骤,包括图1所示的步骤之前、之中和/或之后执行的步骤。另外,以下将详细描述,图1所示的一些过程是可选择的,且,因此在此描述的方法的一些实施例中是可省略的。总之,在此使用的该术语“微电子形貌”可以涉及有一个或一个以上的用于形成微电子器件和/或电路的层和/或结构的形貌。如此,该术语可以指在微电子器件和/或电路的制造过程中任何阶段使用的形貌。微电子形貌可替代地指“半导体形貌”,如此,这两个术语在本文中可互换使用。
如图1的模块(block)10所示,在此描述的方法包括在处理腔室内载入微电子形貌。在下面将会更详细地描述,该处理腔室可以特别地被配置为将引入到腔室的流体转换至超临界状态,从而该微电子形貌可以以减轻特征塌陷的方式处理。通常,可以使用被配置为生成和承受这种压强的任何处理腔室。更具体地,可以使用被配置为生成和承受压强的任何处理腔室,该压强足以转换和/或维持该(些)流体处于超临界状态,该(些)流体被选择用于下文中参考图1中模块14、18和/或24所描述的处理。考虑到在此描述的方法,压强范围大于约1000psig可适合转换和/或维持许多流体,因此,通常配置为生成和承受大于约1000psig的压强的处理腔室可以使用。然而被配置为生成和承受较低的压强的处理腔室也可以使用。
在微电子形貌被装载入处理腔室内后,该处理腔室可以如图1模块12所示的被加压。一般而言,该加压处理可以包括将气体状态的流体引入处理腔室。在一些实施例中,用于加压腔室的流体可以是随后被用于可选择地蚀刻微电子形貌的层的流体,方法在下面将参考模块14中更详细地描述。在该实施例中,模块12所述的该加压处理可以包括将气体状态的流体引入处理腔室直到腔室压强达到等于或大于流体的饱和蒸气压或流体的临界压强。在这一点上,流体被转换成流体状态或超临界状态(取决于处理腔室内部的温度)。如下面参考模块14所进行的更详细的描述,使用液体状态或超临界状态的流体执行形貌的选择性蚀刻处理。如此,用随后用于蚀刻形貌的流体加压处理腔室可以提供一种易于将处理腔室从加压处理转变为蚀刻处理的方式。在其他实施方式中,然而,可以使用与选择地蚀刻所述形貌的流体不同的流体加压该处理腔室。例如,处理腔室可以用氮加压。在该实施例中,当引入随后用于选择性蚀刻处理的蚀刻溶液时,即将该氮从处理腔室中排出。
继续看模块14,微电子形貌层通过使用蚀刻溶液选择性蚀刻,该蚀刻溶液包括处于流体状态或超临界状态的至少一种流体。该至少一种流体是具有(或能够达到)充分低表面张力的流体(例如小于约30达因/cm(dynes/cm))或没有表面张力,因此,下文称之为“低/无表面张力流体”。如下所示,该蚀刻溶液包括其他化学品,其可以是液体、气体或等离子体状态,因此,该蚀刻溶液包括其它流体。该选择性蚀刻处理的持续时间通常取决于使用的蚀刻剂,但示例性的时间可以在约20秒到约1分钟之间。
如上所示,在超临界流体的环境中蚀刻微电子形貌对阻止随后的特征塌陷有利。特别是,超临界蚀刻环境通常能容易过渡至超临界干燥环境,从而可有效阻止特征塌陷。然而,在此所描述的方法并不必然限于在超临界环境中蚀刻。特别是,模块14概述的选择性蚀刻处理可替代地包括使用处于液体状态的低/无表面张力流体蚀刻微电子形貌的层。在该实施例中,液体的残留部分可以在蚀刻处理的过程中和/或蚀刻处理后保留在包围器件结构的微电子形貌之上。如上所述,由于液体的表面张力,所述残留部分有导致器件特征塌陷的可能性。然而,在此描述的方法让微电子形貌经历一系列的阻止特征塌陷的处理步骤从而避免了这样的有害影响,这在图1的模块24-30有概述,且下面将会详细描述。
为简化用于执行在此描述的方法中的处理腔室的复杂性和/或时间控制,让蚀刻溶液低/无表面张力流体有一个相对容易达到的热力学临界点(即,有一个相对低的临界温度和临界压强)可能是有利的,特别是在该流体用于随后的清洗该形貌和/或排放处理腔室的过程中时。下面将会详细描述,于本文描述的参照图1的模块30所述的排放处理之前的该方法的过程中,在某点于处理腔室中建立超临界环境。如此,在一些实施方式中,用于蚀刻处理的低/无表面张力流体与清洗和排放处理的相同,具有相对容易达到的热力学临界点的流体是符合需要的。示例性的流体包括但不限于二氧化碳和六氟化硫。
由于二氧化碳的相对低的31℃的临界温度,以下可能是特别有利的:参考模块14使用二氧化碳作为低/无表面张力流体来蚀刻微电子形貌,且在一些实施例中,还参考模块12给处理腔室加压和/或参考模块18清洗该形貌。特别是,将该处理所要求的温度降到最低,从而让加热机构(例如,处理腔室内部的热交换器或加热器)可以最小化,这可能是可取的。进一步地,相对于其它具有相对容易达到的热力学临界点的流体,二氧化碳是相对便宜的,因此,单单只为这一个原因,使用二氧化碳作为低/无表面张力流体来蚀刻微电子形貌,并且在一些实施例中,也用于加压处理腔室和/或清洗形貌是符合需要的。
如上所述,用于蚀刻微电子形貌的该低/无表面张力流体可以是液体状态或超临界状态,其通常取决于处理腔室内部的压强和温度。二氧化碳的压强-温度相图如图2所示以表明这样一种现象。当二氧化碳,例如,被用于蚀刻溶液来蚀刻该微电子形貌时,处理腔室可以被加压到的示例性压强范围可以在约800psig和约4000psig之间。在一些实施例中,当在用于蚀刻微电子形貌的蚀刻溶液中使用二氧化碳时,处理腔室的压强范围可以在约800psig和约2900psig之间。在一些情况下,处理腔室内二氧化碳的温度在其临界温度之上,并且,因此获得了超临界状态,在这样的情况下,处理腔室可以被加压到的示例性压强范围在约1100psig和约4000psig之间,更具体地,在约1500psig和约2900psig之间。
一般而言,配置为生成和承受大于约1000psig的压强的处理腔室的加热机构可能是复杂的,特别是要求高温时。进一步地,加热处理腔室所需的热量通常随着对温度的要求而成指数倍数地(exponentially)增加。如此,在一些实施例中,让加热处理腔室以获得低/无表面张力流体的特定状态所达到的温度降到最低是有利的。例如,当蚀刻形貌的蚀刻溶液使用二氧化碳时,限制加热处理腔室至低于约60℃的温度,且在一些实施例中,低于约40℃是有利的。然而,更高的温度也可以采用。例如在将液体状态的二氧化碳用于蚀刻溶液中来蚀刻微电子形貌的层的情况下,限制加热处理腔室到低于约30℃的温度,且在一些实施例中,低于约20℃是有利的。
除了上面提到的低/无表面张力流体,选择性蚀刻处理包括适于移除形貌层的其他化学品。示例性的化学品可以包括,例如,氯-基或氟-基等离子体腐蚀剂,例如CF4和/或CHF3。可替代地,可以使用包含可溶解于低/无表面张力流体的氟化氢(HF)的液体蚀刻溶液。例如,可将包含约0.1%到约10%的HF和约0.1%到约10%的水,以及余量的一种或者一种以上的极性助溶剂(均以重量计)的溶液加入到低/无表面张力流体中。也可以考虑包含HF的液体蚀刻溶液的其它组分。例如,在一些实施方式中,流体蚀刻溶液可以包括缓冲剂,例如氟化铵,其重量浓度在约0.1%到约10%之间。附加地或替代地,嘧啶加合物可以包含在蚀刻溶液中。在任何情况下,该其他化学品可在低/无表面张力流体被加入并且特定流体状态或超临界状态建立(例如通过加压处理)后加入到处理腔室。然而,在其它实施方式中,该其他化学品可以在低/无表面张力流体被引入腔室之前与其相混合。在这种情况下,低/无表面张力流体在被引入腔室时,可以是液体状态或气体状态,如果合适,在该处理腔室中被转换成特定液态或超临界状态。
一般来说,可考虑适用于在此描述的方法的微电子形貌可以包括半导体衬底,例如单晶硅衬底、镓-砷衬底、磷化铟衬底、硅-锗衬底、绝缘体基硅衬底或蓝宝石基硅衬底。该半导体衬底可以是掺杂n型或p型,在一些实施例中,可以在其中形成扩散区和/或隔离区。在一些实施例中,微电子形貌可以包括形成于半导体衬底上面和上方以及下面描述的器件结构和牺牲层下面的结构和层。形成于半导体衬底上面和上方的该结构和层可以包括,但不限于,电介层、金属化层、栅结构、触点结构、通孔或本地互联线。
如下所述,在此描述的方法可以特别适用于具有包埋在牺牲层内的器件结构的微电子形貌。特别是,在此描述的该方法可以特别适于提供一种方式,在该方式中,可选择地移除包括微电子形貌上表面的牺牲层,以便包埋在牺牲层内的器件结构的侧壁表面暴露,且进一步防止在这样的处理过程中器件结构的特征塌陷。然而,应注意在此描述的方法并不限于这些。特别是,在此描述的方法可以适用于任何微电子形貌,该微电子形貌具有一种材料,该材料可相对于该形貌的另一种材料被选择地蚀刻。特别是,在此描述的方法并不必然限于包括以下讨论的材料和结构的形貌。
如上所述,在一些实施例中,可考虑适用于在此描述的方法的微电子形貌可包括包埋在形成于半导体衬底上方的牺牲层内的多个器件结构。该器件的材料可以包括在半导体制造业中用于导体器件结构的任何材料,包括但不限于,多晶硅、铝、铜、钛、氮化钛、钨和/或它们的任何合金。尽管在此描述的方法可以适用于具有任何尺寸的器件结构的形貌,该方法特别适用于具有高宽比等于或大于约10∶1的器件结构的形貌,因为带有这样高宽比的器件结构通常更容易受特征塌陷的影响。在此使用的术语“高宽比”通常指特征的高度和宽度的比例。器件结构的示例宽度可以在约10nm和约250nm之间,器件结构之间的示例性间距可以在约10nm和约100nm之间。然而,其它尺寸的宽度和/或间距也是可以考虑的,特别当技术发展减少器件尺寸时。尽管并不必然这样限制,在一些实施方式中,该器件结构可以由镶嵌(damascene)工艺处理形成。特别是,器件结构的材料可以在牺牲层的沟槽内沉积,且形貌可以随后抛光以移除牺牲层上表面器件结构材料的一部分。
一般来说,牺牲层可以包括任何材料,该材料可以可相对于器件结构的材料选择地移除。牺牲层的示例性材料包括但不限于二氧化硅(SiO2)、四正硅酸盐玻璃(TEOS)、氮氧化硅(SiOxNy(Hz)),二氧化硅/氮化硅/二氧化硅(ONO)或者,一般而言,任何氧化层。在此使用的术语″氧化层″,可以通常指包含有氧原子的层,其中氧原子并入了该层中。以下会详细描述,在此描述的方法的一些可以被特别适用于牺牲层包括氧化层的处理。更具体地,在一些实施方式中,可化学配置所述蚀刻溶液和/或清洗溶液以在微电子形貌的环境中对溶解的氧化物蚀刻副产物进行改性,这样的实施方式可能特别适用于牺牲层包括氧化层的情况,既然蚀刻这样的层会生成氧化物蚀刻副产物。在这些例子中,蚀刻溶液和/或清洗溶液的化学配置的细节将在下文参考模块20更详细地描述。不管其组成如何,该牺牲层可以掺杂也可以不掺杂。如此,在一些实施方式中,该牺牲层可以包括硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃或氟硅酸盐玻璃(FSG)。
一般而言,选择性蚀刻处理可以移除牺牲层的邻近器件结构的部分,以便器件结构的侧壁表面暴露。在一些实施例中,选择性蚀刻处理可以被实施,以便该器件结构可以是独立的(freestanding)。在任何实施例中,该选择性蚀刻处理可以移除跨越微电子形貌的全部牺牲层或可以仅仅移除牺牲层邻近器件结构的一部分。在后者的实施例中,可以将准备保留在微电子形貌内的牺牲层的部分(例如,牺牲层不邻近器件结构的部分)覆盖掩模以便于选择性蚀刻处理。
如模块16所示,在一些实施方式中,在此描述的方法可以包括按照蚀刻溶液被引入腔室中的约相同的速率对处理腔室进行排放。这样同时发生的处理可以双重地(dually)指“流通处理”并且包括对腔室进行排放的同时将蚀刻溶液的新组分引入到处理腔室中。词语″新组分″可以通常指先前还没有进行通过处理腔室的处理的蚀刻溶液,因此,排除了回收的蚀刻溶液。引入蚀刻溶液的新组分的流通处理有利地让蚀刻处理产生的副产物从该处理腔室有效地被移除。由于副产物不会在处理腔室内逗留,它们在微电子形貌上沉淀的可能性较小。通过连接模块14和模块16之间的虚线和模块16的边界虚线可知,该排放处理是可选的,且因此在一些实施例中,可以从本文描述的方法中被省去。特别是,参考模块14描述的该蚀刻处理可以替代地包括引入批量蚀刻溶液进入处理腔室和用该批量蚀刻溶液处理微电子形貌。然而在其它实施例中,蚀刻溶液在蚀刻处理过程中可以被循环利用。
如图1的模块18所示,该方法包括在选择性蚀刻处理之后将清洗溶液引入到处理腔室。该清洗处理通常可以被用来从该形貌上移除、改性和/或冲淡残留的蚀刻溶液和/或蚀刻副产物,并且在一些实施方式中,可以进一步作为过渡清洗以降低在形貌上的任何液体的表面张力。如下所述,该清洗溶液包括至少一种液体状态或超临界状态的低/无表面张力流体[即,具有(或者能达到)充分的低表面张力(例如,低于约30dynes/cm)或无表面张力],类似于参考模块14描述的蚀刻溶液。正如下面还指出的,清洗溶液可以包括其他化学品,该其他化学品可以是液体、气体或等离子体状态,并且,因此,该清洗溶液可以包括其它流体。在任何情况下,清洗处理的持续时间可以变化,但通常少于约60秒。
在一些实施方式中,清洗溶液的低/无表面张力流体可以不同于用于蚀刻溶液中的低/无表面张力流体。在其它情况下,然而,清洗溶液的低/无表面张力流体可以包括与与在蚀刻溶液中使用的相同的低/无表面张力流体。在这样的实施方式中,蚀刻溶液和清洗溶液的这种共性可以促进从蚀刻处理至清洗处理的顺利过渡,特别在蚀刻处理包括于上文参考图1的模块16所讨论的流通处理的这样的实施方式中。更具体地,蚀刻处理可以通过停止引入任何其他蚀刻化学品(即,除低/无表面张力流体之外引入的化学品)到处理腔室而终止,并且,因此,清洗处理可以从将低/无表面张力流体继续引入处理腔室开始。替代地,清洗处理的开始可以相对于蚀刻处理的终止而延迟。
在任何情况下,为了简化用于本文描述的方法中的处理腔室的复杂性和/或时间控制,在一些实施方式中,清洗溶液的低/无表面张力流体具有相对容易达到的热力学临界温度(即,具有相对低的临界温度和临界压强)是有利的。特别是,如下面更为详细描述的,于本文描述的参照图1的模块30所述的排放处理之前的该方法的过程中,在某点于所述处理腔室中建立超临界环境。如此,在一些实施方式中,用于清洗处理的低/无表面张力流体与排放处理的相同,在这样的实施方式中,具有相对容易达到的热力学临界点的流体是符合需要的。示例性的流体可以包括但不限于二氧化碳和六氟化硫,在一些实施例中,可以用类似于为模块14中概括的蚀刻处理所描述的原由具体使用二氧化碳。在一些实施方式中,清洗溶液的低/无表面张力流体处于高于其热力学临界点的约90%的温度和压强下可能是有利的。特别是,当低/无表面张力流体用于模块24概括的处理时,这样的热力学处理范围可以帮助减少随后在该处理腔室内建立处于超临界状态的该低/无表面张力流体的纯环境所需的时间,这在以下将详细描述。
在一些实施方式中,清洗处理可以采用单一制剂(formulation)(即,在清洗处理过程中组分没有改变的单一制剂)。在替代的实施例中,该清洗处理可以采用多个不同的清洗制剂连续地引入到该处理腔室,并且,连续地暴露给该微电子形貌。例如,该清洗处理可以包括将具有不同低/无表面张力流体浓度的清洗制剂连续引入到处理腔室。然而在其它实施例中,清洗处理可以包括逐渐改变被引入处理腔室的清洗溶液的组分(例如,通过逐渐地改变溶液中低/无表面张力流体的浓度)。按这种方式,在微电子形貌的环境中的流体表面张力可以以与梯级(graduated)方式相对的线性方式改变。特别是,随着清洗处理的进展,引入处理腔室的低/无表面张力流体浓度的逐渐改变可以有利地使处理腔室内的清洗溶液基本上均质,因此,不同清洗制剂的明显界面可以避免,其如同在以下更为详细地描述的,从而可以帮助防止特征塌陷,特别当清洗溶液的低/无表面张力处于液体状态时。
在任何一种情况下,在一些实施方式中,随着清洗处理的进行,该清洗制剂可以被配置为增加被引入所述处理的低/无表面张力流体的浓度。特别是,随着清洗处理的进行,引入处理腔室的低/无表面张力流体的浓度的逐步(step-wise)增加或逐渐增加,可以促进图1中模块18概括的清洗处理和图1中模块24概括的建立处于超临界状态的低/无表面张力流体的纯环境的处理之间的顺利转换,此时,所述流体用于后一处理中。注意,被引入处理腔室的清洗制剂的数量通常取决于制作工艺的设计规格,因此,可以根据具体应用而改变。
如上所述,清洗溶液可以包括其他化学品(即,不同于非低/无表面张力流体的其他组分)。在一些实施例中,这样的其他化学品可以帮助防止溶解的蚀刻副产物在微电子形貌沉淀。例如,清洗溶液可以可选地包括如模块22所示的与低/无表面张力流体混合的一种或一种以上极性助溶剂。特别是,从蚀刻处理生成的蚀刻副产物,在一些实施方式中,可以是极性质子类物质。此外,随着时间的推移,一些溶解的蚀刻副产物可能有自身-凝结(self-condense)和交联的趋势,从而进一步降低了它们在非极性环境中的可溶性。例如,蚀刻氧化层生成的溶解的氧化物前体通常是呈现这种趋势的极性质子类物质。这些物质的可溶性可以增加,因此,通过向清洗处理中使用的非极性低/无表面张力流体加入一种或多种极性助溶剂,自凝的趋势降低。特别是,将一种或一种以上极性助溶剂加入到低/无表面张力流体增加了该流体的极性,以致其对溶解的蚀刻副产物是更好的溶剂。清洗溶液内一种或多种极性助溶剂浓度可以根据应用不同而不同,但示例性的浓度范围按重量百分比可以在约5%和约40%之间。然而,可以考虑更大或更小的浓度。该一种或多种极性助溶剂可以包括如模块22所示的酸,极性醇和/或水。
在极性助溶剂包括酸的情况下,具有低于参考模块14描述的用于蚀刻处理的蚀刻溶液的pKa的酸是有利的。特别是,具有这样pKa值的酸可以向低/无表面张力流体提供足够的极性以阻止溶解的蚀刻前体的初始凝结。从中选择酸的示例性的pKa的范围可以取决于需要,但是pKa小于约6.4的酸,在一些实施例中,小于约3.5相对于上面参考模块14中描述的蚀刻溶液是可以特别考虑的。示例性酸可以考虑包括三氟乙酸、乙酸、三氟甲磺酸、甲磺酸、苯甲酸、硝酸、硫酸和盐酸。附加地或者替代地,水可以与用于所述清洗溶液的低/无表面张力流体混合。另外作为一种极性助溶剂,水还可通过使得脱水处理热力学不利的方式而帮助防止蚀刻副产物凝结。在其它实施例中,清洗溶液的一种或多种极性助溶剂可以附加地或替代地包括极性醇,例如但不限于甲醇、乙醇和异丙醇。
如图1的模块20所示,阻止蚀刻副产物在微电子形貌上沉淀的附加的或替换的方式可以包括用化学方法配置蚀刻溶液和/或清洗溶液来对溶解的蚀刻副产物进行改性。特别是,在所述蚀刻处理和清洗处理中之一或者二者的持续时间期间,以及一些情况下整个持续时间期间,可至少部分地添加被配置用于对已知的蚀刻处理副产物进行改性的化学品,使得在蚀刻处理和/或清洗处理期间在微电子形貌的环境内分别增加所述蚀刻副产物的可溶性。蚀刻副产物与这种改性化学品的相互作用降低了它们在微电子形貌上凝结和沉淀的趋势。在蚀刻和/或清洗溶液内的改性化学品的浓度根据应用不同而不同,但示例性的浓度范围按重量百分比可以包括多达约10%。然而,更高的浓度也可以考虑的。该化学品和蚀刻副产物的相互作用可以是共价的或非共价的。
例如,在使用在此描述的方法蚀刻微电子形貌的氧化层的实施例中,溶解的氧化物蚀刻副产物,例如溶解的羟基硅烷复合物(Si(OH)4)可以在蚀刻处理过程中(在一些实施例中,在清洗处理中)留存在微电子形貌的环境中。如下所示,溶解的羟基硅烷复合物(Si(OH)4)可以产生于用于蚀刻氧化层的氟-基蚀刻处理。然而,改性化学品可以在蚀刻处理和/或清洗处理过程中添加到微电子形貌的环境中来对溶解的羟基硅烷复合物进行改性,尤其来阻止它们的凝结和沉淀。示例性的改性化学品可以包括,但不限于,硅氧烷、氯代硅烷、烃基硅烷、烷氧基硅烷、亚硫酰氯、酐酸、羧酸、异氰酸酯、胺、铵盐、醇、醚和表面活性剂。在一些实施例中,可以加入酸、碱或各种催化剂以促进与羟基硅烷的反应。改性化学品除有以上描述的反应活性部分之外,该化学品还可以包含一个非反应活性部分,其包含有促进用于蚀刻处理和/或清洗处理的低/无表面张力流体中的可溶性的基团。示例性非反应活性部分包括烃、碳氟化合物和硅酮。在一些实施例中,非反应活性部分可以是空间上大体积的(bulky)以进一步帮助防止羟基硅烷凝结。
在一些实施例中,让改性化学品和蚀刻副产物之间的相互作用的热力学和动力学效果最优化可能是特别有利的。特别是,最优化该反应的热力学和动力学效果通常可以将改性化学品的效力最大化以阻止副产物沉淀。为了提高相互作用的热力学效果,可以使用与蚀刻副产物有高反应活性的官能团。例如,硅氧烷和氯硅烷在热力学上有利于与烃基硅烷复合物反应。为了促进相互反应的动力学效果,改性化学品的显著过量是有利的。例如,在1升的压力容器内蚀刻涂覆在300mm晶片上的1μm厚的氧化物,在这样的实施例中,溶解的氧化物的摩尔浓度应该约0.01M。在这样的实施例中,为了在该化学品和蚀刻副产物之间提供足够的动力学相互作用,蚀刻溶液和/或清洗溶液内的改性化学品的摩尔浓度可以大于约0.1M,从而使蚀刻副产物不沉淀。另外,针对蚀刻处理和/或清洗处理(例如上面参见模块16描述的蚀刻处理)而采用流通处理,从而在蚀刻副产物形成时即将其从衬底表面清除并且降低其在微电子形貌的环境中的局部的和绝对的浓度,这从动力学角度而言也是有利的。
除了使用对蚀刻副产物有高反应活性的改性化学品外,改性化学品与其本身没有反应活性可能是更有利的。然而,如果使用自反应活性(self-reactive)化学品,优选单官能的改性化学品,以便只形成二聚物。例如,包含烷氧基硅烷官能度的改性化学品可能与羟基硅烷基团适当地反应,但也会自身-凝结(self-condense)。二烷氧基和三烷氧基硅烷每个分子包含多于一个的自身-凝结官能度,这可能导致低聚物的形成和/或交联的硅烷,所述低聚物和/或交联的硅烷很少可能在低/无表面张力流体内保持可溶性。因此,当在蚀刻溶液或清洗溶液中使用烷氧基或类似的自反应改性化学品时,由于形成于它们的自身-凝结反应的硅烷二聚物在低/无表面张力流体内仍然保持可溶性,所以优选单烷氧基硅烷。同样的原因可以适用于氯硅烷,其通常是自反应性的,因此,优选单氯硅烷。
在常规处理中氟-基蚀刻处理的氧化物蚀刻产品倾向于在上述微电子形貌上凝结和沉淀的原因的解释将在下面概括。尽管在上面参见模块20和22讨论的溶液变化可以适用于防止氧化物蚀刻副产物的凝结和沉淀,值得注意的是在此描述的方法并不限于这些。特别是,参见模块20和22讨论的用于阻止蚀刻副产物沉淀的创意的总的构思(还有上述模块16)可以适用于防止含任何组分的蚀刻产品的沉淀,这取决于所使用的蚀刻溶液和待蚀刻的层的材料组分。进一步地,参见模块16、20和22讨论的工艺并不必然是相互排斥的。特别是,在此描述的方法可以采用任何这些工艺或这些工艺中的任何一项的任意组合,这取决于制作处理的设计说明。
在氧化层蚀刻处理中,不考虑所使用的氟-基蚀刻化学品,生成的SiF4作为所述蚀刻副产物之一。SiF4迅速与水反应生成羟基硅烷复合物(Si(OH)4),如式1所概述的:
SiF4+4H2O→Si(OH)4+4HF                (1)
由于在非极性环境中的有限可溶性,羟基硅烷复合物将开始与其他羟基硅烷凝结,形成如式2所示硅烷低聚物(例如,(HO)3Si-O-Si(OH)3):
Si(OH)4+Si(OH)4→(HO)3Si-O-Si(OH)3    (2)
该硅烷低聚物可能继续凝结,再形成如式3所示的氧化物(即,(Si-O),)并从溶液中沉淀出来:
(HO)3Si-O-Si(OH)3+n(HO)3Si-O-Si(OH)3→(Si-O)n+nH2O(3)
然而,参照模块16、20和22中讨论的处理和/或溶液的改性已经示出了在氧化物蚀刻处理的过程中或其后,阻止在微电子形貌上凝结和沉淀氧化物蚀刻副产物。
如上所述,该蚀刻处理和/或清洗处理可以在一些实施例中使用液体状态的流体。在这些实施例中,在蚀刻和/或清洗处理过程中或其后,一种或一种以上流体的残留物可以保留在包围器件结构的微电子形貌上。如上所述,由于流体的表面张力,该残留物在一些实施例中可导致器件特征塌陷。然而,在此描述的方法通过让微电子形貌经历一系列阻止特征塌陷的处理步骤以避免这样的有害影响,这在图1的模块24-30概括了且将在下文详细描述。
除了对模块24-30概括的系列步骤,一种防止特征塌陷的方式是在处理腔室内建立超临界环境之前保持器件结构浸没在液体中。特别是,这样的预防措施可以防止微电子形貌过早地干燥和/或防止微电子形貌的器件结构接触不同介质的界面张力。假设,随着特征间距继续减少和器件结构的高宽比继续增加(例如,大致约20∶1或更大的高宽比),在形貌干燥之前,界面张力可以导致器件特征塌陷。更具体地,假设,在一些实施方式中,器件结构单单接触液体-气体界面或液体-液体界面可增加特征塌陷的可能性。如此,让微电子形貌过早地变干可能不是导致特征塌陷的唯一因素。
浸没微电子形貌的器件结构所需要的液体通常根据应用的不同而不同。然而,在一些实施方式中,器件结构的上表面低于液体-气体至少约3mm,并且在一些实施例中,约3mm至约25mm之间,更特别地,约5mm至约12mm之间。不局限于理论,可猜想这样的浸没范围可以在处理腔室内建立超临界包围之前足以防止该微电子形貌干燥和/或防止在其上的器件结构暴露。然而,在一些实施例中,较少的浸没缓冲液是可以考虑的。在一些实施方式中,该蚀刻和/或清洗溶液可以附加地或可替换地配置为防止在微电子形貌的器件结构周围液体-液体界面的形成。特别是,该蚀刻和/或清洗溶液可以,在一些实施方式中,包括增加两种流体分散力的材料,例如表面活性剂。然而在其它实施方式中,该蚀刻和清洗处理可以在处于超临界状态的流体存在时进行。
值得注意的是在液体中浸没器件结构并不必然是本文描述的方法所要求的。特别是,该方法可以替换地包括将蚀刻溶液和/或清洗溶液加入到微电子形貌,以便液体制剂在低于器件结构上表面的水平上。更具体地,理论上,在处理腔室内建立超临界流体的环境之前,微电子形貌可能被干燥或器件结构可能被暴露的可能性,以及,因此,器件结构可能容易产生特征塌陷的可能性,可取决于器件结构的特征间距和/或高宽比。特别是,在本文所描述的方法和溶液的研发过程中,在所有器件结构没有浸没在液体制剂的实施例中特征塌陷都没有发生,但是,具有较小器件结构的特征间距和/或更大高宽比的情况似乎更为普遍。没有对需要器件结构浸没的特征间距和高宽比的特定范围进行研究,但是应注意的是在基于本文所提供的教导的基础上,这样的研究不需要本领域技术人员的过多的实验。如此,器件结构的浸没并不是必须的,而是作为本文所描述的蚀刻处理和/或清洗处理的可选的操作过程。
如上以及图1的模块26中所述,该方法包括在图1的模块10-30中概述的一系列处理中的某点在所述处理腔室内提供加热的环境,使得在模块30在处理腔室内的低/无表面张力流体处于其临界温度或临界温度以上,以便进行所述的排气处理。在这种方式中,处理腔室内的低/无表面张力流体可以设定用于排放处理的超临界状态。例如,当二氧化碳在该处理腔室内时,该处理腔室可以被加热到高于或等于约31℃的温度,或,替代地,当六氟化硫在处理腔室内时,被加热到至温度高于或等于约45.5℃。
如上所述,本文所描述的配置为生成和承受压强的处理腔室的加热机构可能是复杂的,特别有高温要求时。进一步地,加热处理腔室需要的热量通常可以随着要求的温度而成指数倍增加。如此,在一些实施方式中,让加热处理腔室以获得低/无表面张力流体的超临界状态所达到的温度降到最低可能是有利的。例如,当在处理腔室内使用二氧化碳,优选地,限制加热处理腔室至约31℃和约60℃之间的温度,在一些实施方式中,在约31℃和约40℃之间。然而,更高的温度可以被采用。在一些实施例中,优选地,加热处理腔室至比该低/无表面张力流体的临界温度高一度或一度以上的温度范围可能是有利的,可确保所述流体的超临界状态可被保持用于根据模块30描述的排放处理。例如,当二氧化碳被使用于处理腔室内时,在约35℃和约40℃之间的温度范围加热该处理腔室可能是有利的。其它温度范围可以考虑。
在一些实施方式中,在模块12内的加压处理腔室之后提供加热的环境的处理(例如,模块26)可以实施。换言之,在这样的处理过程中,加压处理腔室的处理可以在所使用的低/无表面张力流体的临界温度以下的温度范围内实施。在这样的实施方式中,当处理腔室内的饱和蒸气压达到时,该低/无表面张力流体将转变为液体状态。在采用二氧化碳加压处理腔室的方法中的示例性的温度范围通常可以包括在温度低于约30℃时将二氧化碳引入处理腔室,且更具体地,在约0℃至约20℃之间引入。在处理腔室内达到饱和蒸气压之后的某个时间,处理腔室的温度可以增加到等于或高于低/无表面张力流体的临界温度。在达到临界温度的点上,低/无表面张力流体将会转变为超临界状态。该超临界状态和因此等于或高于低/无表面张力流体的热力学临界点的温度和压强,优选维持到至少实施以下参照模块30描述的排放处理。
在其它实施方式中,处理腔室可以被预热到给定流体的临界温度(即,在对具有低/无表面张力流体的该处理腔室加压之前,并且甚至在处理腔室内装载微电子形貌之前)。这样的实施方式的优点是,与在加压处理腔室和/或装载微电子形貌后提供加热的环境相比,这样做时间上效率更高。特别是,由于处理腔室不得不生产和承受相对高的压强,该处理腔室可以有相当厚的壁。在处理腔室内增加温度会花相当长的时间(例如,约30到60分钟),其会大幅拖延制造处理,因此不符合产率要求。在替代的实施例中,提供加热的环境的处理(即,在处理腔室内建立临界温度)可以在加压该处理腔室(即,模块12)时实施。在任何情况下,临界温度可以在其后至少维持到下文所述的参照模块30的排放处理的实施。在这种方式中,当维持处理腔室内流体的临界压强时,低/无表面张力流体将会转变并保持在超临界状态。
不考虑何时处理腔室内的低/无表面张力流体被加热到其临界温度,在一些实施方式中,该方法继续模块24以建立处理腔室内处于超临界状态的低/无表面张力流体的纯环境。换言之,在此所描述的方法可以建立处理腔室内的环境,该处理腔室内不含有根据所述蚀刻处理和清洗处理预先加入到该处理腔室内的补充的溶液组分。在这种方式中,所述处理腔室可用在所述蚀刻处理和清洗处理期间添加的任意补充的化学品来冲洗。此外,如果在根据模块18所述的在先的清洗处理中尚未建立针对处于超临界状态的低/无表面张力流体的环境,模块24可在处理腔室内建立所述环境。
在一些实施方式中,用于建立模块24所述的环境的低/无表面张力流体与所述清洗溶液中采用的低/无表面张力流体相同。在这样的实施例中,用于所述清洗处理的所述低/无表面张力流体的供应可持续没有清洗辅助剂的供应。然而在其它实施方式中,用于建立根据模块24所述的环境的低/无表面张力流体可与所述清洗溶液中采用的低/无表面张力的流体不同。特别是,根据模块24所述的处理可包括在一段预定的时间内使微电子形貌接触不同于所述清洗溶液的流体,以使清洗溶液从所述处理腔室排出来。在这样的实施例中,为模块24的处理引入的流体的压强可大于处理腔室内的清洗溶液的压强。
在一些实施例中,用于建立根据模块24所述的环境的低/无表面张力流体可在一些实施方式中与所述腔室内的清洗溶液不相混溶。另外,在一些实施例中,用于建立根据模块24所述的环境的低/无表面张力的流体可比所述处理腔室内的清洗溶液具有更低的密度、临界温度和焦耳-汤普森(Joule-Thompson)膨胀系数。如下文根据模块28所述,具有这些特征的流体可适用于相对于模块30的更快的排放,这是因为所述处理腔室内的压强可以更快的速度减小且不损坏所述微电子形貌上的特征。用于建立这些情况下的根据模块24所述的环境的示例性流体可包括但不限于氦气、氩气、氮气、氧气及其混合物。在这些情况下,一旦在所述处理腔室内建立了处于超临界状态的流体的纯环境,模块24的处理可在模块30的排放处理期间实现下文所述的根据模块28的有益效果,并且因此可不需要采用与模块24的处理分开的模块28的处理。
一般而言,根据模块24的处理可包括将气态的低/无表面张力流体引入到所述处理腔室内而同时对所述处理腔室进行排放。根据所述处理腔室的温度,所述低/无表面张力流体可设定液态或超临界状态。在其中所述处理腔室不处于其临界温度的情况下,所述处理腔室可能是给定时间来升高所述低/无表面张力流体的温度以针对随后的模块30概述的排放处理设定超临界状态。在足以基本除去任意补充的化学品的一段时间之后以及在建立超临界状态的时间之后,可停止引入所述低/无表面张力流体并且所述排放处理可继续且成为模块30中概述的排放处理的一部分。
模块30中概述的排放处理可用于将所述超临界流体转换为气态或者用于在流通处理中将所述超临界流体冲洗出所述处理腔室。在这两种情况下,以足以防止在处理腔室内形成液体的方法进行模块30的排放处理。例如,在第一种情况下,可通过以允许低/无表面张力流体从超临界状态直接过渡至气态且没有形成液相的速率对所述处理腔室进行排放而执行模块30的排放处理。特别是,可控制所述排放速率以避免会导致形成与所述微电子形貌接触的液滴的膨胀冷却。在一些情况下,然而,例如当二氧化碳是超临界流体时,所述过渡工艺是耗费时间的。特别是,超临界二氧化碳具有高的焦耳-汤普森膨胀系数,这意味着当所述流体膨胀成气相时需要耗费大量的热。由于所述与膨胀有关的冷却导致液态二氧化碳的形成,如果需要快速排放处理(例如小于约1分钟),则这可能会有问题,其中所述液态二氧化碳根据所述处理腔室内的压强或者沸腾成气体或变回至超临界相。不论发生何种情况,所述相变会导致所述微电子形貌的精密的特征的损坏。
实现加快模块30的排放处理的一种方法是采用不同的超临界流体替换处理腔室内的超临界流体。在DeYoung等的U.S.专利号为6,602,351的美国专利以及DeYoung等的U.S.专利号为6,905,555的美国专利中提供这种处理的详细的描述,其通过以视同在此进行了全面描述的引用方式并入本发明中。在图1的模块28中描述了可选的处理,将不同的流体引入到所述处理腔室内,同时排放所述处理腔室,使得根据模块24从所述处理腔室建立的超临界流体被替换。所述不同的超临界流体通常与所述腔室内的流体不相混溶。此外,所述不同的超临界流体可能比所述处理腔室内的流体具有更低的密度、临界温度和焦耳-汤普森膨胀系数。因此,可以远远更快的速率减小所述处理腔室的压强且不损坏所述微电子形貌上的特征。此外,该技术不会引起在所述处理腔室内形成液体,并且因此减少特征塌陷的问题。可用于加快排放处理的示例性超临界流体包括但不限于氦气、氩气、氮气、氧气及其混合物。
在任意情况下,在所述处理腔室内的压强减小到大气压强或者所述处理腔室所在的环境的环境压强之后,所述微电子形貌可能是干燥的并且没有损坏。可在所述处理腔室内或者在不同的处理腔室内接着进行所述微电子形貌的进一步的处理。
应理解,对于从本公开得到启示的本领域的技术人员而言,相信本发明提供用于在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物凝结并沉淀在微电子形貌上的方法。根据本说明书,对于本领域技术人员而言,本发明的各方面的进一步的修改或替换的实施方案将是显而易见的。例如,虽然本文所述的大部分实施例引用了二氧化碳作为用于所述蚀刻处理和清洗处理的低/无表面张力流体,但是本文所述的方法不受此所限。因此,本说明书被解释为仅是说明性的并且是基于教导本领域技术人员关于执行本发明的通用的(general)方式。应理解的是本文中已显示并描述的本发明的各形式被认为是本发明的目前的优选实施方式。对于本文中所图示和解释的要素和材料可以替换,步骤和过程可以颠倒,以及本发明的某些特征可以独立采用,对于得到本发明的说明书的启示的本领域技术人员而言所有的这些将是显而易见的。在不脱离如以下权利要求书所述的本发明的精神和范围的情况下,可改变本文所述的要件。

Claims (23)

1.处理微电子形貌的方法,包括:
将微电子形貌载入处理腔室;
将气体状态的流体引入到所述处理腔室至少直到所述处理腔室内的所述流体达到饱和蒸气压或临界压强;
在达到所述饱和蒸气压或所述临界压强之后,即将所述微电子形貌暴露给蚀刻溶液以选择性蚀刻包括所述微电子形貌的上表面的层,其中所述蚀刻溶液包含处于超临界状态或液体状态的所述流体;以及
随后将所述微电子形貌暴露给清洗溶液以阻止蚀刻副产物在所述微电子形貌上沉淀,其中所述清洗溶液包含与处于超临界状态或液体状态的所述流体混合的一种或一种以上的极性助溶剂,且其中所述一种或一种以上的极性助溶剂包含具有比所述蚀刻溶液的pKa更低的pKa的酸。
2.根据权利要求1所述的方法,其中所述清洗溶液的所述酸包含小于约6.4的pKa。
3.根据权利要求1所述的方法,其中所述清洗溶液的所述酸包含小于约3.5的pKa。
4.根据权利要求1所述的方法,其中所述清洗溶液的所述酸选自三氟乙酸、乙酸、三氟甲磺酸、甲磺酸、苯甲酸、硝酸、硫酸和盐酸。
5.根据权利要求1所述的方法,其中所述清洗溶液的所述一种或一种以上的极性助溶剂包含所述酸、极性醇和水。
6.根据权利要求1所述的方法,其中所述蚀刻溶液和所述清洗溶液中的至少一种被化学地配置为在所述微电子形貌的周围环境中对溶解的蚀刻副产物进行改性,以阻止在所述微电子形貌上沉淀所述溶解的蚀刻副产物。
7.根据权利要求1所述的方法,其中将所述蚀刻溶液暴露给所述微电子形貌的所述步骤包含将所述蚀刻溶液的新组分引入到所述处理腔室,同时排放所述处理腔室。
8.根据权利要求1所述的方法,进一步包括建立处于超临界状态的所述流体的纯环境以从所述处理腔室替换所述清洗溶液。
9.根据权利要求1所述的方法,进一步包括将所述微电子形貌暴露给所述清洗溶液预定的一段时间之后,将所述微电子形貌暴露给压强大于所述处理腔室内的所述清洗溶液的所述压强的与所述清洗溶液不同的流体,其中所述不同的流体与所述清洗溶液不相混溶,并且其中将所述微电子形貌暴露给所述不同的流体的所述步骤包含从包括所述微电子形貌的处理腔室替换所述清洗溶液。
10.根据权利要求1所述的方法,其中随后将所述微电子形貌暴露给所述清洗溶液的所述步骤包含将所述微电子形貌暴露给包含有温度和压强高于所述流体的热力学临界点的约90%的所述流体的清洗溶液。
11.根据权利要求1所述的方法,其中将所述微电子形貌暴露给所述蚀刻溶液的所述步骤包含选择性蚀刻包埋所述微电子形貌内的多个器件结构的牺牲层。
12.根据权利要求1所述的方法,其中所述流体为二氧化碳。
13.一种处理微电子形貌的方法,包括:
将微电子形貌载入处理腔室;
将气体状态的流体引入到所述处理腔室至少直到所述流体在所述处理腔室内达到饱和蒸气压或临界压强;以及
达到所述饱和蒸气压或所述临界压强之后,即通过将所述微电子形貌暴露给包括处于超临界状态或液体状态的所述流体的蚀刻溶液,选择性蚀刻包括所述微电子形貌的上表面的层,其中选择性蚀刻所述层的所述步骤包含将所述蚀刻溶液的新组分引入到所述处理腔室,同时排放所述处理腔室。
14.根据权利要求13所述的方法,其中所述蚀刻溶液被化学配置为在所述微电子形貌的周围环境中对溶解的蚀刻副产物进行改性,以便所述溶解的蚀刻副产物被阻止在所述微电子形貌上沉淀。
15.根据权利要求13所述的方法,进一步包括可选择地蚀刻所述层的所述步骤之后,将清洗溶液引入到所述处理腔室以阻止蚀刻副产物在所述微电子形貌上沉淀,其中所述清洗溶液包含与处于超临界状态或液体状态的所述流体混合的一种或一种以上的极性助溶剂。
16.根据权利要求15所述的方法,其中所述清洗溶液包含pKa低于所述蚀刻溶液的pKa的酸。
17.根据权利要求15所述的方法,进一步包括建立超临界状态的所述流体的纯环境,以从所述处理腔室替换所述清洗溶液。
18.根据权利要求15所述的方法,进一步包括将压强大于所述处理腔室内的所述清洗溶液的所述压强的与所述清洗溶液不同的流体引入到所述处理腔室,以从所述处理腔室替换所述清洗溶液,其中所述不同的流体与所述清洗溶液不相混溶。
19.根据权利要求15所述的方法,其中所述清洗溶液的所述一种或一种以上的极性助溶剂包含水和极性醇。
20.根据权利要求15所述的方法,其中将所述清洗溶液引入到所述处理腔室的所述步骤包括将包含有温度和压强高于所述流体的热力学临界点的约90%的所述流体的清洗溶液引入到所述处理腔室。
21.根据权利要求13所述的方法,其中选择性蚀刻所述层的所述步骤包含选择性蚀刻包埋所述微电子形貌内的多个器件结构的牺牲层。
22.根据权利要求13所述的方法,其中所述流体为二氧化碳。
23.根据权利要求13所述的方法,其中所述蚀刻溶液包含氟化氢。
CN2010800047949A 2009-01-20 2010-01-05 在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物沉淀的方法 Pending CN102282652A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/356,143 2009-01-20
US12/356,143 US20100184301A1 (en) 2009-01-20 2009-01-20 Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
PCT/US2010/020086 WO2010090779A2 (en) 2009-01-20 2010-01-05 Methods for preventing precipitation of etch byproducts during an etch process and/or a subsequent rinse process

Publications (1)

Publication Number Publication Date
CN102282652A true CN102282652A (zh) 2011-12-14

Family

ID=42337314

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800047949A Pending CN102282652A (zh) 2009-01-20 2010-01-05 在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物沉淀的方法

Country Status (7)

Country Link
US (1) US20100184301A1 (zh)
JP (1) JP2012516034A (zh)
KR (1) KR20110117657A (zh)
CN (1) CN102282652A (zh)
SG (1) SG173011A1 (zh)
TW (1) TW201030826A (zh)
WO (1) WO2010090779A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106544721A (zh) * 2015-09-18 2017-03-29 通用电气公司 用于处理内部通道的超临界水方法
CN108435679A (zh) * 2012-04-17 2018-08-24 普莱克斯技术有限公司 用于将纯化多相二氧化碳输送至处理工具的系统

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
WO2012165377A1 (ja) * 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
TWI826650B (zh) * 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US10690464B2 (en) 2017-04-28 2020-06-23 Vista Outdoor Operations Llc Cartridge with combined effects projectile

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3829541A1 (de) * 1987-09-03 1989-03-16 Ricoh Kk Blattfoermige elektrode, verfahren zur herstellung derselben und diese enthaltende sekundaerbatterie
KR100253086B1 (ko) * 1997-07-25 2000-04-15 윤종용 반도체장치제조를위한세정용조성물및이를이용한반도체장치의제조방법
US5962743A (en) * 1998-11-12 1999-10-05 Catalytica Pharmaceuticals, Inc. Process for preparing acylaromatic compounds
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6306754B1 (en) * 1999-06-29 2001-10-23 Micron Technology, Inc. Method for forming wiring with extremely low parasitic capacitance
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
AU2002211546A1 (en) * 2000-10-13 2002-04-22 Micell Technologies, Inc. Device and process for dry-cleaning process using carbon dioxide and a divided pressure vessel
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6596093B2 (en) * 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6602351B2 (en) * 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6641678B2 (en) * 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
DE10109564A1 (de) * 2001-02-28 2002-09-12 Infineon Technologies Ag Grabenkondensator und Verfahren zu seiner Herstellung
US6763840B2 (en) * 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
WO2003064065A1 (en) * 2002-01-25 2003-08-07 Supercritical Systems Inc. Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
US6735978B1 (en) * 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050118832A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
KR20070120609A (ko) * 2005-04-15 2007-12-24 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 마이크로전자 소자로부터 이온 주입 포토레지스트층을세정하기 위한 배합물
KR20080023346A (ko) * 2005-06-16 2008-03-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 경화된 포토레지스트, 에칭 후 잔류물 및/또는 바닥 반사방지 코팅 층의 제거를 위한 고밀도 유체 조성물
US20070095367A1 (en) * 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8084367B2 (en) * 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US20070289467A1 (en) * 2006-06-16 2007-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Direct printing lithography system and method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108435679A (zh) * 2012-04-17 2018-08-24 普莱克斯技术有限公司 用于将纯化多相二氧化碳输送至处理工具的系统
CN106544721A (zh) * 2015-09-18 2017-03-29 通用电气公司 用于处理内部通道的超临界水方法

Also Published As

Publication number Publication date
SG173011A1 (en) 2011-08-29
JP2012516034A (ja) 2012-07-12
WO2010090779A3 (en) 2010-09-30
WO2010090779A2 (en) 2010-08-12
KR20110117657A (ko) 2011-10-27
US20100184301A1 (en) 2010-07-22
TW201030826A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
CN102282652A (zh) 在蚀刻处理和/或随后的清洗处理期间防止蚀刻副产物沉淀的方法
CN102160149B (zh) 用于在微电子拓扑制造期间防止特征塌缩的方法和系统
US6149828A (en) Supercritical etching compositions and method of using same
KR101532224B1 (ko) 수증기 또는 스팀을 이용하여 기판을 처리하는 방법
US7351635B2 (en) Method of fabricating microelectronic device using super critical fluid
WO2003049167A1 (fr) Procede de traitement haute pression
WO2004105093A2 (en) Tetra-organic ammonium fluoride and hf in supercritical fluid for photoresist and residue removal
TW201311869A (zh) 選擇性蝕刻氮化矽之組成物及方法
JP2009545159A (ja) 除去速度の高い誘電体膜のためのcmp組成物
KR20160041012A (ko) 수소-풍부 플라즈마를 사용하여 제거되는 희생적인 브레이싱 재료를 사용하여 붕괴 없이 고종횡비 구조체들을 건조시키기 위한 시스템들 및 방법들
KR100720249B1 (ko) 미세구조체의 세정방법
CN102282653B (zh) 防止在蚀刻处理和/或随后的清洗处理期间蚀刻副产物沉淀的方法
US20220037173A1 (en) Substrate processing method and substrate processing apparatus
US8961701B2 (en) Method and system of drying a microelectronic topography
US20080261399A1 (en) Method for chemical mechanical polishing in a scan manner of a semiconductor device
KR100876170B1 (ko) 반도체 장치 제조 방법
CN116710597A (zh) 湿式蚀刻溶液及湿式蚀刻方法
JP2008004716A (ja) 高圧処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20111214