KR20110117657A - 에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법 - Google Patents

에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법 Download PDF

Info

Publication number
KR20110117657A
KR20110117657A KR1020117016913A KR20117016913A KR20110117657A KR 20110117657 A KR20110117657 A KR 20110117657A KR 1020117016913 A KR1020117016913 A KR 1020117016913A KR 20117016913 A KR20117016913 A KR 20117016913A KR 20110117657 A KR20110117657 A KR 20110117657A
Authority
KR
South Korea
Prior art keywords
fluid
process chamber
microelectronic topography
rinse
etchant
Prior art date
Application number
KR1020117016913A
Other languages
English (en)
Inventor
마크 아이 와그너
제임스 피 드영
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110117657A publication Critical patent/KR20110117657A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

마이크로일렉트로닉 토포그래피를 처리하는 방법은, 초임계 또는 액체 상태의 유체를 포함하는 에칭액을 이용하여 토포그래피의 층을 선택적으로 에칭하는 단계를 포함한다. 몇몇 실시형태에서, 에칭 프로세스는 에칭 부산물들의 침전을 억제하기 위해 챔버를 벤팅하면서 동시에 프로세스 챔버에 에칭액의 새로운 조성을 도입하는 단계를 포함할 수도 있다. 초임계 또는 액체 상태의 유체를 포함하는 린스액은 에칭 프로세스에 후속하여 챔버에 도입될 수도 있다. 몇몇 경우에서, 린스액은 일종 이상의 극성 공용매, 예를 들어, 에칭 부산물 침전을 억제하도록 돕는 유체와 혼합된 산, 극성 알코올, 및/또는 물을 포함할 수도 있다. 게다가 또는 대안적으로, 에칭액 및 린스액 중 적어도 하나는 에칭 부산물 침전을 억제하기 위해 토포그래피의 주변내의 용해된 에칭 부산물들을 변성하도록 구성된 화학물질을 포함할 수도 있다.

Description

에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법{METHODS FOR PREVENTING PRECIPITATION OF ETCH BYPRODUCTS DURING AN ETCH PROCESS AND/OR A SUBSEQUENT RINSE PROCESS}
1. 본 발명의 분야
본 발명은 일반적으로 마이크로일렉트로닉 토포그래피 (microelectronic topography) 를 처리하는 방법 및 용액에 관한 것으로, 보다 구체적으로는 에칭 프로세스 및/또는 후속 린스 프로세스 동안 마이크로일렉트로닉 토포그래피 상으로의 에칭 부산물들의 침전을 방지하기 위한 방법에 관한 것이다.
2. 관련 기술의 설명
이하의 설명 및 예시는, 이 섹션 내에 포함하는 것 때문에 종래 기술이라고 인정되는 것은 아니다.
일반적으로, 마이크로일렉트로닉 토포그래피의 제조는 디바이스 구조의 컴필레이션 (compilation) 을 형성하기 위해 재료를 증착, 패터닝, 및 에칭하는 것을 포함하지만 이에 한정하지 않는 복수의 처리 단계들을 포함한다. 몇몇 실시형태에서, 도전성 구조는 마이크로일렉트로닉 토포그래피의 희생층 내에 형성된 후, 희생층의 일부 또는 전부가 후속으로 제거되어, 도전성 구조의 측벽을 노출할 수도 있다. 그후, 마이크로일렉트로닉 토포그래피는 에칭액 및/또는 부산물들을 제거하기 위해 탈이온수로 린스된 후 건조될 수도 있다. 몇몇 경우, 에칭, 린스, 및/또는 건조 프로세스들은, 도전성 구조로 하여금 마이크로일렉트로닉 토포그래피가 사용불가능하게 붕괴 (즉, 서로를 향해 토플링) 하게 할 수도 있다. 특히, 집적 회로의 메모리 밀도 및 처리 속도를 증가시키는 목표를 계속 강요함에 수반하여 구조의 폭 치수가 계속해서 감소하고 결과적으로 애스펙트비가 증가함에 따라, 피쳐 (feature) 붕괴의 출현이 증가하는 것으로 나타나고 있다. 특히, 몇몇 실시형태에서, 도전성 구조들 사이의 액체들의 표면 장력이 도전성 구조를 붕괴하도록 야기하는 범위까지 도전성 구조의 애스펙트비가 증가될 수도 있다고 나타난다.
피쳐 붕괴를 완화시키기 위해 나타낸 하나의 기술은, 토포그래피 상에서의 액체의 형성이 방지되도록, 초임계 유체의 환경에서 희생층을 에칭하고 후속하여 에칭 챔버를 벤팅 (venting) 하는 것이다. 일반적으로, 초임계 유체는 표면 장력이 없다. 이와 같이, 일반적으로 표면 장력을 나타내는 어떠한 유체도 이러한 프로세스 동안 토포그래피의 디바이스 구조들 사이에 위치되지 않는다. 결과적으로, 피쳐 붕괴가 경감될 수도 있다. 그러나, 초임계 유체의 환경에서 에칭의 단점은, 에칭 프로세스 동안 발생된 에칭 부산물들이 초임계 유체에서 낮은 용해도를 갖는 경향이 있고 토포그래피 상으로의 침전을 허용할 수도 있다는 것이다. 몇몇 경우, 에칭 부산물들의 침전물은 바람직하지 않게 변할 수도 있고, 몇몇 실시형태에서는, 후속 디바이스의 기능에 해로운 영향을 줄 수도 있다. 예를 들어, 용해된 산화물의 침전물은, 몇몇 경우에, 도전성 디바이스 구조상에서의 콘택트 저항을 증가시킬 수도 있다. 이와 같이, 침전 물질을 제거하기 위한 프로세스는 침전 물질을 생성하기 쉬운 에칭 프로세스 이후가 바람직할 수도 있다. 그러나, 토포그래피 상에 침전된 물질을 제거하기 위해, 습식 린스가 통상적으로 필요하다. 전술한 바와 같이, 디바이스 구조를 갖는 마이크로일렉트로닉 토포그래피에 대해 습식 프로세스를 사용하는 것은 유체의 표면 장력으로 인한 피쳐 붕괴를 유도할 수도 있다.
따라서, 초임계 유체의 환경에서 토포그래피의 부분의 에칭 동안 그리고 에칭에 후속하여 토포그래피 상으로의 에칭 부산물의 침전을 방지하기 위한 방법을 개발하는 것이 바람직하다.
전술한 문제들은, 마이크로일렉트로닉 토포그래피 상에 에칭 부산물의 침전을 방지하기 위해 에칭 및/또는 후속 린스 프로세스 및 용액을 변경함으로써 대부분 대처될 수도 있다. 이하는 이러한 변경을 이용하기 위한 방법들의 예시적인 실시형태들이고, 청구범위의 청구물을 제한하기 위해 임의의 방식으로 해석되지 않는다.
본 방법의 실시형태들은, 마이크로일렉트로닉 토포그래피를 프로세스 챔버에 로딩하는 단계 및 초임계 상태 또는 액체 상태의 유체를 포함하는 에칭액을 이용하여 마이크로일렉트로닉 토포그래피의 상부 표면을 포함하는 희생층을 선택적으로 에칭하는 단계를 포함한다. 몇몇 경우, 이 방법들은 에칭 프로세스 이전에, 그리고 적어도 프로세스 챔버 내의 유체가 포화 증기압 또는 임계압에 도달할 때까지 가스 상태의 유체를 프로세스 챔버로 도입하는 단계를 포함할 수도 있다. 또한, 이 방법들은 몇몇 실시형태에서 에칭 프로세스에 후속하여 린스액을 프로세스 챔버에 도입시키는 단계를 포함할 수 있고, 여기서 린스액은 초임계 상태 또는 액체 상태의 유체를 포함한다. 몇몇 경우, 린스액은 유체와 혼합된 일종 이상의 극성 공용매들을 더 포함하여 마이크로일렉트로닉 토포그래피 상에 에칭 부산물들이 침전되는 것을 억제하도록 도울 수 있다. 예시적인 극성 공용매들은 산, 극성 알코올, 및/또는 물을 포함할 수 있다. 이에 더해 또는 대안적으로, 에칭 프로세스는, 몇몇 실시형태에서, 마이크로일렉트로닉 토포그래피 상에 에칭 부산물들이 침전되는 것을 억제하기 위해서, 에칭액의 새로운 조성을 프로세스 챔버로 도입하면서, 동시에 프로세스 챔버를 벤팅하는 것을 포함할 수도 있다.
본 발명의 다른 목적 및 이점들은, 이하의 상세한 설명을 판독하고 첨부된 도면을 참조하여 명백하게 될 것이다.
도 1 은 기판을 처리하기 위한 예시적인 방법의 플로우차트를 도시한다.
도 2 는 이산화탄소의 압력-온도 상태도를 도시한다.
본 발명이 다양한 변형 및 대안적인 형태에 대해 허용가능하고, 그 특정 실시형태들은 도면의 예시에 의해 나타나며 본 명세서에서 상세하게 설명될 것이다. 그러나, 이에 대한 도면 및 상세한 설명은 개시된 특정 형태에 본 발명을 한정하도록 의도되지 않고, 반대로, 본 발명은 첨부된 청구항에 의해 정의되는 바와 같이 본 발명의 사상 및 범위 내에서 모든 변형, 동등물 및 대안들을 커버하기 위한 것임을 이해해야만 한다.
이제 도면을 참조하여, 에칭 프로세스 및/또는 후속 린스 프로세스 동안 마이크로일렉트로닉 토포그래피에 에칭 부산물의 응고 및 침전을 방지하기 위한 방법의 예시적인 실시형태가 도 1 을 참조하여 예시되고 설명된다. 본 명세서에 설명된 방법은 도 1 에 도시된 플로우차트에 반드시 한정되는 것은 아님에 유의한다. 특히, 본 명세서에 설명된 방법은 도 1 에 도시된 단계들 이전에, 단계들 중간에, 및/또는 단계들 이후에 수행된 단계들을 포함하는 마이크로일렉트로닉 디바이스 및/또는 회로의 제조에 대해 도 1 에 도시되지 않은 추가적인 단계들을 포함할 수도 있다. 또한, 이하 더욱 상세하게 설명된 바와 같이, 도 1 에 도시된 프로세스들 몇몇은 선택적일 수도 있고, 따라서, 몇몇 경우 본 명세서에 설명된 방법으로부터 생략될 수도 있다. 일반적으로, 본 명세서에 이용된 것과 같은 용어 "마이크로일렉트로닉 토포그래피 (microelectronic topography)" 는 마이크로일렉트로닉 디바이스 및/또는 회로를 형성하는데 이용된 하나 이상의 층 및/또는 구조들을 갖는 토포그래피를 지칭할 수도 있다. 이와 같이, 용어는 마이크로일렉트로닉 디바이스 및/또는 회로의 제조 동안 임의의 단계에서 이용되는 토포그래피를 참조할 수도 있다. 마이크로일렉트로닉 토포그래피는 "반도체 토포그래피" 로서 대안적으로 지칭될 수도 있고, 이와 같은 용어는 상호교환가능하게 본 명세서에서 이용된다.
도 1 의 블록 (10) 에 도시된 바와 같이, 본 명세서에 설명된 방법은 마이크로일렉트로닉 토포그래피를 프로세서 챔버에 로딩하는 단계를 포함한다. 이하 더욱 상세하게 설명하는 바와 같이, 프로세스 챔버는, 특히, 마이크로일렉트로닉 토포그래피가 피쳐 붕괴를 완화시키는 방식으로 처리될 수도 있도록 챔버에 도입된 유체를 초임계 상태로 전이하도록 구성될 수도 있다. 일반적으로, 이러한 압력을 발생시키고 이러한 압력을 견디도록 구성된 임의의 프로세스 챔버가 이용될 수도 있다. 더욱 구체적으로, 도 1 의 블록 (14, 18, 및/또는 24) 을 참조하여 이하 설명된 프로세스들에 대해 선택된 유체/유체들을 초임계 상태로 전이하고/하거나 유지하는데 충분한 압력을 발생시키고 그 압력에 견디도록 구성된 임의의 프로세스 챔버가 이용될 수도 있다. 대략 1000 psig 보다 큰 압력 범위가 본 명세서에 설명된 방법에 대해 고려하는 수많은 유체들을 전이하고 및/또는 유지시키는데 적절할 수도 있고, 이에 따라, 대략 1000 psig 보다 큰 압력을 발생시키고 그 압력을 견디도록 일반적으로 구성된 프로세스 챔버가 사용될 수도 있다. 그러나, 더 낮은 압력을 발생시키고 더 낮은 압력을 견디도록 구성된 프로세스 챔버가 이용될 수도 있다.
마이크로일렉트로닉 토포그래피가 프로세스 챔버에 로딩된 후에, 프로세스 챔버는 도 1 의 블록 (12) 에 언급된 바와 같이 가압될 수도 있다. 일반적으로, 가압 프로세스는 기체 상태의 유체를 프로세스 챔버에 도입시키는 단계를 포함할 수도 있다. 몇몇 경우, 챔버를 가압하는데 이용된 유체는 마이크로일렉트로닉 토포그래피의 층을 선택적으로 에칭하는데 후속하여 이용되는 유체일 수도 있고, 프로세스는 블록 (14) 을 참조하여 이하 더욱 상세하게 설명된다. 이러한 실시형태에서, 유체의 포화 증기압 또는 유체의 임계 압력 이상인 챔버 압력에 도달할 때까지, 블록 (12) 에 개략된 가압 프로세스는 기체 상태의 유체를 프로세스 챔버에 도입하는 단계를 포함할 수도 있다. 이러한 점에서, 유체는 (프로세스 챔버 내부의 온도에 기초하여) 액체 상태 또는 초임계 상태로 전이된다. 블록 (14) 를 참조하여 이하 더욱 상세하게 설명되는 바와 같이, 토포그래피의 선택적인 에칭 프로세스는 액체 상태 또는 초임계 상태의 유체를 이용하여 수행된다. 이와 같이, 토포그래피를 후속하여 에칭하는데 이용된 유체로 프로세스 챔버를 가압하는 것은, 가압 프로세스에서 에칭 프로세스로의 프로세스 챔버의 용이한 전이 방식을 제안할 수도 있다. 그러나, 다른 실시형태에서, 프로세스 챔버는 토포그래피를 선택적으로 에칭하는데 이용된 유체/유체들과는 상이한 유체로 가압될 수도 있다. 예를 들어, 프로세스 챔버는 질소로 가압될 수도 있다. 이러한 경우, 질소는 후속하는 선택적인 에칭 프로세스에 대한 에칭액을 도입할 때 프로세스 챔버로부터 분출될 수도 있다.
블록 (14) 에 계속하여, 마이크로일렉트로닉 토포그래피의 층은 액체 상태 또는 초임계 상태의 적어도 하나의 유체를 포함하는 에칭액의 이용에 의해 선택적으로 에칭된다. 적어도 하나의 유체는 실질적으로 낮은 표면 장력 (예를 들어, 대략 30 dynes/cm 미만) 을 갖는 (또는 유지하는 능력을 갖는) 또는 어떠한 표면 장력도 갖지 않는 유체이고, 이에 따라, "낮은 표면 장력 유체/표면 장력을 갖지 않는 유체" 로서 이하 지칭된다. 이하 언급되는 바와 같이, 에칭액은 액체, 기체, 또는 플라즈마 상태일 수도 있는 추가적인 화학물질들을 포함하고, 이에 따라, 에칭액은 다른 유체를 포함한다. 일반적으로, 선택적인 에칭 프로세스의 지속기간은 이용되는 에천트에 의존할 수도 있지만, 예시적인 주기는 대략 20 초와 대략 1 분 사이일 수도 있다.
전술된 바와 같이, 초임계 유체의 환경에서 마이크로일렉트로닉 토포그래피를 에칭하는 것은 후속 피쳐 붕괴를 억제하는데 있어서 유리하다. 특히, 초임계 에칭 환경은 일반적으로 피쳐 붕괴를 효과적으로 억제하는 초임계 건조 환경으로의 용이한 전이를 제안할 수도 있다. 그러나, 본 명세서에 설명된 방법은 초임계 환경에서의 에칭에만 반드시 제한되지는 않는다. 특히, 블록 (14) 에서 개략된 선택적인 에칭 프로세스는 액체 상태의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체를 이용하여 마이크로일렉트로닉 토포그래피의 층을 에칭하는 단계를 대안적으로 포함할 수도 있다. 이러한 경우, 나머지 액체는 에칭 프로세스 동안 및/또는 에칭 프로세스에 후속하여 디바이스 구조를 둘러싸는 마이크로일렉트로닉 토포그래피 상에 잔존할 수도 있다. 전술한 바와 같이, 액체의 표면 장력으로 인해, 나머지는 디바이스 구조가 붕괴하도록 야기하는 전위를 갖는다. 그러나, 본 명세서에 설명된 방법은, 도 1 의 블록 (24-30) 에 개략되고 이하 더욱 상세하게 설명된 피쳐 붕괴를 억제하기 위한 프로세스 단계들의 시퀀스에 마이크로일렉트로닉 토포그래피를 노출시킴으로써 이러한 해로운 영향을 회피한다.
본 명세서에 설명된 방법들을 수행하기 위한 프로세스 챔버 및/또는 타이밍의 복잡도를 용이하게 하기 위해, 에칭액의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체가 상대적으로 유지하기 쉬운 (즉, 상대적으로 낮은 임계 온도 및 임계 압력을 갖는) 열역학적 임계점을 갖는 것이 유리할 수도 있고, 특히, 토포그래피를 린스하기 위한 및/또는 프로세스 챔버를 벤팅하기 위한 후속 처리 동안 이 유체가 이용되는 경우 유리할 수도 있다. 이하 더욱 상세하게 설명된 바와 같이, 초임계 주변은 도 1 의 블록 (30) 을 참조하여 설명된 벤팅 프로세스 이전에 본 명세서에 설명된 방법 동안 몇몇 포인트에서 프로세스 챔버 내에 확립된다. 이와 같이, 에칭 프로세스에 이용된 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체가 린스 프로세스 및 벤팅 프로세스에서와 동일한 실시형태에서, 상대적으로 유지하기 쉬운 열역학적 임계점을 갖는 유체가 바람직할 수도 있다. 예시적인 유체는 이산화탄소 및 6플루오르화 황을 포함하지만 이에 한정하지 않는다.
31℃ 의 이산화탄소의 상대적으로 낮은 임계 온도 때문에, 블록 (14) 을 참조하여 마이크로일렉트로닉 토포그래피를 에칭하기 위해, 몇몇 경우에는 또한, 블록 (12) 을 참조하여 프로세스 챔버를 가압하기 위해 및/또는 블록 (18) 을 참조하여 토포그래피를 린스하기 위해 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체로서 이산화탄소를 이용하는 것은 특히 바람직할 수도 있다. 특히, 가열 메커니즘 (즉, 프로세스 챔버 내부의 열 교환기 또는 히터) 이 최소화될 수도 있도록, 처리에 요구되는 온도를 최소화하는 것이 바람직할 수도 있다. 또한, 이산화탄소는 상대적으로 유지하기 쉬운 열역학적 임계점을 갖는 다른 유체들과 비교하여 상대적으로 값싸고, 이에 따라, 이러한 이유로만, 마이크로일렉트로닉 토포그래피를 에칭하고 몇몇 경우에는 프로세스 챔버를 가압하고 및/또는 토포그래피를 린스하기 위해 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체로서 이산화탄소를 이용하는 것이 바람직할 수도 있다.
전술한 바와 같이, 마이크로일렉트로닉 토포그래피를 에칭하는데 이용된 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체는 프로세스 챔버 내부의 압력 및 온도에 일반적으로 의존하여 액체 상태 또는 초임계 상태일 수도 있다. 이산화탄소에 대한 압력-온도 상태도는 이러한 현상을 예시하기 위해 도 2 에 도시된다. 마이크로일렉트로닉 토포그래피를 에칭하기 위해 에칭액에 이산화탄소가 이용될 때 프로세스 챔버가 가압될 수도 있는 압력의 예시적인 범위는, 대략 800 psig 와 대략 4000 psig 사이일 수도 있다. 몇몇 실시형태에서, 마이크로일렉트로닉 토포그래피를 에칭하는데 이용된 에칭액에 이산화탄소가 이용될 때 프로세스 챔버의 압력 범위는 대략 800 psig 와 대략 2900 psig 사이일 수도 있다. 프로세스 챔버 내의 이산화탄소의 온도가 그 임계 온도보다 커서, 이에 따라, 초임계 상태가 유지되는 경우, 프로세스 챔버가 가압될 수도 있는 입력의 예시적인 범위는 대략 1100 psig 와 대략 4000 psig 사이일 수도 있고, 더욱 구체적으로는 대략 1500 psig 와 대략 2900 psig 사이일 수도 있다.
일반적으로, 대략 1000 psig 보다 큰 압력을 발생시키고 이 압력에 견디도록 구성된 프로세스 챔버에 대해, 특히, 고온을 요구하는 가열 메커니즘은 복잡할 수도 있다. 또한, 프로세스 챔버를 가열하는데 이용된 에너지량은 일반적으로 온도 요건에 따라서 기하급수적으로 증가할 수도 있다. 이와 같이, 몇몇 실시형태에서, 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 특정 상태를 유지하기 위해 프로세스 챔버를 가열하기 위한 온도를 최소화하는 것이 바람직하다. 예를 들어, 이산화탄소가 토포그래피를 에칭하기 위한 에칭액에 이용되는 경우, 대략 60℃ 미만의 온도, 몇몇 실시형태에서는 대략 40℃ 미만의 온도로 프로세스 챔버를 가열하는 것을 제한하는 것이 바람직할 수도 있다. 그러나, 더 높은 온도가 이용될 수도 있다. 이산화탄소가 마이크로일렉트로닉 토포그래피의 층을 에칭하기 위한 에칭액에서 액체 상태로 이용되는 경우, 대략 30℃ 미만의 온도, 몇몇 실시형태에서는, 대략 20℃ 미만의 온도로 프로세스 챔버를 가열하는 것을 제한하는 것이 바람직할 수도 있다.
전술한 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체뿐만 아니라, 선택적인 에칭 프로세스는 토포그래피의 층을 제거하는데 적용가능한 추가적인 화학물질을 포함한다. 예를 들어, 예시적인 화학물질은 염소계 또는 불소계 플라즈마 에천트, 예를 들어, CF4 및/또는 CHF3 를 포함할 수도 있다. 대안적으로, 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체에 용해가능한 플루오르화 수소 (HF) 를 함유하는 액체 에칭액이 이용될 수도 있다. 예를 들어, 일종 이상의 극성 공용매의 밸런스에 대해, 대략 0.1% 내지 대략 10% 의 HF 및 대략 0.1% 내지 대략 10% 의 물을 함유하는 용액 (모두 중량당) 이 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체에 첨가될 수도 있다. 또한, HF 를 함유하는 액체 에칭액의 다른 성분들이 고려될 수도 있다. 예를 들어, 몇몇 실시형태에서, 액체 에칭액은 완충제, 예를 들어, 대략 0.1% 와 대략 10% 사이의 중량 농도의 암모늄 플루오라이드 (ammonium fluoride) 를 포함할 수도 있다. 이에 더해 또는 대안적으로, 피리딘 부가물이 에칭액에 포함될 수도 있다. 임의의 경우, 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체가 첨가되고 특정된 액체 상태 또는 초임계 상태가 (예를 들어, 가압 프로세스를 통해서) 확립된 후에, 추가적인 화학물질이 프로세스 챔버에 첨가될 수도 있다. 그러나, 다른 실시형태에서, 추가적인 화학물질은 챔버에 도입되기 전에 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체와 조합될 수도 있다. 이러한 경우, 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체는 챔버에 도입될 때 액체 상태 또는 기체 상태일 수도 있고, 만약 적용가능한 경우, 프로세스 챔버 내에서 특정 액체 또는 초임계 상태로 전이될 수도 있다.
일반적으로, 본 명세서에 설명된 방법에 대해 고려될 수도 있는 마이크로일렉트로닉 토포그래피는 반도체 기판, 예를 들어, 모노결정 실리콘, 갈륨-비소, 인듐-인화물, 실리콘-게르마늄, 실리콘-온-절연체, 또는 실리콘-온-사파이어 기판을 포함할 수도 있다. 반도체 기판은 n-형 또는 p-형으로 도핑될 수도 있고, 몇몇 실시형태에서는, 확산 영역 및/또는 고립 영역이 기판 내부에 형성될 수도 있다. 몇몇 경우, 마이크로일렉트로닉 토포그래피는 반도체 기판상에 및 상부에 그리고 후술하는 디바이스 구조 및 희생층 하부에 형성된 구조 및 층들을 포함할 수도 있다. 반도체 기판 상에 그리고 상부에 형성된 구조 및 층들은, 유전체층, 금속화층, 게이트 구조, 콘택트 구조, 비아들, 또는 국부적 상호접속 배선을 포함할 수도 있지만 이에 한정하지 않는다.
이하 설명되는 바와 같이, 본 명세서에 설명된 방법은 희생층 내에서 인케이싱된 디바이스 구조를 갖는 마이크로일렉트로닉 토포그래피에 특히 적용가능할 수도 있다. 특히, 본 명세서에 설명된 방법은, 희생층 내에 인케이싱된 디바이스 구조의 측벽 표면이 노출되도록 마이크로일렉트로닉 토포그래피의 상부 표면을 포함하는 희생층을 선택적으로 제거하는 방식을 제공하고 그리고 이러한 처리 동안 디바이스 구조의 피쳐 붕괴를 방지하는데 특히 적절할 수도 있다. 그러나, 본 명세서에 설명된 방법이 이렇게만 제한되지 않는다는 것에 유의해야 한다. 특히, 본 명세서에 설명된 방법은 토포그래피의 다른 물질에 대해 선택적으로 에칭되는 물질을 갖는 임의의 마이크로일렉트로닉 토포그래피에 적용가능할 수도 있다. 특히, 본 명세서에 설명된 방법은 후술하는 물질 및 구조를 포함하는 토포그래피들에 반드시 제한되지는 않는다.
전술한 바와 같이, 몇몇 실시형태에서, 본 명세서에 설명된 방법에 대해 고려되는 마이크로일렉트로닉 토포그래피는 반도체 기판 상부에 형성된 희생층 내에 인케이싱된 복수의 디바이스 구조들을 포함할 수도 있다. 이 디바이스 구조들의 재료는, 도전성 디바이스 구조들에 대해 반도체 산업에 이용되는 임의의 재료를 포함할 수 있으며, 이 임의의 재료는 폴리실리콘, 알루미늄, 구리, 티타늄, 티타늄 나이트라이드, 텅스텐, 및/또는 이들의 임의의 합금을 포함하지만 이에 한정하지 않는다. 본 명세서에 설명된 방법은 임의의 치수의 디바이스 구조들을 갖는 토포그래피들에 적용될 수도 있지만, 이 방법은 대략 10:1 이상의 애스펙트 비의 디바이스 구조들을 갖는 토포그래피들에 특히 적용가능하며, 이는 이러한 애스펙트 비를 갖는 디바이스 구조들이 일반적으로 피쳐 붕괴에 더욱 민감하기 때문이다. 일반적으로, 본 명세서에 이용된 용어 "애스펙트 비" 는 피쳐의 높이 대 폭의 비율을 지칭할 수도 있다. 디바이스 구조에 대한 예시적인 폭은 대략 10㎚ 와 대략 250㎚ 사이일 수도 있고, 디바이스 구조들 사이의 예시적인 간격 (spacing) 은 대략 10㎚ 와 대략 100㎚ 사이일 수도 있다. 그러나, 디바이스 치수를 감소시키기 위한 기술이 개발됨에 따라 특히 다른 치수의 폭 및/또는 간격이 고려될 수도 있다. 필연적으로 이에 제한하지 않지만, 몇몇 실시형태에서는, 디바이스 구조들은 다마신 프로세스 (damascene process) 에 의해 형성될 수도 있다. 특히, 디바이스 구조의 재료는 희생층의 트렌치 내에 증착될 수도 있고, 후속하여 토포그래피는 희생층의 상부 표면상의 디바이스 구조 재료의 일부를 제거하기 위해 연마될 수도 있다.
일반적으로, 희생층은 디바이스 구조들의 재료에 대해 선택적으로 제거될 수도 있는 임의의 재료를 포함할 수도 있다. 희생층에 대한 예시적인 재료는 실리콘 다이옥사이드 (SiO2), 테트라오르토실리케이트 유리 (TEOS), 실리콘 옥시나이트라이드 (SiOxNy(Hz)), 실리콘 다이옥사이드/실리콘 나이트라이드/실리콘 다이옥사이드 (ONO), 또는 일반적으로 임의의 산화물 층을 포함하지만 이에 한정하지 않는다. 일반적으로, 본 명세서에 이용된 용어 "산화물 층" 은 그 내부에 결합된 산소 원자들을 포함하는 층을 지칭할 수도 있다. 이하 더욱 상세하게 설명하는 바와 같이, 본 명세서에 설명된 방법들 몇몇은 희생층이 산화물 층을 포함하는 프로세스들에 특히 적용가능할 수도 있다. 보다 구체적으로, 에칭액 및/또는 린스액이 마이크로일렉트로닉 토포그래피의 주변의 용해된 산화물 에칭 부산물들을 변성시키도록 화학적으로 구성된 실시형태들은, 이러한 층을 에칭하는 것이 산화물 에칭 부산물들을 발생시킬 것이기 때문에 희생층이 산화물을 포함하는 경우에 대해 특히 적용가능할 수도 있다. 이러한 경우의 에칭액 및/또는 린스액의 화학적 구성의 세부사항들은 블록 (20) 을 참조하여 이하 더욱 상세하게 설명된다. 그 구성에 관계없이, 희생층은 도핑되거나 또는 도핑되지 않을 수도 있다. 몇몇 실시형태에서는 이와 같이, 희생층은 BPSG (borophosphorus silicate glass), PSG (phosphorus silicate glass), 또는 FSG (fluorine silicate glass) 를 포함할 수도 있다.
일반적으로, 선택적인 에칭 프로세스는, 그 디바이스 구조들의 측벽 표면이 노출되도록, 디바이스 구조들에 인접하는 희생층의 부분을 제거할 수도 있다. 몇몇 경우, 디바이스 구조가 자립형이 되도록 선택적인 에칭 프로세스가 수행될 수도 있다. 임의의 경우, 선택적인 에칭 프로세스는 마이크로일렉트로닉 토포그래피에 걸쳐서 희생층의 전체를 제거할 수도 있고, 또는 디바이스 구조 주변의 희생층의 일부만을 제거할 수도 있다. 디바이스 구조 주변의 희생층의 일부만을 제거하는 경우, 마이크로일렉트로닉 토포그래피 내에 잔존하도록 슬레이트된 희생층의 부분 (즉, 디바이스 구조의 주변에 있지 않은 희생층의 부분) 은 선택적인 에칭 프로세스에 대해 마스킹될 수도 있다.
블록 (16) 에 언급된 바와 같이, 몇몇 실시형태에서, 본 명세서에 설명된 방법은, 에칭액이 챔버에 도입되는 속도와 대략적으로 동일한 속도로 프로세스 챔버를 벤팅하는 단계를 포함한다. 이러한 동시 프로세스는 "플로우-쓰루 프로세스" 로도 지칭될 수도 있고, 챔버를 벤팅하는 동안 동시에 에칭액의 새로운 조성을 프로세스 챔버에 도입하는 단계를 포함한다. 일반적으로, 문구 "새로운 조성" 은 프로세스 챔버를 통해서 이전에 처리되지 않는 에칭액을 지칭할 수도 있고, 이에 따라 재순환된 에칭액을 제외한다. 에칭액의 새로운 조성을 도입하는 플로우-쓰루 프로세스는 에칭 프로세스에서 결과로 나타나는 부산물들이 프로세스 챔버로부터 효율적으로 제거되는 것을 허용한다. 부산물들이 프로세스 챔버 내에서 지체하지 않을 것이기 때문에, 이들 부산물들은 마이크로일렉트로닉 토포그래피상에 침전할 가능성도 적게 될 것이다. 점선 연결 블록 (14 및 16) 뿐만 아니라 점선 가장자리 블록 (16) 에 의해, 벤팅 프로세스는 선택적이고, 이에 따라, 몇몇 경우에서는 본 명세서에 설명된 방법으로부터 생략될 수도 있다는 것에 유의해야 한다. 특히, 블록 (14) 을 참조하여 설명된 에칭 프로세스는 에칭액의 배치량 (batched amount) 을 프로세스 챔버에 도입하는 단계 및 그 배치량을 이용하여 마이크로일렉트로닉 토포그래피를 처리하는 단계를 대안적으로 포함할 수도 있다. 또 다른 실시형태에서, 에칭액은 에칭 프로세스 동안 재순환될 수도 있다.
도 1 의 블록 (18) 에 도시된 바와 같이, 이 방법은 선택적인 에칭 프로세스에 후속하여 린스액을 프로세스 챔버로 도입하는 단계를 포함한다. 린스 프로세스는 일반적으로 나머지 에칭액을 제거, 변성 및/또는 희석하고 및/또는 토포그래피로부터 부산물들을 에칭하도록 이용될 수도 있고, 몇몇 실시형태에서는, 토포그래피 상에 있을 수도 있는 임의의 액체의 표면 장력을 저하시키기 위해 과도기적 린스로서 기능할 수도 있다. 이하 설명되는 것과 같이, 린스액은, 블록 (14) 을 참조하여 설명된 에칭액과 유사하게, 액체 상태 또는 초임계 상태 둘 중 하나의 적어도 하나의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체 [즉, 실질적으로 낮은 표면 장력 (예를 들어, 대략 30 dynes/cm 미만) 또는 어떠한 표면 장력도 갖지 않는 유체] 를 포함한다. 이하 언급되는 바와 같이, 린스액은 액체, 기체 또는 플라즈마 상태일 수도 있는 추가적인 화학 물질을 포함할 수도 있으며, 그리하여 린스액은 다른 유체를 포함할 수도 있다. 임의의 경우에, 린스 프로세스의 지속기간은 변경될 수도 있지만, 일반적으로, 대략 60 초 미만일 수도 있다.
몇몇 실시형태에서, 린스액의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체는 에칭액에서 이용된 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체와는 상이할 수도 있다. 그러나, 다른 경우, 린스액의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체는 에칭액에 이용되는 동일한 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체를 포함할 수도 있다. 이러한 실시형태에서, 에칭액과 린스액 사이의 공통점은, 특히, 에칭 프로세스가 도 1 의 블록 (16) 을 참조하여 전술한 바와 같이 플로우-쓰루 프로세스를 포함하는 실시형태에서, 에칭 프로세스에서 린스 프로세스로의 매끄러운 전이를 용이하게 하는 것이다. 더욱 상세하게는, 에칭 프로세스는 프로세스 챔버로의 임의의 추가적인 에칭 화학물질 (즉, 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체에 더해 도입된 화학물질) 의 도입을 중지함으로써 종결될 수도 있고, 이에 따라, 린스 프로세스는 프로세스 챔버에 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 연속적인 도입에 의해 개시할 수도 있다. 대안적으로, 린스 프로세스의 개시는 에칭 프로세스의 종결로부터 지연될 수도 있다.
임의의 경우, 본 명세서에 설명된 방법을 수행하기 위한 타이밍 및/또는 프로세스 챔버의 복잡도를 쉽게 하게 하기 위해, 몇몇 실시형태에서는 린스액의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체가 상대적으로 달성하기 쉬운 (즉, 상대적으로 낮은 임계 온도 및 임계 압력을 갖는) 열역학적 임계점을 갖는 것이 바람직할 수도 있다. 특히, 이하 더욱 상세하게 설명되는 바와 같이, 도 1 의 블록 (30) 을 참조하여 설명된 벤팅 프로세스 이전에 본 명세서에 설명된 방법 동안 몇몇 포인트의 프로세스 챔버 내에 초임계 주변이 확립된다. 이와 같이, 린스 프로세스에 이용된 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체가 벤팅 프로세스에서와 동일한 실시형태에서, 상대적으로 달성하기 쉬운 열역학적 임계점을 갖는 유체가 바람직할 수도 있다. 예시적인 유체들은, 이산화탄소 및 6플루오르화 황을 포함할 수도 있지만 이에 한정하지 않고, 몇몇 경우, 이산화탄소가 블록 (14) 에서 개략된 에칭 프로세스에 대해 설명된 것과 유사한 이유로 특정적으로 이용될 수도 있다. 몇몇 실시형태에서, 린스액의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체는 열역학적 임계점의 대략 90% 초과의 온도 및 압력이 되는 것이 바람직할 수도 있다. 특히, 이러한 열역학적 처리 범위는, 이하 더욱 상세하게 설명되는 블록 (24) 에서 개략된 프로세스에 대해 유체가 이용될 때, 프로세스 챔버 내에서 초임계 상태의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 순수 주변을 후속하여 확립할 필요가 있는 시간량을 감소시키는데 도움이 될 수도 있다.
몇몇 실시형태에서, 린스 프로세스는 단일의 린스 포뮬레이션 (즉, 린스 프로세스 동안 변경된 조성물을 갖지 않는 단일의 포뮬레이션) 을 이용할 수도 있다. 대안적인 경우, 린스 프로세스는 프로세스 챔버에 연속적으로 도입되어 이에 따라 마이크로일렉트로닉 토포그래피에 연속적으로 노출된 다수의 상이한 린스 포뮬레이션들을 이용할 수도 있다. 예를 들어, 린스 프로세스는 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 농도를 변화시키는 린스 포뮬레이션을 순차적으로 도입하는 단계를 포함할 수도 있다. 또 다른 경우, 린스 프로세스는 (예를 들어, 용액 내의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 농도를 점차적으로 변경함으로써) 프로세스 챔버에 도입된 린스액의 조성을 점차적으로 변경하는 단계를 포함할 수도 있다. 이 방식으로, 마이크로일렉트로닉 토포그래피의 환경에서 유체의 표면 장력은 점증하는 방식과는 반대인 선형 방식으로 변경될 수도 있다. 특히, 린스 프로세스가 진행함에 따라 프로세스 챔버에 도입된 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 농도의 점차적인 변화는 프로세스 챔버 내의 린스액이 실질적으로 균일하게 되도록 유리하게 허용할 수도 있으며, 이에 따라, 상이한 린스 포뮬레이션의 명백한 인터페이스가 회피될 수도 있고, 이것은 이하 더욱 상세히 설명되는 바와 같이, 특히, 낮은 표면 장력/표면 장력을 갖지 않는 린스액이 액체 상태일 때 피쳐 붕괴를 방지하도록 도울 수 있다.
둘 중 하나의 경우, 린스 포뮬레이션/들은 몇몇 실시형태에서 린스 프로세스가 진행함에 따라서 프로세스에 도입된 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 농도를 증가시키도록 구성될 수도 있다. 특히, 린스 프로세스가 진행함에 따라 프로세스 챔버에 도입된 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 농도의 단계적인 또는 점차적인 증가는, 후술하는 확립 프로세스 동안 유체가 이용될 때, 도 1 의 블록 (18) 에 개략된 린스 프로세스와 도 1 의 블록 (24) 에 개략된 초임계 상태의 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체의 순수 주변을 확립하는 프로세스 사이의 쉬운 전이를 용이하게 할 수도 있다. 프로세스에 도입된 린스 포뮬레이션의 수는 제조 프로세스의 설계 사양에 일반적으로 의존할 수도 있고, 이에 따라 어플리케이션들 사이에서 변화할 수도 있다는 것에 유의해야 한다.
전술한 바와 같이, 린스액은 추가적인 화학물질 (즉, 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체 이외의 성분) 을 포함할 수도 있다. 몇몇 경우, 이러한 추가적인 화학물질은 용해된 에칭 부산물이 마이크로일렉트로닉 토포그래피상에 침전하는 것을 방지하는 것을 도울 수도 있다. 예를 들어, 린스액은 블록 (22) 에 언급된 바와 같이 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체와 혼합된 일종 이상의 극성 공용매를 선택적으로 포함할 수도 있다. 특히, 몇몇 실시형태에서, 에칭 프로세스로부터 발생된 에칭 부산물들이 극성 양성자성 종일 수도 있다. 또한, 몇몇 용해된 에칭 부산물들은 시간이 지남에 따라 자가-응축 및 가교하는 경향을 갖고, 또한, 비극성 환경에서 그들의 용해도를 감소시키는 경향을 가질 수도 있다. 예를 들어, 산화물층을 에칭하여 발생된 용해된 산화물 전구체는 이러한 경향을 나타내는 극성 양성자성 종인 것이 일반적이다. 이러한 종의 용해도는 증가될 수도 있고, 따라서, 자가 응축하는 경향은 린스 프로세스시에 이용된 비극성 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체로의 일종 이상의 극성 공용매 첨가를 통해서 감소되었다. 특히, 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체를 갖는 일종 이상의 극성 공용매의 함유는, 용해된 에칭 부산물들에 대해 더 나은 용매가 되도록 유체의 극성을 증가시킨다. 린스액 내의 일종 이상의 극성 공용매의 농도는 어플리케이션들 사이에서 변화할 수도 있지만, 예시적인 농도 범위는 대략 5 중량% 와 대략 40중량% 사이일 수도 있다. 그러나, 더 높은 또는 더 낮은 농도가 고려될 수도 있다. 일종 이상의 극성 공용매는 블록 (22) 에 언급된 것과 같은 산, 극성 알코올 및/또는 물을 포함할 수도 있다.
극성 공용매가 산을 포함하는 경우, 블록 (14) 을 참조하여 설명된 에칭 프로세스에 이용된 에칭액의 pKa 보다 낮은 pKa 를 갖는 산이 바람직할 수도 있다. 특히, 이러한 pKa 값을 갖는 산은 용해된 에칭 전구체들의 초기 응축을 억제하기 위해 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체에 충분한 극성을 제공할 수도 있다. 어떠한 산을 선택할지에 대한 예시적인 pKa 범위는 어플리케이션에 기초할 수도 있지만, 대략 6.4 미만, 몇몇 경우, 대략 3.5 미만의 pKa 를 갖는 산이 블록 (14) 을 참조하여 전술된 에칭액에 대해 특별히 고려될 수도 있다. 고려될 수도 있는 예시적인 산은 트리플루오로아세트산, 아세트산, 트리플루오로아메탄술폰산, 메탄술폰산, 벤조산, 질산, 황산, 및 염산을 포함한다. 이에 더해 또는 대안적으로, 린스액에 대한 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체와 물이 혼합될 수도 있다. 극성 공용매로서 기능하는 것에 더해, 물은 탈수 프로세스를 열역학적으로 덜 바람직하게 함으로써 에칭 부산물들의 응축을 방지하도록 도울 수도 있다. 다른 실시형태에서, 린스액의 일종 이상의 극성 공용매는 메탄올, 에탄올, 및 이소프로판올과 같은 극성 알코올을 추가적으로 또는 대안적으로 포함할 수도 있지만 이에 한정하지 않는다.
도 1 의 블록 (20) 에 언급된 바와 같이, 마이크로일렉트로닉 토포그래피상으로의 에칭 부산물의 침전을 억제하는 추가적인 또는 대안적인 방법은 용해된 에칭 부산물을 변성하기 위해 에칭액 및/또는 린스액을 화학적으로 구성하는 것을 포함할 수도 있다. 특히, 에칭 및/또는 린스 프로세스 동안 각각 마이크로일렉트로닉 토포그래피의 주변에서 에칭 부산물들의 용해도가 증가되도록, 에칭 프로세스 및 린스 프로세스 중 하나 또는 모두의 적어도 일부분, 몇몇 경우에는, 전체 지속기간 동안, 에칭 프로세스의 공지된 부산물들을 변성시키도록 구성된 화학물질이 첨가될 수도 있다. 이러한 변성 화학물질과 에칭 부산물과의 상호작용은 마이크로일렉트로닉 토포그래피에 응축 및 침전하는 경향을 감소시킬 것이다. 에칭액 및/또는 린스액 내의 변성 화학물질의 농도는 어플리케이션들 사이에서 달라질 수도 있지만, 예시적인 농도 범위는 대략 10중량% 까지 포함할 수도 있다. 그러나, 더 큰 농도가 고려될 수도 있다. 화학물질과 에칭 부산물들 사이의 상호작용은 공유 또는 비공유일 수도 있다.
예를 들어, 마이크로일렉트로닉 토포그래피의 산화물층이 본 명세서에 설명된 방법을 이용하여 에칭되는 경우, 용해된 히드록시 실란 착물 (Si(OH)4) 과 같은 용해된 산화물 에칭 부산물이 에칭 프로세스 동안, 몇몇 경우에는 린스 프로세스 동안 마이크로일렉트로닉 토포그래피의 주변에 잔류할 수도 있다. 후술하는 바와 같이, 용해된 히드록시 실란 착물 (Si(OH)4) 은 산화물층을 에칭하는데 이용된 불소-계 에칭 프로세스로부터 초래할 수도 있다. 그러나, 용해된 히드록시 실란 착물을 변성시키기 위해, 특히, 그들의 응축 및 침전을 억제하기 위해 에칭 프로세스 및/또는 린스 프로세스 동안 마이크로일렉트로닉 토포그래피의 주변에 변성 화학물질들이 첨가될 수도 있다. 예시적인 변성 화학물질들은, 실라잔, 클로로실란, 히드록시실란, 알콕시실란, 티오닐 클로라이드, 산 무수물, 카르복시산, 이소시아네이트, 아민, 암모늄 염, 알코올, 에테르, 및 계면활성제를 포함할 수도 있지만 이에 한정되지 않는다. 몇몇 경우, 산, 염기 또는 다양한 촉매들이 첨가되어 히드록시 실란과의 반응을 용이하게 할 수도 있다. 전술한 바와 같이, 변성 화학물질은 반응성 부분을 가질 뿐만 아니라, 이 화학물질은 에칭 프로세스 및/또는 린스 프로세스에 이용되는 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체에서의 용해도를 용이하게 하기 위한 기들을 함유하는 비반응성 부분을 함유할 수도 있다. 예시적인 비반응성 부분은 하이드로카본, 플로오로카본 및 실리콘을 포함한다. 몇몇 경우, 비반응성 부분은 히드록시 실란 응축을 방지하도록 더 돕기 위해 입체적으로 벌크성일 수도 있다.
몇몇 경우, 변성 화학물질과 에칭 부산물 사이의 상호작용의 열역학 및 동역학을 최적화하는 것이 특히 바람직할 수도 있다. 특히, 반응의 열역학 및 동역학을 최적화하는 것은, 일반적으로 부산물 침전을 억제하기 위해 변성 화학물질의 유효성을 최대화할 수도 있다. 상호작용의 열역학을 개선시키기 위해, 에칭 부산물에 잘 반응하는 작용기들이 이용될 수도 있다. 예를 들어, 실라잔 및 클로로실란이 히드록시 실란 착물과 반응시키기 위해 열역학적으로 바람직할 수도 있다. 상호작용의 동역학을 돕기 위해, 변성 화학물질의 상당한 과잉분은 이점이 있을 수도 있다. 예를 들어, 300㎜ 웨이퍼 상의 1㎛ 두께 산화물 코팅이 1 리터 압력 용기 내에서 에칭되는 경우, 용해된 산화물의 몰 농도는 대략 0.01 M 이어야만 한다. 이러한 예에서, 에칭액 및/또는 린스액 내의 변성 화학물질의 몰 농도는, 에칭 부산물들이 침전하지 않도록 화학물질과 에칭 부산물 사이의 충분한 동역학 상호작용을 제공하기 위해 대략 0.1 M 보다 클 수도 있다. 또한, (예를 들어, 블록 (16) 을 참조하여 에칭 프로세스에 대해 전술된 것과 같은) 에칭 프로세스 및/또는 린스 프로세스에 대한 플로우-쓰루 프로세스를 채용하는 것은, 형성되어 마이크로일렉트로닉 토포그래피의 주변에 국부 그리고 절대 농도를 증가시키기 때문에, 기판 표면으로부터 에칭 부산물을 스위핑시킴으로써 동역학적으로 바람직할 수도 있다.
에칭 부산물과 잘 반응하는 변성 화학물질을 이용하는 것에 더해, 변성 화학물질 그 자체는 비반응성인 것이 더 바람직할 수도 있다. 그러나, 자기-반응성 화학물질이 이용되는 경우, 이량체 만이 형성되도록, 단기능 변성 화학물질이 이용되는 것이 바람직하다. 예를 들어, 알콕시 실란 기능을 포함하는 변성 화학물질은 히드록시 실란기와 적절하게 반응하지만, 또한 자기-응축할 것이다. 분자당 2 개 이상의 자기-응축가능한 기능을 포함하는 디알콕시 및 트리알콕시 실란은 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체내에서의 용해도를 유지하는 기회가 거의 없는 올리고머 형성 및/또는 가교 실란을 야기할 것이다. 따라서, 에칭액 또는 린스액에서 알콕시 실란 또는 유사 자기-반응성 변성 화학물질을 이용할 때, 자기-응축 반응으로부터 형성된 실란 이량체가 낮은 표면 장력 유체/표면 장력을 갖지 않는 유체 내의 용해도를 여전히 유지해야만 하기 때문에, 모노알콕시실란이 바람직할 것이다. 유사한 이유들이 일반적으로 자기-반응성인 클로로실란에 적용할 수도 있고, 이에 따라, 모노클로로실란이 바람직하다.
종래의 처리에서 불소계 에칭 프로세스의 산화물 에칭 부산물이 왜 마이크로일렉트로닉 토포그래피에 응축되고 침전되기 쉬운지에 대한 설명은 이하 개략된다. 블록 (20 및 22) 을 참조하여 전술된 용액 변화가 산화물 에칭 부산물의 응축 및 침전을 방지하도록 적용가능할 수도 있지만, 본 명세서에 설명된 방법들은 이에 한정되지 않는다는 것에 유의해야 한다. 특히, 에칭 부산물 침전을 억제하기 위한 블록 (20 및 22) (또한, 전술한 블록 (16)) 을 참조하여 논의된 아이디어의 일반적인 개념은, 이용되는 에칭액 및 에칭되는 층의 재료 조성에 기초하여, 임의의 조성의 에칭 부산물의 침전을 방지하도록 적용될 수도 있다. 또한, 블록 (16, 20, 및 22) 을 참조하여 논의된 프로세스들이 반드시 상호 배타적인 것은 아니다. 특히, 본 명세서에 설명된 방법은, 제조 프로세스의 설계 사양에 기초하여, 이러한 프로세스들의 조합 또는 이러한 프로세스들 중 하나의 프로세스를 채용할 수도 있다.
산화물 층 에칭 프로세스에서, SiF4 는 이용된 불소계 에칭 화학물질과 관계없이 에칭 부산물들 중 하나로서 발생된다. SiF4 는 물과 빠르게 반응하여 화학식 1 로 개략된 것과 같이 히드록시 실란 착물 (Si(OH)4) 를 형성한다.
SiF4 + 4H2O → Si(OH)4 + 4HF (1)
비극성 환경에서 제한된 용해도로 인해, 히드록시 실란 착물은 다른 히드록시 실란과 응축을 시작하여 화학식 2 에 나타난 것과 같이 실란 올리고머 (즉, (HO)3Si-O-Si(OH)3) 를 형성할 것이다.
Si(OH)4 + Si(OH)4 → (HO)3Si-O-Si(OH)3 (2)
실란 올리고머는 화학식 3 으로 나타난 것과 같이 용액 중에 산화물 (즉, (Si-O)n) 및 침전을 개질하기 위해 응축을 계속하기 위한 전위를 갖는다.
(HO)3Si-O-Si(OH)3 + n(HO)3Si-O-Si(OH)3 → (Si-O)n + nH2O (3)
그러나, 블록 (16, 20, 및 22) 을 참조하여 논의된 프로세스 및/또는 용액 변성은 산화물 에칭 프로세스 동안 그리고 이에 후속하여 마이크로일렉트로닉 토포그래피에 산화물 에칭 부산물들의 응축 및 침전을 억제하는 것으로 나타나 있다.
전술한 바와 같이, 몇몇 경우에서, 에칭 프로세스 및/또는 린스 프로세스는 액체 상태의 유체를 이용하여 수행될 수도 있다. 이러한 경우, 일종 이상의 액체들의 나머지가 에칭 프로세스 및/또는 린스 프로세스 동안 및/또는 이에 후속하여 디바이스 구조를 둘러싸는 마이크로일렉트로닉 토포그래피 위에 잔존할 수도 있다. 전술한 바와 같이, 액체의 표면 장력으로 인해, 몇몇 실시형태에서는, 이러한 나머지가 디바이스 구조의 붕괴를 야기한다. 그러나, 본 명세서에 설명된 방법은 도 1 의 블록 (24 내지 30) 으로 개략되고 이하 더욱 상세하게 설명되는 피쳐 붕괴를 억제하는 후속 프로세스 단계들에 마이크로일렉트로닉 토포그래피를 노출시킴으로써 이러한 해로운 영향들을 회피한다.
블록 (24 내지 30) 으로 개략된 후속 단계들에 더해, 피쳐 붕괴를 방지하기 위한 하나의 방식은 프로세스 챔버 내에 초임계 주변을 확립하기 전에 액체 내에 가라앉은 디바이스 구조를 유지하는 것이다. 특히, 이러한 예방책은 마이크로일렉트로닉 토포그래피를 조급하게 건조시키는 것을 방지하고 및/또는 마이크로일렉트로닉 토포그래피의 디바이스 구조를 상이한 매체의 계면 장력에 노출시키는 것으로부터 방지할 수도 있다. 피쳐 간격이 계속해서 감소하고 디바이스 구조의 애스펙트비가 계속해서 증가함에 따라 (예를 들어, 대략 20:1 이상의 애스펙트 비), 계면 장력은 토포그래피 건조 이전에 디바이스 구조가 붕괴하는 것을 야기할 수도 있는 것으로 가정된다. 더욱 구체적으로, 몇몇 실시형태에서, 액체-기체 인터페이스 또는 액체-액체 인터페이스에 대한 디바이스 구조의 단순한 노출은 피쳐 붕괴의 가능성을 증가시킬 수도 있는 것으로 가정된다. 이와 같이, 마이크로일렉트로닉 토포그래피의 조급한 건조를 허용하는 것은 피쳐 붕괴에 기여하는 유일한 팩터가 아닐 수도 있다.
마이크로일렉트로닉 토포그래피의 디바이스 구조를 침수시키기 위해 필요한 액체의 양은 어플리케이션들 사이에서 일반적으로 상이할 수도 있다. 그러나, 몇몇 실시형태에서, 디바이스 구조의 상부 표면이 액체-기체 인터페이스 하부에서 적어도 대략 3㎜ 만큼에 있고, 몇몇 경우에는, 대략 3㎜ 와 대략 25㎜ 사이에 있고, 보다 구체적으로는 대략 5㎜ 와 12㎜ 사이에 있도록 하는 것이 특히 바람직할 수도 있다. 이론에 국한되지 않고, 이러한 침전 범위는 마이크로일렉트로닉 토포그래피를 건조하는 것을 방지하고 및/또는 그 위의 디바이스 구조를 프로세스 챔버 내의 초임계 주변을 확립하기 전에 노출하는 것을 방지하는데 충분할 수도 있다고 가정된다. 그러나, 몇몇 경우, 더 작은 침수 버퍼들이 고려될 수도 있다. 몇몇 실시형태에서, 에칭액 및/또는 린스액은 마이크로일렉트로닉 토포그래피의 디바이스 구조 주변에 액체-액체 인터페이스의 형성을 방지하도록 추가적으로 또는 대안적으로 구성될 수도 있다. 특히, 몇몇 실시형태에서, 에칭액 및/또는 린스액은 2 개의 유체의 분산력을 증가시키는 재료, 예를 들어, 계면활성제를 포함할 수도 있다. 또 다른 실시형태에서, 에칭 프로세스 및 린스 프로세스가 초임계 상태의 유체의 존재 하에서 수행될 수도 있다.
액체에 디바이스 구조를 침수시키는 것은 본 명세서에 설명된 발명에 대해 반드시 요구되는 것은 아니라는 것에 유의해야 한다. 특히, 이 방법은, 액체 포뮬레이션이 디바이스 구조의 상부 표면 아래의 레벨에 있게 되도록, 마이크로일렉트로닉 토포그래피에 에칭액 및/또는 린스액을 첨가시키는 단계를 대안적으로 포함할 수도 있다. 더욱 구체적으로, 마이크로일렉트로닉 토포그래피가 건조될 수도 있고 또는 디바이스 구조가 프로세스 챔버 내의 초임계 유체의 주변을 확립하기 전에 노출될 수도 있다는 가능성, 및 이에 따라, 디바이스 구조가 피쳐 붕괴를 허용할 수도 있다는 가능성은 디바이스 구조의 피쳐 간격 및/또는 애스펙트 비에 의존할 수도 있다는 것이 이론화되었다. 특히, 본 명세서에 설명된 방법 및 용액의 개발에 있어서, 피쳐 붕괴는 디바이스 구조가 액체 포뮬레이션에 침수되지 않는 모든 경우에 발생하지 않았지만, 디바이스 구조의 보다 작은 피쳐 간격 및/또는 보다 큰 애스펙트 비에 대해서는 보다 일반적인 것으로 나타났다. 특히, 디바이스 구조 침수를 요구하는 피쳐 간격 및 애스펙트 비의 특정 범위는 연구되지 않았지만, 이와 같은 노력이 본 명세서에 제공된 교시에 기초하여 당업자의 불필요한 실험을 요구하지 않는다는 것에 유의한다. 이와 같이, 디바이스 구조의 침수가 반드시 요구되는 것은 아니지만, 본 명세서에 설명된 에칭 프로세스 및/또는 린스 프로세스에 대한 동작의 선택적인 코스로서 제공된다.
전술한 바와 같이, 도 1 의 블록 (26) 에서, 블록 (30) 에서 프로세스 챔버 내에서 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체가 이와 관련하여 설명된 벤팅 프로세스에 대해 그 임계 온도 이상에 있게 되도록, 이 방법은 도 1 의 블록 (10 내지 30) 에서 개략된 프로세스들의 시퀀스의 몇몇 포인트에서 프로세스 챔버 내의 가열 환경을 제공하는 단계를 포함한다. 이러한 방식으로, 프로세스 챔버 내의 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는 벤팅 프로세스에 대한 초임계 상태를 가정할 수도 있다. 예를 들어, 프로세스 챔버는, 이산화탄소가 챔버 내에 있는 경우에는 대략 31℃ 이상의 온도로 가열되고, 또는, 6플루오르화 황이 프로세스 챔버 내에 있는 경우에는 45.5℃ 초과의 온도로 가열될 수도 있다.
전술한 바와 같이, 본 명세서에 설명된 압력을 발생시키고 이 압력을 견디도록 구성된 프로세스 챔버에 대한 가열 메커니즘은 복잡할 수도 있고, 특히 고온이 요구될 수도 있다. 또한, 프로세스 챔버를 가열시키는데 필요한 에너지의 양은 일반적으로 온도 요건에 따라서 기하급수적으로 증가할 수도 있다. 이와 같이, 몇몇 실시형태에서, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 초임계 상태를 유지하기 위해 프로세스 챔버를 가열하는 온도를 최소화하는 것이 바람직할 수도 있다. 예를 들어, 이산화탄소가 프로세스 챔버 내에 사용되는 경우, 프로세스 챔버를 대략 31℃ 와 대략 60℃ 사이의 온도로, 몇몇 실시형태에서는 대략 31℃ 와 대략 40℃ 사이의 온도로 가열시키도록 제한하는 것이 바람직할 수도 있다. 그러나, 더 높은 온도가 이용될 수도 있다. 몇몇 경우, 유체의 초임계 상태가 블록 (30) 을 참조하여 설명된 벤팅 프로세스에 대해 유지될 수도 있는 것으로 보증하기 위해, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 임계 온도를 1 도 이상 초과하는 온도 범위로 프로세스 챔버를 가열하는 것이 바람직할 수도 있다. 예를 들어, 이산화탄소가 프로세스 챔버 내에서 이용되는 경우, 대략 35℃ 와 대략 40℃ 사이의 온도 범위로 프로세스 챔버를 가열하는 것이 바람직할 수도 있다. 다른 온도 범위가 고려될 수도 있다.
몇몇 실시형태에서, 가열된 환경을 제공하는 프로세스 (즉, 블록 (26)) 가 블록 (12) 에서 프로세스 챔버를 가압하는 것에 후속하여 수행될 수도 있다. 즉, 프로세스 챔버를 가압하는 프로세스는 이러한 프로세스 동안 이용된 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 임계 온도 미만의 온도 범위 내에서 수행될 수도 있다. 이러한 실시형태에서, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는, 프로세스 챔버 내의 포화 증기압이 달성될 때 액체 상태로 변성할 것이다. 이산화탄소를 이용하여 이와 같은 방식으로 프로세스 챔버를 가압하기 위한 예시적인 온도 범위는 대략 30℃ 미만의 온도, 더욱 구체적으로는, 대략 0 ℃ 와 대략 20℃ 사이의 온도의 프로세스 챔버에 이산화탄소를 도입하는 것을 일반적으로 포함할 수도 있다. 프로세스 챔버 내의 포화 증기압을 달성하는 것에 후속하여 종종, 프로세스 챔버의 온도는, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 임계 온도 이상의 온도로 증가될 수도 있다. 임계 온도를 달성하는 순간에, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는 초임계 상태로 변성될 것이다. 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 열역학적 임계점 이상인, 초임계 상태, 및 이에 따른 온도와 압력은, 블록 (30) 을 참조하여 후술된 벤팅 프로세스가 적어도 수행될 때 유지되는 것이 바람직하다.
다른 실시형태에서, 프로세스 챔버는 소정의 유체의 임계 온도까지 (낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체를 이용하여 프로세스 챔버를 가압하기 전에 그리고 또한 프로세스 챔버 내에 마이크로일렉트로닉 토포그래피를 로딩하기도 전에) 예열될 수도 있다. 이러한 실시형태의 이점은, 프로세스 챔버를 가압하고/가압하거나 마이크로일렉트로닉 토포그래피를 로딩한 후에 가열된 환경을 제공하는 것에 비해 전술한 예열을 하는 것이 시간이 더욱 효율적일 수도 있다는 것이다. 특히, 상대적으로 높은 압력을 발생시키고 이 압력에 대해 견디는 프로세스 챔버로 인해, 프로세스 챔버는 실질적으로 두꺼운 벽을 가질 수도 있다. 이러한 프로세스 챔버 내에서 온도를 증가시키는 것은, 상당한 양의 시간 (예를 들어, 30 내지 60 분 정도) 을 취할 수도 있고, 이는 제조 프로세스를 실질적으로 지연시킬 수도 있으며, 이에 따라 제조 수율에 대해서는 바람직하지 않을 수도 있다. 대안적인 경우, 가열 환경을 제공하는 (즉, 프로세스 챔버 내에 임계 온도를 확립하는) 프로세스가 프로세스 챔버를 가압하는 동안 (즉, 블록 (12)) 수행될 수도 있다. 임의의 경우, 블록 (30) 을 참조하여 이하 설명된 벤팅 프로세스가 적어도 수행될 때까지, 임계 온도가 이하 유지될 수도 있다. 이러한 방식으로, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는, 프로세스 챔버 내에 그 유체의 임계 압력이 달성되는 경우, 초임계 상태로 변성하고 유지될 것이다.
프로세스 챔버 내의 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체가 임계 온도까지 가열되는 경우와는 관계없이, 몇몇 실시형태에서, 이 방법은 프로세스 챔버 내에서 초임계 상태의 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 순수 주변을 확립하기 위해 블록 (24) 로 계속될 수도 있다. 즉, 본 명세서에 설명된 방법은 에칭 프로세스 및 린스 프로세스를 참조하여 프로세스 챔버에 사전 첨가된 보충액 성분이 없는 프로세스 챔버 내에서 주변을 확립하도록 진행할 수도 있다. 이러한 방식으로, 프로세스 챔버는 에칭 프로세스 및 린스 프로세스 동안 첨가된 임의의 보충 화학물질을 분출할 수도 있다. 또한, 블록 (24) 은, 이러한 조건들이 블록 (18) 을 참조하여 설명된 선행하는 린스 프로세스에서 이미 확립되지 않은 경우, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체가 초임계 상태가 되도록 프로세스 챔버 내의 환경을 확립할 수도 있다.
몇몇 실시형태에서, 블록 (24) 에서 참조된 주변을 확립하는데 이용된 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는 린스액에 이용된 동일한 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체일 수도 있다. 이러한 경우, 린스 프로세스에 이용되는 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 공급은 린스 부가물의 공급 없이 계속할 수도 있다. 또 다른 실시형태에서, 블록 (24) 에 참조된 주변을 확립하는데 이용된 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는 린스액에 이용된 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체와는 상이할 수도 있다. 특히, 블록 (24) 에 참조된 프로세스는 프로세스 챔버로부터의 린스액을 교체하기 위해 미리결정된 시간 주기 동안 린스액과 상이한 유체에 마이크로일렉트로닉 토포그래피를 노출시키는 단계를 포함할 수도 있다. 이러한 경우, 블록 (24) 의 프로세스에 도입되는 유체는 프로세스 챔버 내에서 린스액의 압력보다 큰 압력에 있을 수도 있다.
몇몇 경우, 블록 (24) 에 참조된 주변을 확립하는데 이용된 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는, 몇몇 실시형태에서는, 챔버 내의 린스액과 혼합되지 않을 수도 있다. 또한, 블록 (24) 에 참조된 주변을 확립하는데 이용된 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는, 몇몇 경우, 프로세스 챔버 내의 린스액보다 낮은 밀도, 임계 온도, 및 줄-톰슨 팽창 계수 (Joule-Thompson coefficient of expansion) 를 가질 수도 있다. 블록 (28) 을 참조하여 이하 설명되는 바와 같이, 이러한 특징을 갖는 유체는, 프로세스 챔버 내의 압력이 마이크로일렉트로닉 토포그래피상의 피쳐에 손상을 가하지 않고 훨씬 더 빠른 속도로 감소될 수 있다는 점에서, 블록 (30) 과 관련하여 더 빠른 벤팅을 위해 적절할 수도 있다. 이러한 상황을 위해 블록 (24) 에 참조된 주변을 확립하는데 이용될 수도 있는 예시적인 유체는 헬륨, 아르곤, 질소, 산소, 및 이들의 혼합물들을 포함할 수도 있지만, 이에 한정하지 않는다. 이러한 경우, 초임계 상태의 유체의 순수 주변이 프로세스 챔버 내에 확립되면, 블록 (24) 의 프로세스는 블록 (30) 의 벤팅 프로세스 동안 블록 (28) 을 참조하여 이하 설명된 이점을 실현할 수도 있고, 이에 따라, 블록 (24) 의 프로세스와는 별개의 블록 (28) 의 프로세스를 채용하는 것이 필요하지 않을 수도 있다.
일반적으로, 블록 (24) 에 참조된 프로세스는 기체 상태의 프로세스 챔버에 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체를 도입하면서, 동시에 프로세스 챔버를 벤팅하는 단계를 포함할 수도 있다. 프로세스 챔버의 온도에 기초하여, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체는 액체 상태 또는 초임계 상태를 가정할 수도 있다. 프로세스 챔버가 임계 온도에 있지 않은 경우, 프로세스 챔버에는 블록 (30) 에서 개략된 후속 벤트 프로세스에 대한 초임계 상태를 가정하기 위해 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 온도를 증가시키기 위한 시간이 제공될 수도 있다. 초임계 상태를 확립하기 위한 시간 및 임의의 보충 화학물질을 실질적으로 제거하기에 충분한 시간의 주기 이후에, 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 도입은 중지될 수도 있고, 벤팅 프로세스가 계속되어 블록 (30) 에 개략된 벤팅 프로세스의 일부가 될 수도 있다.
블록 (30) 에 개략된 벤팅 프로세스는, 초임계 상태에서 기체 상태로 변성시키는데 이용되거나 또는 플로우-쓰루 프로세스에서 프로세스 챔버로부터 초임계 유체를 분출하는데 이용된다. 이 둘 중 하나의 경우에서, 블록 (30) 에 대한 벤팅 프로세스는 프로세스 챔버 내에서 액체의 형성을 방지하기에 충분한 방식으로 수행된다. 예를 들어, 제 1 시나리오에서, 블록 (30) 에 대한 벤팅 프로세스는 액체상의 형성 없이 초임계 상태에서 기체 상태로 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체의 직접 전이를 허용하는 속도로 프로세스 챔버를 벤팅함으로써 수행될 수도 있다. 특히, 벤팅 속도는 마이크로일렉트로닉 토포그래피와 접촉하는 액체 액적의 형성을 유도하는 팽창 냉각을 회피하도록 제어될 수도 있다. 그러나, 몇몇 경우, 전이 프로세스는, 이산화탄소가 초임계 유체인 경우와 같이 시간 낭비일 수도 있다. 특히, 초임계 이산화탄소가 높은 줄-톰슨 팽창 계수를 갖고, 이는, 유체가 기체상으로 팽창함에 따라서 대량의 열이 소모된다는 것을 의미한다. 이는, 빠른 벤팅 프로세스 (예를 들어, 대략 1 분 미만) 가 바람직한 경우에는, 팽창과 관련된 냉각이, 기체로 끓어오르거나 또는 프로세스 챔버 내의 압력에 기초하여 초임계상으로 다시 전이하는 액체 이산화탄소 형성을 유도하기 때문에, 문제가 될 수도 있다. 둘 중 하나의 경우, 상 전이는 마이크로일렉트로닉 토포그래피의 정교한 피쳐에 손상을 유도할 수도 있다.
블록 (30) 에 대한 가속된 벤팅 프로세스를 달성하기 위한 하나의 방법은 프로세스 챔버 내의 초임계 유체를 교체하기 위해 상이한 초임계 유체를 이용하는 것이다. 이러한 프로세스의 상세한 설명은, DeYoung 등의 미국 특허 제6,602,351호, 그리고 DeYoung 등의 미국 특허 제6,905,555호에 제공되며, 본 명세서에 완전하게 설명된 것과 같이 참조로서 통합된다. 옵션의 프로세스가, 프로세스 챔버로부터 블록 (24) 을 참조하여 확립된 초임계 유체가 교체되도록, 프로세스 챔버를 벤팅하는 동안 프로세스 챔버에 상이한 유체를 도입시킴으로써 도 1 에 블록 (28) 으로 묘사된다. 일반적으로, 상이한 초임계 유체는 일반적으로 챔버 내의 유체와 혼합되지 않는다. 또한, 상이한 초임계 유체는 프로세스 챔버 내의 유체보다 낮은 밀도, 임계 온도, 및 줄-톰슨 팽창 계수를 갖는 것이 바람직하다. 결과적으로, 프로세스 챔버 내의 압력은 마이크로일렉트로닉 토포그래피상의 피쳐를 손상시키지 않고 훨씬 빠른 속도로 감소될 수 있다. 또한, 이러한 기술은 프로세스 챔버 내에 액체가 형성되도록 야기하지 않고, 이에 따라, 피쳐 붕괴에 대한 걱정은 감소된다. 가속화된 벤팅 프로세스에 이용될 수도 있는 예시적인 초임계 유체는 헬륨, 아르곤, 질소, 산소, 및 이들의 혼합물을 포함하지만 이에 한정하지 않는다.
임의의 경우, 프로세스 챔버 내의 압력이 프로세스 챔버가 존재하는 환경의 주변 압력 또는 분위기 압력으로 감소된 후에, 마이크로일렉트로닉 토포그래피가 건조되어 손상되지 않을 수도 있다. 마이크로일렉트로닉 토포그래피의 추가적인 처리는 프로세스 챔버 또는 상이한 프로세스 챔버 내에서 계속될 수도 있다.
이러한 개시물의 이점을 가지고 당업자는, 본 발명이 에칭 프로세스 및/또는 후속 린스 프로세스 동안 마이크로일렉트로닉 토포그래피상에의 에칭 부산물들의 응축 및 침전을 방지하기 위한 방법을 제공한다는 것을 이해할 것이다. 본 발명의 다양한 양태들의 추가적인 변형 및 대안적인 실시형태들은 본 설명의 관점에서 당업자에게 명백할 것이다. 예를 들어, 본 명세서에 설명된 수많은 예시들이 에칭 프로세스 및 린스 프로세스 동안 낮은 표면 장력을 갖는 유체/표면 장력을 갖지 않는 유체로서 이산화 탄소를 인용하지만, 본 명세서에 설명된 방법은 이에 한정되지 않는다. 따라서, 이 설명은 오직 예시적인 것으로 해석되고, 본 발명을 수행하는 일반적인 방식을 당업자에게 교시하는 목적을 위한 것이다. 본 명세서에 도시되고 설명된 본 발명의 형태는 현재 바람직한 실시형태들로서 취해지는 것으로 이해된다. 본 발명의 상세한 설명의 이점을 가진 후에 당업자에게 명백한 바와 같이, 엘리먼트 및 재료들은 본 명세서에 예시되고 설명된 것에 대해 치환될 수도 있고, 부분 및 프로세스들은 반전될 수도 있고, 본 발명의 특정 피쳐들이 개별적으로 활용될 수도 있다. 이하의 청구항에 설명된 바와 같이, 본 발명의 사상 및 범위로부터 벗어나지 않고 본 명세서에 설명된 엘리먼트들에 대한 변화가 이루어질 수도 있다.

Claims (23)

  1. 마이크로일렉트로닉 토포그래피 (microelectronic topography) 를 처리하는 방법으로서,
    상기 마이크로일렉트로닉 토포그래피를 프로세스 챔버로 로딩하는 단계;
    적어도 상기 프로세스 챔버 내의 유체가 포화 증기압 또는 임계압에 도달할 때까지 기체 상태의 상기 유체를 상기 프로세스 챔버로 도입하는 단계;
    상기 포화 증기압 또는 임계압을 달성한 이후에, 상기 마이크로일렉트로닉 토포그래피의 상부 표면을 포함하는 층을 선택적으로 에칭하기 위해 상기 마이크로일렉트로닉 토포그래피를 에칭액에 노출시키는 단계로서, 상기 에칭액은 초임계 상태 또는 액체 상태의 유체를 포함하는, 상기 마이크로일렉트로닉 토포그래피를 에칭액에 노출시키는 단계; 및
    상기 마이크로일렉트로닉 토포그래피 상에 에칭 부산물들이 침전하는 것을 억제하기 위해서, 후속하여 상기 마이크로일렉트로닉 토포그래피를 린스액에 노출시키는 단계로서, 상기 린스액은 초임계 상태 또는 액체 상태의 상기 유체와 혼합된 일종 이상의 극성 공용매들을 포함하고, 상기 일종 이상의 극성 공용매들은 상기 에칭액의 pKa 보다 낮은 pKa 를 갖는 산을 포함하는, 상기 마이크로일렉트로닉 토포그래피를 린스액에 노출시키는 단계를 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  2. 제 1 항에 있어서,
    상기 린스액의 상기 산은 대략 6.4 미만의 pKa 를 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  3. 제 1 항에 있어서,
    상기 린스액의 상기 산은 대략 3.5 미만의 pKa 를 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  4. 제 1 항에 있어서,
    상기 린스액의 상기 산은 트리플루오로아세트산, 아세트산, 트리플루오로아메탄술폰산, 메탄술폰산, 벤조산, 질산, 황산, 및 염산으로 이루어지는 그룹으로부터 선택되는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  5. 제 1 항에 있어서,
    상기 린스액의 일종 이상의 극성 공용매들은 상기 산, 극성 알코올, 및 물을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  6. 제 1 항에 있어서,
    상기 에칭액 및 상기 린스액 중 적어도 하나는 상기 마이크로일렉트로닉 토포그래피의 주변 환경 내의 용해된 에칭 부산물들을 변성시키도록 화학적으로 구성되어, 상기 용해된 에칭 부산물들이 상기 마이크로일렉트로닉 토포그래피 상에 침전하는 것을 억제하도록 하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  7. 제 1 항에 있어서,
    상기 마이크로일렉트로닉 토포그래피를 상기 에칭액에 노출시키는 단계는, 상기 에칭액의 새로운 조성을 상기 프로세스 챔버로 도입하면서, 동시에 상기 프로세스 챔버를 벤팅 (venting) 하는 것을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  8. 제 1 항에 있어서,
    초임계 상태의 상기 유체의 순수 주변을 확립하여, 상기 프로세스 챔버로부터 상기 린스액을 교체하는 단계를 더 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  9. 제 1 항에 있어서,
    상기 마이크로일렉트로닉 토포그래피를 소정 시간의 기간 동안 상기 린스액에 노출시키는 단계에 후속하여, 상기 프로세스 챔버 내의 상기 린스액의 압력보다 높은 압력에서 상기 린스액과 상이한 유체에 상기 마이크로일렉트로닉 토포그래피를 노출시키는 단계를 더 포함하고,
    상기 상이한 유체는 상기 린스액과 혼화가능하지 않고,
    상기 상이한 유체에 상기 마이크로일렉트로닉 토포그래피를 노출시키는 단계는 상기 마이크로일렉트로닉 토포그래피를 포함하는 프로세스 챔버로부터 상기 린스액을 교체하는 것을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  10. 제 1 항에 있어서,
    후속하여 상기 마이크로일렉트로닉 토포그래피를 상기 린스액에 노출시키는 단계는, 열역학적 임계점의 대략 90% 보다 높은 온도 및 압력에서 상기 유체를 포함하는 린스액에 상기 마이크로일렉트로닉 토포그래피를 노출시키는 것을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  11. 제 1 항에 있어서,
    상기 마이크로일렉트로닉 토포그래피를 상기 에칭액에 노출시키는 단계는, 상기 마이크로일렉트로닉 토포그래피 내의 복수의 디바이스 구조들을 인케이싱하는 희생층을 선택적으로 에칭하는 것을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  12. 제 1 항에 있어서,
    상기 유체는 이산화 탄소인, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  13. 마이크로일렉트로닉 토포그래피를 처리하는 방법으로서,
    상기 마이크로일렉트로닉 토포그래피를 프로세스 챔버에 로딩하는 단계;
    적어도 상기 프로세스 챔버 내의 유체가 포화 증기압 또는 임계압에 도달할 때까지 기체 상태의 상기 유체를 상기 프로세스 챔버로 도입하는 단계; 및
    상기 포화 증기압 또는 임계압을 달성한 이후에, 상기 마이크로일렉트로닉 토포그래피를 초임계 상태 또는 액체 상태의 유체를 포함하는 에칭액에 노출시켜 상기 마이크로일렉트로닉 토포그래피의 상부 표면을 포함하는 층을 선택적으로 에칭하는 단계로서, 상기 에칭액의 새로운 조성을 상기 프로세스 챔버에 도입하면서, 동시에 상기 프로세스 챔버를 벤팅하는 것을 포함하는, 상기 층을 선택적으로 에칭하는 단계를 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  14. 제 13 항에 있어서,
    상기 에칭액은 상기 마이크로일렉트로닉 토포그래피의 주변 환경 내의 용해된 에칭 부산물들을 변성시키도록 화학적으로 구성되어, 상기 용해된 에칭 부산물들이 상기 마이크로일렉트로닉 토포그래피 상에 침전하는 것을 억제하도록 하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  15. 제 13 항에 있어서,
    상기 층을 선택적으로 에칭하는 단계에 후속하여 린스액을 상기 프로세스 챔버에 도입하여, 상기 마이크로일렉트로닉 토포그래피 상에 에칭 부산물들이 침전하는 것을 억제하도록 하는 단계를 더 포함하고, 상기 린스액은 초임계 상태 또는 액체 상태의 상기 유체와 혼합된 일종 이상의 극성 공용매들을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  16. 제 15 항에 있어서,
    상기 린스액은 상기 에칭액의 pKa 보다 낮은 pKa 를 갖는 산을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  17. 제 15 항에 있어서,
    초임계 상태의 상기 유체의 순수 주변을 확립하여, 상기 프로세스 챔버로부터 상기 린스액을 교체하는 단계를 더 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  18. 제 15 항에 있어서,
    상기 프로세스 챔버 내의 상기 린스액의 압력보다 높은 압력에서 상기 린스액과 상이한 유체를 상기 프로세스 챔버에 도입하여 상기 프로세스 챔버로부터 상기 린스액을 교체하는 단계를 더 포함하고,
    상기 상이한 유체는 상기 린스액과 혼화가능하지 않은, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  19. 제 15 항에 있어서,
    상기 린스액의 일종 이상의 극성 공용매들은 물 및 극성 알코올을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  20. 제 15 항에 있어서,
    상기 린스액을 상기 프로세스 챔버로 도입하는 단계는,
    열역학적 임계점의 대략 90% 보다 높은 온도 및 압력에서 상기 유체를 포함하는 린스액을 상기 프로세스 챔버에 도입하는 것을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  21. 제 13 항에 있어서,
    상기 층을 선택적으로 에칭하는 단계는, 상기 마이크로일렉트로닉 토포그래피 내의 복수의 디바이스 구조들을 인케이싱하는 희생층을 선택적으로 에칭하는 것을 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  22. 제 13 항에 있어서,
    상기 유체는 이산화 탄소인, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
  23. 제 13 항에 있어서,
    상기 에칭액은 플루오르화 수소를 포함하는, 마이크로일렉트로닉 토포그래피를 처리하는 방법.
KR1020117016913A 2009-01-20 2010-01-05 에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법 KR20110117657A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/356,143 2009-01-20
US12/356,143 US20100184301A1 (en) 2009-01-20 2009-01-20 Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process

Publications (1)

Publication Number Publication Date
KR20110117657A true KR20110117657A (ko) 2011-10-27

Family

ID=42337314

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117016913A KR20110117657A (ko) 2009-01-20 2010-01-05 에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법

Country Status (7)

Country Link
US (1) US20100184301A1 (ko)
JP (1) JP2012516034A (ko)
KR (1) KR20110117657A (ko)
CN (1) CN102282652A (ko)
SG (1) SG173011A1 (ko)
TW (1) TW201030826A (ko)
WO (1) WO2010090779A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
WO2012165377A1 (ja) * 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6326041B2 (ja) * 2012-04-17 2018-05-16 プラクスエア・テクノロジー・インコーポレイテッド 二酸化炭素の精製された多相のプロセスツールへのデリバリーシステム
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US10221488B2 (en) 2015-09-18 2019-03-05 General Electric Company Supercritical water method for treating internal passages
US10690464B2 (en) 2017-04-28 2020-06-23 Vista Outdoor Operations Llc Cartridge with combined effects projectile

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3829541A1 (de) * 1987-09-03 1989-03-16 Ricoh Kk Blattfoermige elektrode, verfahren zur herstellung derselben und diese enthaltende sekundaerbatterie
KR100253086B1 (ko) * 1997-07-25 2000-04-15 윤종용 반도체장치제조를위한세정용조성물및이를이용한반도체장치의제조방법
US5962743A (en) * 1998-11-12 1999-10-05 Catalytica Pharmaceuticals, Inc. Process for preparing acylaromatic compounds
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6306754B1 (en) * 1999-06-29 2001-10-23 Micron Technology, Inc. Method for forming wiring with extremely low parasitic capacitance
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6576066B1 (en) * 1999-12-06 2003-06-10 Nippon Telegraph And Telephone Corporation Supercritical drying method and supercritical drying apparatus
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
US7129160B2 (en) * 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
WO2002031253A2 (en) * 2000-10-13 2002-04-18 Micell Technologies, Inc. Device and process for dry-cleaning process using carbon dioxide and a divided pressure vessel
US6905555B2 (en) * 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6596093B2 (en) * 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6613157B2 (en) * 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6641678B2 (en) * 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6602351B2 (en) * 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
DE10109564A1 (de) * 2001-02-28 2002-09-12 Infineon Technologies Ag Grabenkondensator und Verfahren zu seiner Herstellung
US6763840B2 (en) * 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
JP2005516405A (ja) * 2002-01-25 2005-06-02 東京エレクトロン株式会社 超臨界二酸化炭素プロセス中の汚染物の形成を低減する方法
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US6624127B1 (en) * 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
US6735978B1 (en) * 2003-02-11 2004-05-18 Advanced Technology Materials, Inc. Treatment of supercritical fluid utilized in semiconductor manufacturing applications
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050118832A1 (en) * 2003-12-01 2005-06-02 Korzenski Michael B. Removal of MEMS sacrificial layers using supercritical fluid/chemical formulations
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US7291565B2 (en) * 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
JP2008537343A (ja) * 2005-04-15 2008-09-11 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロエレクトロニクスデバイスからイオン注入フォトレジスト層をクリーニングするための配合物
JP2008547050A (ja) * 2005-06-16 2008-12-25 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 硬化フォトレジスト、エッチング後残渣および/または下層反射防止コーティング層の除去のための濃厚流体組成物
US20070095367A1 (en) * 2005-10-28 2007-05-03 Yaxin Wang Apparatus and method for atomic layer cleaning and polishing
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8084367B2 (en) * 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
US20070289467A1 (en) * 2006-06-16 2007-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Direct printing lithography system and method

Also Published As

Publication number Publication date
SG173011A1 (en) 2011-08-29
CN102282652A (zh) 2011-12-14
WO2010090779A3 (en) 2010-09-30
US20100184301A1 (en) 2010-07-22
JP2012516034A (ja) 2012-07-12
WO2010090779A2 (en) 2010-08-12
TW201030826A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
KR20110117657A (ko) 에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법
US8153533B2 (en) Methods and systems for preventing feature collapse during microelectronic topography fabrication
US6149828A (en) Supercritical etching compositions and method of using same
JP2001319918A (ja) 基板表面の処理方法、半導体素子向け基板表面の処理方法
WO2019135901A1 (en) Surface treatment compositions and methods
KR20070019067A (ko) 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
KR20080001613A (ko) 기판 처리 방법 및 기판 처리 장치
KR101791701B1 (ko) 에칭 프로세스 및/또는 후속 린스 프로세스 동안 에칭 부산물들의 침전을 방지하는 방법
TWI385720B (zh) Etching composition and etching treatment method
US8961701B2 (en) Method and system of drying a microelectronic topography
CN112513192A (zh) 表面处理组合物及方法
WO2020044862A1 (ja) 基板処理方法及び基板処理装置
US20240055273A1 (en) Wet Etching Solution and Wet Etching Method
JP4580258B2 (ja) エッチング用組成物及びエッチング処理方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid