CN102027577A - 选择性的感应双图案化 - Google Patents

选择性的感应双图案化 Download PDF

Info

Publication number
CN102027577A
CN102027577A CN2009801177665A CN200980117766A CN102027577A CN 102027577 A CN102027577 A CN 102027577A CN 2009801177665 A CN2009801177665 A CN 2009801177665A CN 200980117766 A CN200980117766 A CN 200980117766A CN 102027577 A CN102027577 A CN 102027577A
Authority
CN
China
Prior art keywords
gas
inorganic material
chamber
plasma processing
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801177665A
Other languages
English (en)
Other versions
CN102027577B (zh
Inventor
S·M·列扎·萨贾迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102027577A publication Critical patent/CN102027577A/zh
Application granted granted Critical
Publication of CN102027577B publication Critical patent/CN102027577B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

提供一种用于形成半导体特征的电感耦合功率(ICP)等离子处理室。提供等离子处理室,包括真空室、至少一个邻近该真空室用以在该真空室提供电感耦合功率的天线、用于在该等离子处理室内支撑硅衬底的衬底支撑件、压强调节器、用于将气体提供到该等离子处理室中的气体入口和用于从该等离子处理室排除气体的气体出口。气体分配系统与该气体入口流体连通,用以提供第一气体和第二气体,其中该气体分配系统可在小于5秒的周期内用该第一气体和该第二气体之一基本上替换该等离子区域中的该第一气体和该第二气体中的另一个。

Description

选择性的感应双图案化
背景技术
本发明涉及半导体器件的形成。
在半导体晶片处理过程中,使用公知的图案化和蚀刻工艺在晶片中形成半导体器件的特征。在这些(光刻)工艺中,光刻胶(PR)材料沉积在晶片上,然后暴露于经过中间掩模过滤的光线。中间掩模通常是图案化有模板特征几何结构的玻璃板,该几何结构阻止光传播透过中间掩模。
通过该中间掩模后,光线接触该光刻胶材料的表面。该光线改变该光刻胶材料的化学成分从而显影剂可以去除该光刻胶材料的一部分。在正光刻胶材料的情况中,去除暴露的区域,而在负光刻胶材料的情况中,去除未暴露的区域。所以,蚀刻该晶片以从不再受到该光刻胶材料保护的区域去除下层的材料,并由此在该晶片中形成所需要的特征。
发明内容
为了实现前面所述以及根据本发明的目的,提供一种用于形成半导体特征的电感耦合功率(ICP)等离子处理室。提供一种等离子处理室,包括真空室、至少一个邻近该真空室用以在该真空室提供电感耦合功率的天线、用于在该等离子处理室内支撑硅衬底的衬底支撑件、用于调节该等离子处理室内的压强的压强调节器、用于将气体提供到该等离子处理室中的气体入口和用于从该等离子处理室排除气体的气体出口。气体分配系统与该气体入口流体连通,用以提供第一气体和第二气体,其中该气体分配系统可在小于5秒的周期内用该第一气体和该第二气体之一基本上替换该等离子区域中的该第一气体和该第二气体中的另一个。
在本发明的另一表现形式中,提供一种形成半导体特征的方法。将晶片装载到电感耦合等离子(ICP)处理室中,其中至少一个导电层和至少一个电介质层形成在该晶片上方,有机材料形成的掩模形成在该至少一个导电层和至少一个电介质层上方。无机材料层沉积在该有机材料掩模上,包括将无机材料沉积气体流进该工艺室,提供电感耦合能量以将该无机材料沉积气体形成为等离子,等离子在该有机材料掩模上沉积无机材料的层,以及停止该无机材料沉积气体流。
本发明的这些和其他特征将在下面的具体描述中结合附图更详细地说明。
附图说明
在附图中,本发明作为示例而不是作为限制来说明,其中类似的参考标号指出相似的元件,其中:
图1是可用于本发明的实施方式的工艺的高层流程图。
图2是可用于实施本发明的等离子处理室的示意图。
图3A-B说明适于实现用于本发明实施方式的控制器的计算机系统。
图4A-H是按照本发明的实施方式处理的层叠的剖视示意图。
图5是形成无机隔离物更详细地流程图。
图6是工艺步骤更详细的流程图。
图7示出气体分配系统的优选实施方式。
图8A-B是处理系统的简化视图,其提供用于限制机构的驱动器的实施方式更详细的视图。
具体实施方式
现在将根据其如在附图中说明的几个实施方式来具体描述本发明。在下面的描述中,阐述许多具体细节以提供对本发明的彻底理解。然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有的情况下,公知的工艺步骤和/或结构没有说明,以避免不必要的混淆本发明。
为了便于理解,图1是可用于本发明的实施方式的工艺的高层流程图。将晶片装载进电感耦合等离子(ICP)处理室(步骤104)。围绕有机材料掩模形成无机隔离物(步骤108)。该无机隔离物可由无机材料组成,如含硅(Si)膜,如SiO2、SiON、SiC、SiOC、SiNC或Si3N4。该有机材料层可以是光刻胶材料。从该无机隔离物之间去除有机材料(步骤112)。蚀刻该无机隔离物之间的开口下方、该晶片上方的电介质层(步骤116)。蚀刻该无机隔离物之间的开口下方、该晶片上方的导电层(步骤120)。剥除该无机隔离物(步骤124)。在另一实施方式中,在蚀刻该无机或导电层时,自动去除该无机隔离物,从而不需要单独的剥除。从该ICP室去除该晶片(步骤128)。在各种不同的实施方式中,蚀刻该电介质层、蚀刻该导电层和剥除该无机隔离物的顺序可以是多种不同的顺序。
图2说明用于本发明一个实施方式的处理工具。图2是等离子处理系统200的示意图,该等离子处理系统200包括等离子处理工具201。该等离子处理工具201是电感耦合等离子(ICP)蚀刻工具,并且包括其中具有等离子处理室204的等离子反应器202。TCP功率控制器250和偏置功率控制器255分别控制TCP功率供应源251和偏置功率供应源256,影响等离子室204中产生的等离子224。
该TCP功率控制器250控制该TCP功率供应源251,构造为将经过TCP匹配网络252调谐的13.56MHz的射频信号提供到设在该等离子室204附近的TCP线圈253。提供RF透明窗254以将TCP线圈253与等离子室204分开,同时允许能量从TCP线圈253传到等离子室204。
该偏置功率控制器255设定用于偏置功率供应源256的设定值,该供应源构造为将经过偏置匹配网络257调谐的RF信号提供到设在该等离子室204内的卡盘电极208,在电极208上方产生直流(DC)偏置,该电极适于接收所处理的衬底206,如半导体晶片工件。
气体供应机构或气体源210包括一个或多个通过气体开关217连接的一种气体或多种气体的源216,该开关能够在不同气体之间快速切换,以便在正确的切换循环中将工艺所要求的正确化学制剂提供到该等离子室204内部。在这个实施方式中,该气体入口具有更接近该室中心的内部入口287和远离该室中心的外部入口289。通过将不同的气体混合物提供到该内部入口287和该外部入口289,该气体开关能够像该室的中心和外部区域提供不同的气体混合物。排气机构218包括压强控制阀219和排气泵220,并且从等离子室204内去除颗粒并在等离子室204内保持特定压强。
温度控制器280通过控制加热剂/冷却剂供应源284而控制提供在该卡盘电极208内的温度控制系统的温度。该加热剂/冷却剂供应源284直接连接到多个温度控制元件285,从而该加热剂/冷却剂供应源284可单独控制多个区域,以允许<1℃的温度控制。该加热剂/冷却剂供应源能够提供-10℃至120℃的加热和冷却。该等离子处理系统还包括电控电路270。该等离子处理系统还可具有端点检测器。
可移动的限制机构291在该室内与该衬底支撑件和室壁隔开,其中该限制机构限定该限制机构内的并且从该衬底支撑件延伸到该限制机构壁的等离子区域224。驱动系统293能够移动该限制机构以调节该等离子区域中压强。这种调节可在晶片处理过程中进行。
图3A和3B说明了一个计算机系统300,其适于实现用于本发明的实施方式的控制电路270的控制器。图3A示出该计算机系统一种可能的物理形式。当然,该计算机系统可以具有从集成电路、印刷电路板和小型手持设备到巨型超级计算机的范围内的许多物理形式。计算机系统300包括监视器302、显示器304、机箱306、磁盘驱动器308、键盘310和鼠标312。磁盘314是用来与计算机系统300传入和传出数据的计算机可读介质。
图3B是计算机系统300的框图的一个例子。连接到系统总线320的是各种各样的子系统。处理器322(也称为中央处理单元,或CPU)连接到存储设备,包括存储器324。存储器324包括随机访问存储器(RAM)和只读存储器(ROM)。如本领域所公知的,ROM用作向CPU单向传输数据和指令,而RAM通常用来以双向的方式传输数据和指令。这两种类型的存储器可包括下面描述的任何合适的计算机可读介质。固定磁盘326也是双向连接到CPU322;其提供额外的数据存储并且也包括下面描述的任何计算机可读介质。固定磁盘326可用来存储程序、数据等,并且通常是次级存储介质(如硬盘),其比主存储器慢。可以理解的是保留在固定磁盘326内的信息可以在适当的情况下作为虚拟存储器以标准的方式结合在存储器324中。可移动存储器314可以采用下面描述的任何计算机可读介质的形式。
CPU322还连接到各种输入/输出设备,如显示器304、键盘310、鼠标312和扬声器330。通常,输入/输出设备可以是下面的任何一种:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸显示器、转换器读卡器、磁带或纸带阅读器、书写板、触针、语音或手写识别器、生物阅读器或其他计算机。CPU322可选地可使用网络接口340连接到另一台计算机或者电信网络。利用这样的网络接口,计划在执行上述方法步骤地过程中,CPU可从网络接收信息或者向网络输出信息。此外,本发明的方法实施方式可在CPU322上单独执行或者可在如Internet的网络上与共享该处理一部分的远程CPU一起执行。
另外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,在计算机可读介质上有用于执行各种计算机实现的操作的计算机代码。该介质和计算机代码可以是那些为本发明目的专门设计和构建的,或者它们可以是对于计算机软件领域技术人员来说公知并且可以得到的类型。计算机可读介质的例子包括,但不限于:磁介质,如硬盘、软盘和磁带;光介质,如CD-ROM和全息设备;磁-光介质,如光软盘;以及为了存储和执行程序代码专门配置的硬件设备,如专用集成电路(ASIC)、可编程逻辑器件(PLD)以及ROM和RAM器件。计算机代码的例子包括如由编译器生成的机器代码,以及包含高级代码的文件,该高级代码能够由计算机使用解释器来执行。计算机可读介质还可以是在载波中由计算机数据信号携带的并且表示能够被处理器执行的指令序列的计算机代码。示例
图4A是晶片404的剖视示意图。在这个示例中,该晶片404是硅晶片,其形成衬底。多个不同的层形成在该晶片404上方。在这个示例中,导电层408形成在该硅晶片404上方,中间层412(其可以是任何类型的膜,如电介质、有机或导电层)形成在该导电层408上方,以及无机电介质层416形成在该中间层412上方。由光刻胶形成的有机材料掩模420设在该电介质层416上方。该有机材料掩模420优选地是光刻胶掩模。在其他实施方式中,多种电介质层和导电层的组合可设在该有机材料掩模和该晶片之间。该晶片404设在该等离子处理系统200中(步骤104)。
无机隔离物形成在该有机材料掩模的侧面上(步骤108)。图5是形成该无机隔离物的更详细的流程图(步骤108)。在这个实施方式中,这样的工艺包括执行多个循环,其中每个循环包括用于在该有机光刻胶掩模上沉积无机材料层的沉积阶段(步骤504)和用于将所沉积的有机层形成隔离物的形成阶段(步骤508)。图4B是在沉积阶段之后沉积层424已经形成在该有机材料掩模420上以后的层叠示意图。该形成阶段可回蚀沉积在该水平表面上的无机层并形成该侧壁。在另一实施方式中,该形成阶段可化学反应所沉积的无机层以在该有机材料掩模的侧壁上形成不同的无机材料隔离物。例如,如果所沉积的层是硅,则可使用氧来将该硅层形成为氧化硅以提供氧化硅隔离物。图6是可在某些工艺步骤或阶段使用的工艺的更详细流程图。例如,该沉积阶段504包括将工艺气流进该工艺室(步骤604)、提供电感耦合能量以将该工艺气体形成等离子(步骤608)和停止该工艺气体流(步骤612)。在这个示例中,该工艺气体可以是沉积气体以沉积无机材料。类似地,该形成阶段也提供工艺气体、使用电感耦合能量以将该工艺气体形成等离子以及停止该工艺气体流。在这个阶段过程中,该工艺气体可以是蚀刻气体。该沉积气体不同于该形成气体,这就是为什么要在该形成阶段之前停止该沉积气体流。图4C是该无机隔离物428的形成完成后的视图。
使用单个步骤形成该无机材料隔离物的示例制法提供10毫托的压强。提供200瓦特功率的13.56MHz的RF功率。不提供偏置电压。提供0.5sccm SiH4、100sccm Ar和10sccm O2组成的工艺气体。
在另一示例中,提供具有沉积阶段和形成阶段(在这个示例中是氧化阶段)的多个循环。对于该沉积阶段,提供10毫托的压强。提供200瓦特功率的13.56MHz的RF功率。不提供偏置电压。提供0.5sccm SiH4、100sccm Ar和10sccm O2组成的工艺气体持续1秒至几秒,然后停止。对于该形成阶段(氧化步骤),提供50毫托的压强。提供200瓦特功率的13.56MHz的RF功率。不提供偏置电压。提供40sccm O2组成的工艺气体持续4秒,然后停止。该沉积和形成阶段优选地重复超过4次,循环的数量取决于所需要的形状。
在这个示例中,需要在小于5秒内在该沉积阶段和该形成阶段之间切换,其中该切换在小于5秒的时间内,在整个等离子区域中用该形成阶段气体替换该沉积阶段气体。更优选地,在小于1秒的时间内,在整个等离子区域内用一种气体替换另一种气体。优选地,一个循环的该沉积阶段和该形成阶段每个的周期小于10秒。优选地,每个循环的周期小于20秒。更优选地,每个循环的周期小于5秒。还希望将不同的气体提供到该室内的不同区域。例如,在该室的中心区域提供与该室边缘区域不同的气体比率。这种将不同的气体比率提供到不同区域的气体切换系统在美国专利申请公布号2007/0066038A1中描述用于电容耦合等离子系统,主题为“FastGas Switching Plasma Processing Apparatus”,由Sadjadi等人申请,并且为了全部目的通过引用结合在这里。这个快速切换允许每个循环的周期可以小到0.5秒。
在这个示例中,将该无机隔离物之间的有机材料蚀刻掉,可以使用剥除工艺来去除该有机材料(步骤112)。这可通过提供工艺气体(步骤604)、提供电感耦合能量以将该工艺气体形成为等离子(步骤608)以及然后停止该工艺气体(步骤612)来完成。用于去除该有机材料的工艺气体的示例可以是氧气。图4D是已经剥除该有机材料之后的示意图。
在这个剥除工艺的示例制法中,提供50毫托的压强。提供200瓦特功率的13.56MHz的RF功率。不提供偏置电压。提供100sccm O2组成的工艺气体。
因为在这个示例中,该电介质层416在顶层,所以首先蚀刻该电介质层416(步骤116)。在这个示例中,单步骤工艺用于该电介质蚀刻。在其他实施方式中,具有至少两个阶段的循环工艺可用于该电介质蚀刻。在这个示例中,将工艺气体流进该工艺室(步骤604)。使用电感耦合能量来将该工艺气体形成为等离子(步骤608)。停止该工艺气体流(步骤612)。图4E是在蚀刻该电介质层之后的示意图。
在这个实施方式中该电介质层416可包括任何含硅膜(如SiO2,Si3N4,SiC,SiON,SiOC)或有机膜(如无定形碳、PR或这些膜的衍生物)的至少一个。
在一个实施方式中,其中该电介质层是SiO2,用于蚀刻该电介质层的示例制法将提供10毫托的室压。提供200瓦特功率的13.56MHz的RF功率。提供200伏特偏置电压。提供110sccm CHF3和30sccm He组成的工艺气体。
在这个实施方式中,然后蚀刻该中间层412(步骤120)。图4F是已经蚀刻该中间层之后的视图。
在这个实施方式中该中间层可以是无机电介质材料,如氧化硅、氮化硅或基于氮氧化硅的材料,或者是有机层,或导电层。
在另一实施方式中,该中间层蚀刻可使用多个循环,其中每个循环具有至少两个阶段。
在这个实施方式中,导电层蚀刻在该导电层408上执行(步骤116)。这样的蚀刻可在一个循环的多个步骤执行或者以单一步骤执行。图4G是蚀刻该导电层之后的视图。
导电层的示例可以是多晶硅、W和硅化钨。对于多晶硅导电层,导电层蚀刻的示例可提供2毫托压强。提供1000瓦特功率的13.56MHz的RF功率。提供200伏特偏置电压。提供20sccm HBr和20sccm O2组成的工艺气体。
如果在蚀刻完成之后还有一些无机隔离物残留,可将该无机隔离物蚀刻掉(步骤124)。在这样的工艺中,将工艺气体提供到该ICP室中。提供ICP功率以将该工艺气体形成为等离子,该等离子去除该无机隔离物。然后停止该工艺气体。图4H是已经去除该无机隔离物之后的视图。
用于去除该无机隔离物的示例制法提供100毫托的压强。提供100瓦特功率的13.56MHz的RF功率。不提供偏置电压。提供5sccm CF4组成的工艺气体。
在另一实施方式中,该无机隔离物的去除可使用多个循环,其中每个循环具有至少两个阶段。
然后将该晶片404从该ICP室去除(步骤128)。所以,在这个实施方式中,在有机材料掩模的侧壁上形成无机隔离物、该电介质层蚀刻、该导电层蚀刻、该有机材料掩模的去除和该无机侧壁隔离物的去除全都在该ICP室中原位进行。
图7示出一个优选实施方式,其中该气体分配系统210包括气体源216和气体开关217,其中在这个示例中,该气体开关217包括彼此流体连通的流量控制部分704和气体切换部分708。该气体分配系统210优选地由该控制器270来控制,其以控制通信连接以控制该气体源216、流量控制部分704和气体切换部分708的运转。
该气体分配系统210中,该气体源216可经由各自的第一和第二气体管线712、716将不同的气体(如第一和第二工艺气体)提供到该流量控制部分704。该第一和第二气体可具有彼此不同的组分和/或气体流量比率。
该流量控制部分704运行以控制可提供到该切换部分708的不同气体的流率,可选地还调节这些气体的组分。该流量控制部分704可分别经由气体通道720、724和728、732将不同流率和/或化学制剂的该第一和第二气体提供到该切换部分708。另外,提供到该等离子处理室204的第一气体和/或第二气体的流率和/或化学制剂对于该ICP室的内部区域和外部区域可以不同。因而,该流量控制部分704可在该衬底提供所需的气体流量和/或气体化学制剂,由此增强衬底处理一致性。
该气体分配系统210中,该切换部分708运行以在短时间内从该第一气体切换到该第二气体,以允许在单个或多个区域中用第二气体替换第一气体,例如,该内部区域和该外部区域,而同时将该第一气体转到旁通管线,反之亦然。该气体切换部分708优选地可在该第一和第二气体之间切换而不会在任一气体中发生不希望的压强波动和流量不稳定。如果需要,该气体分配系统210可保持通过该等离子处理室的第一和第二气体的基本上不变的连续体积流率。在上面提到的美国专利申请公开号2007/0066038A1描述的该切换部分708、流量控制部分704和气体源216可用于本发明的这个实施方式中。
图8A是该处理系统200的简化视图,其提供用于该限制机构291的驱动器293的实施方式的更详细视图。图8A中,该限制机构291处于升高位置。在这个实施方式中,该限制机构291包括三个环292,在这些环292之间具有两个间隙294。在图8A示出的位置中,该限制机构291提供最大的限制。等离子和其他气体必须通过该间隙294以及室顶部与该限制机构顶部之间的间隙,以便被排除,这样增加了该等离子区域中的限制和压强。
在这个实施方式中,驱动机构293转动蜗杆驱动器295,其导致该限制机构291平移运动。在这个示例中,该驱动器293降低该限制机构291,这样增加该室顶部和该限制机构顶部之间的间隙,从而降低气体从该等离子区域到该排气系统的阻力。图8B是该处理系统200在该驱动器293完全将该限制机构291降低以后的简化视图。在其他实施方式中,根据行进距离(在这个例子中是约10cm),其他机构(如由步进电机驱动的凸轮系统)可用于该驱动器机构。
在另一实施方式中,可调节这些环之间的间隙。这种构造中,形成该限制机构的环可相对彼此独立移动。
该限制机构的调整调节压强和限制容积。
在本发明的一个实施方式中,该有机材料层上的无机材料层的剥除或沉积任一个还可包括多个循环,每个循环至少包括两个阶段。
对该ICP系统的修改允许以阶段时间1秒的快速体切换模式在有机层上形成无机层和无机隔离物。该修改还允许在单个ICP工艺室中原位蚀刻该导电体、无机电介质和有机层。在一些实施方式中,该修改还可允许硅层在该ICP工艺室中的原位蚀刻。提供这种能力的这样的修改相信不是根据现从有技术中显而易见的。
尽管本发明依照多个实施方式描述,但是存在落入本发明范围内的改变、置换和各种替代等同物。还应当注意,有许多实现本发明方法和设备的可选方式。所以,其意图是下面所附的权利要求解释为包括所有这样的落入本发明主旨和范围内的改变、置换和各种替代等同物。

Claims (18)

1.一种用于形成半导体特征的电感耦合功率(ICP)等离子处理室,包括:
等离子处理室,包括:
真空室;
至少一个天线,邻近该真空室用以在该真空室提供电感耦合功率;
衬底支撑件,用以在该等离子处理室内支撑硅衬底;
压强调节器,用于调节该等离子处理室内的压强;
气体入口,用于将气体提供到该等离子处理室中;以及
气体出口,用于从该等离子处理室排除气体;以及
气体分配系统,与该气体入口流体连通用以提供第一气体和第二气体,其中该气体分配系统在小于5秒的时间内用该第一气体和该第二气体之一基本上替换该等离子区域中的该第一气体和该第二气体中的另一个。
2.根据权利要求1所述的ICP等离子处理室,进一步包括:
限制机构,其与该衬底支撑件和该真空室隔开并且在该真空室内,其中该限制机构在限制区域内限定从该衬底支撑件延伸到该限制机构的等离子区域;以及
驱动系统,用以在一定方向移动该限制机构以围绕该晶片,以便留出相比整个室容积较小的围绕该晶片的容积。
3.根据权利要求1-2所述的ICP等离子处理室,进一步包括温度控制器,其提供对该衬底支撑件的加热和冷却以便提供至少-10℃至120℃的温度范围。
4.根据权利要求3所述的ICP等离子处理室,其中该温度控制器能够单独加热和冷却该衬底上的多个区域,并保持<1℃的衬底温度控制。
5.根据权利要求1-4所述的ICP等离子处理室,进一步包括:
RF功率源,电气连接到该天线,该天线提供频率在13.56MHz和100MHz之间的RF功率。
6.根据权利要求1-5所述的ICP等离子处理室,其中该真空室包括第一区域和第二区域,和其中该气体分配系统将该第一气体提供到该第一区域并且将第三气体提供到第二区域,其中该第一气体与该第三气体不同。
7.根据权利要求6所述的ICP等离子处理室,其中该第一气体不同于该第三气体,因为该第一气体与该第三气体具有不同流量比的气体混合物。
8.根据权利要求1-7所述的ICP等离子处理室,其中该气体分配系统包括:
气体源,其提供多种不同气体;
气体流量控制系统,与控制该不同气体的流率的气体源流体连通;以及
气体切换部分,与该气体流量控制系统流体连通,该部分能够在不同的气体之间切换以便在5秒钟之内用一种气体替换另一种气体。
9.一种形成半导体特征的方法,包括:
a)将晶片装载进电感耦合等离子(ICP)处理室,其中至少一个导电层和至少一个电介质层形成在该晶片上方,并且有机材料形成的掩模形成在该至少一个导电层和至少一个电介质层上方;
b)在该有机材料掩模上沉积无机材料层,包括:
将无机材料沉积气体流入该处理室;
提供电感耦合能量以将该无机材料沉积气体形成为等离子,其在该有机材料掩模上沉积无机材料的层;以及
停止该无机材料沉积气体流。
10.根据权利要求9所述的方法,进一步包括形成该无机材料层以在该有机材料掩模的侧壁上形成无机材料隔离物。
11.根据权利要求9-10所述的方法,其中该有机层是光刻胶。
12.根据权利要求9-11所述的方法,其中该形成该无机材料包括化学反应该无机材料层,以在该有机材料掩模的侧壁上形成不同的无机材料隔离物。
13.根据权利要求9-12所述的方法,其中该无机材料是含硅膜,如SiO2、SiON、SiC、SiOC、SiNC或Si3N4
14.根据权利要求9-13所述的方法,进一步包括去除该无机材料隔离物之间的有机材料掩模。
15.根据权利要求9-14所述的方法,进一步包括:
在该ICP等离子处理室中蚀刻该至少一个电介质层;以及
在该ICP等离子处理室中蚀刻至少一个导电层。
16.根据权利要求9-15所述的方法,进一步包括去除该无机材料隔离物。
17.根据权利要求9-16所述的方法,进一步包括使用围绕该晶片和线圈之间的区域设置的限制机构来提供等离子限制。
18.根据权利要求9-17所述的方法,其中沉积该无机材料层和形成该无机材料层执行多个循环,其中每个循环的周期小于20秒。
CN2009801177665A 2008-05-15 2009-05-08 选择性的感应双图案化 Active CN102027577B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/121,711 US20090286397A1 (en) 2008-05-15 2008-05-15 Selective inductive double patterning
US12/121,711 2008-05-15
PCT/US2009/043370 WO2009140172A2 (en) 2008-05-15 2009-05-08 Selective inductive double patterning

Publications (2)

Publication Number Publication Date
CN102027577A true CN102027577A (zh) 2011-04-20
CN102027577B CN102027577B (zh) 2013-05-08

Family

ID=41316585

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801177665A Active CN102027577B (zh) 2008-05-15 2009-05-08 选择性的感应双图案化

Country Status (6)

Country Link
US (1) US20090286397A1 (zh)
KR (2) KR101625696B1 (zh)
CN (1) CN102027577B (zh)
SG (1) SG191579A1 (zh)
TW (1) TWI476828B (zh)
WO (1) WO2009140172A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103456610A (zh) * 2013-08-21 2013-12-18 中国人民解放军国防科学技术大学 一种SiC光学材料加工设备
CN110739372A (zh) * 2019-08-28 2020-01-31 华灿光电(苏州)有限公司 发光二极管外延生长反应腔的恢复方法及其外延生长方法
CN113227909A (zh) * 2018-12-20 2021-08-06 朗姆研究公司 抗蚀剂的干式显影

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
EP2567392A1 (en) * 2010-05-06 2013-03-13 Oerlikon Solar AG, Trübbach Plasma reactor
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
FR2993576B1 (fr) * 2012-07-20 2018-05-18 Nanoplas Dispositif de traitement d'un objet par plasma
US20140131308A1 (en) * 2012-11-14 2014-05-15 Roman Gouk Pattern fortification for hdd bit patterned media pattern transfer
KR101723546B1 (ko) * 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US20030121898A1 (en) * 2001-11-26 2003-07-03 Tom Kane Heated vacuum support apparatus
US6846747B2 (en) * 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US7156951B1 (en) * 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US7001491B2 (en) * 2003-06-26 2006-02-21 Tokyo Electron Limited Vacuum-processing chamber-shield and multi-chamber pumping method
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
JP4515950B2 (ja) * 2005-03-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法およびコンピュータ記憶媒体
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US8034181B2 (en) * 2007-02-28 2011-10-11 Hitachi High-Technologies Corporation Plasma processing apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103456610A (zh) * 2013-08-21 2013-12-18 中国人民解放军国防科学技术大学 一种SiC光学材料加工设备
CN103456610B (zh) * 2013-08-21 2016-12-28 中国人民解放军国防科学技术大学 一种SiC光学材料加工设备
CN113227909A (zh) * 2018-12-20 2021-08-06 朗姆研究公司 抗蚀剂的干式显影
CN110739372A (zh) * 2019-08-28 2020-01-31 华灿光电(苏州)有限公司 发光二极管外延生长反应腔的恢复方法及其外延生长方法
CN110739372B (zh) * 2019-08-28 2020-12-04 华灿光电(苏州)有限公司 发光二极管外延生长反应腔的恢复方法及其外延生长方法

Also Published As

Publication number Publication date
TWI476828B (zh) 2015-03-11
KR101625696B1 (ko) 2016-05-30
US20090286397A1 (en) 2009-11-19
KR101631047B1 (ko) 2016-06-16
CN102027577B (zh) 2013-05-08
WO2009140172A2 (en) 2009-11-19
TW201005823A (en) 2010-02-01
SG191579A1 (en) 2013-07-31
KR20110007192A (ko) 2011-01-21
KR20150115946A (ko) 2015-10-14
WO2009140172A3 (en) 2010-04-01

Similar Documents

Publication Publication Date Title
CN102027577B (zh) 选择性的感应双图案化
KR101758932B1 (ko) 화학적 기상 증착을 이용한 패시베이션을 갖는 실리콘 에칭
KR101652138B1 (ko) 스페이서들을 형성하기 위한 장치 및 방법
US8262920B2 (en) Minimization of mask undercut on deep silicon etch
TWI446438B (zh) 用以控制微負載效應之脈衝偏壓電漿處理
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
CN107045977A (zh) 连续等离子体中的原子层蚀刻
CN101779277B (zh) 用于蚀刻设在反射层下方的介电层的方法和设备
JP5489724B2 (ja) エッチング中のラインエンドショートニングの低減
TWI545648B (zh) 擬硬遮罩用之擺動控制
CN101730930A (zh) 在蚀刻高纵横比结构中降低微负载的方法
CN101371336B (zh) 鳍结构成形
US8986492B2 (en) Spacer formation for array double patterning
KR20110040933A (ko) H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선
KR20140082575A (ko) 인시츄 금속 잔유물 세정
CN101882580B (zh) 具有减少的低k介电损伤的剥除
CN107919264A (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
JP2016032117A (ja) タングステン含有層をエッチングする方法
US11955319B2 (en) Processing chamber with multiple plasma units
TWI768026B (zh) 用於半導體處理之矽基沉積

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant