CN101882580B - 具有减少的低k介电损伤的剥除 - Google Patents

具有减少的低k介电损伤的剥除 Download PDF

Info

Publication number
CN101882580B
CN101882580B CN2010101832789A CN201010183278A CN101882580B CN 101882580 B CN101882580 B CN 101882580B CN 2010101832789 A CN2010101832789 A CN 2010101832789A CN 201010183278 A CN201010183278 A CN 201010183278A CN 101882580 B CN101882580 B CN 101882580B
Authority
CN
China
Prior art keywords
fluorocarbon
gas
divests
divest
minimizing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2010101832789A
Other languages
English (en)
Other versions
CN101882580A (zh
Inventor
宾·基
安德鲁·D·贝利三世
里亚姆·莫拉维茨
史蒂芬·M·斯拉尔德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101882580A publication Critical patent/CN101882580A/zh
Application granted granted Critical
Publication of CN101882580B publication Critical patent/CN101882580B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一种在等离子处理室中、在设在光刻胶掩模下方的低K介电层中形成蚀刻特征的方法。通过该光刻胶掩模将特征蚀刻进该低K介电层。剥除该光刻胶掩模,其中该剥除包括至少一个循环,其中每个循环包括碳氟化合物剥除阶段,包括将碳氟化合物剥除气体流进该等离子处理室、由该碳氟化合物剥除气体形成等离子和停止进入该等离子处理室的碳氟化合物剥除气体流,以及减少的碳氟化合物剥除阶段,包括将碳氟化合物流率比该碳氟化合物剥除气体低的减少的碳氟化合物剥除气体流入该等离子处理室、由该减少的碳氟化合物剥除气体形成等离子和停止减少的碳氟化合物剥除气体流。

Description

具有减少的低K介电损伤的剥除
技术领域
本发明涉及通过使用等离子蚀刻穿过由有机掩模形成的介电层并随后剥除该掩模而在半导体晶片上形成结构的方法。
背景技术
在半导体等离子蚀刻应用,等离子通常用来将有机掩模图案(如光刻胶掩模图案)转移到电路以及晶片上的超低k介电层线路图案中。这通过蚀刻掉在该掩模图案的开口区域中该光刻胶掩模材料下方的该超低k介电层而实现。这个蚀刻反应由化学反应物质以及通过在包含在真空外壳中的反应剂混合物中放电而生成的带电粒子所引起,这个真空外壳也称作反应器室。另外,还使这些离子朝向该晶片材料加速、穿过该气体混合物和该晶片材料之间产生的电场,沿该离子轨迹的方向、以称作各向异性蚀刻的方式定向去除该蚀刻材料。在该蚀刻次序的结束,该掩模材料通过将其剥除掉而去除,在其位置上留下该最初期望的掩模图案的横移模式的复制品。
发明内容
为了实现前面所述的以及按照本发明的目的,提供一种在等离子处理室中、在设在光刻胶掩模下方的低k介电层中形成蚀刻特征的方法。穿过该光刻胶掩模将该特征蚀刻进该低k介电层。在将该特征蚀刻进该低k介电层之后剥除该光刻胶掩模,其中该剥除包括至少一个循环,其中每个循环包括:碳氟化合物剥除阶段,包括将碳氟化合物剥除气体流进该等离子处理室、由该碳氟化合物剥除气体形成等离子和停止进入该等离子处理室的碳氟化合物剥除气体流;以及减少的碳氟化合物剥除阶段,包括将碳氟化合物流率比该碳氟化合物剥除气体低的减少的碳氟化合物剥除气体流入该等离子处理室、由该减少的碳氟化合物剥除气体形成等离子和停止减少的碳氟化合物剥除气体流。
在本发明的另一方面,提供一种从处理室中被蚀刻的低k介电层上方剥除有机掩模层的方法。从该被蚀刻的低k介电层剥除该有机掩模,包括至少一个循环,其中每个循环包括:碳氟化合物剥除阶段,包括将碳氟化合物剥除气体流入该处理室、由该碳氟化合物剥除气体形成等离子和停止进入该处理室的碳氟化合物剥除气体流;以及减少的碳氟化合物剥除阶段,包括将碳氟化合物流率比该碳氟化合物剥除气体低的减少的碳氟化合物剥除气体流入该处理室、由该减少的碳氟化合物剥除气体形成等离子和停止减少的碳氟化合物剥除气体流。
在本发明的另一方面,提供一种在设在光刻胶掩模下方的低k介电层中形成特征的设备。等离子处理室,包括形成等离子处理室外壳的室壁、用于在该等离子处理室外壳内支撑基片的基片支撑件、用于调节该等离子处理室外壳中压力的压力调节器,至少一个用于将功率提供至该等离子处理室外壳以维持等离子的电极、用于将气体提供进该等离子处理室外壳的气体入口以及用于将气体排出该等离子处理室外壳的气体出口。气体源与该气体入口流体连通,并包括蚀刻气体源、碳氟化合物剥除气体源和减少的碳氟化合物剥除气体源。控制器以可控方式连接到该气体源和该至少一个电极,并包括至少一个处理器和计算机可读介质。该计算机可读介质包括用于穿过该光刻胶掩模将该特征蚀刻进该低k介电层的计算机可读代码和用于在将该特征蚀刻进该低k介电层之后剥除该光刻胶掩模的计算机可读代码。该用于剥除该光刻胶掩模的计算机可读代码包括至少一个循环,其中每个循环包括:碳氟化合物剥除阶段,包括将碳氟化合物剥除气体流进该等离子处理室、由该碳氟化合物剥除气体形成等离子和停止进入该等离子处理室的碳氟化合物剥除气体流;以及减少的碳氟化合物剥除阶段,包括将碳氟化合物流率比该碳氟化合物剥除气体低的减少的碳氟化合物剥除气体流入该等离子处理室、由该减少的碳氟化合物剥除气体形成等离子和停止减少的碳氟化合物剥除气体流。
本发明的这些和其他特征将在下面的具体描述中结合附图更详细地说明。
附图说明
在附图中,本发明作为示例而不是作为限制来说明,其中类似的参考标号指出相似的元件,其中:
图1是创新性蚀刻工艺的流程图。
图2A-D是使用该创新性工艺形成特征的示意图。
图3是可用于实施本发明的系统的示意图。
图4A-B是可用于实施本发明的计算机系统的示意图。
图5是该碳氟化合物剥除阶段的更详细的流程图。
图6是该减少的碳氟化合物剥除阶段的更详细的流程图。
图7是示出使用现有CO2剥除工艺和使用该创新性剥除工艺的纳米级超低k损伤的图表。
具体实施方式
现在将根据其如在附图中说明的几个实施方式来具体描述本发明。在下面的描述中,阐述许多具体细节以提供对本发明的彻底理解。然而,对于本领域技术人员,显然,本发明可不利用这些具体细节的一些或者全部而实施。在有的情况下,公知的工艺步骤和/或结构没有说明,以避免不必要的混淆本发明。
在半导体先过孔后沟槽(VFTL)双大马士革(DD)处理中,添加有机成分以提供更低的介电常数的基于氧化硅的低介电常数(低k)材料在蚀刻和抗蚀剂剥除工艺过程中暴露于多种多样的反应剂。所暴露的低k电介质材料往往受到蚀刻/剥除等离子和化学制剂的损伤。通常,低k损伤包括材料组分(例如,碳耗尽)、形态(密度或孔隙度)和/或表面属性(例如,疏水至亲水)的变化。该受损伤的层不再具备所期望的介电属性,并且会导致器件成品率损失和/或可靠性失效。所以减少低k电介质蚀刻/剥除期间的损伤成为半导体处理中最关键的挑战之一。不同于纯的(未受损)低k材料,该受损的层可容易由稀HF溶液去除。实践中,通常在蚀刻和剥除之后通过将样品浸在稀HF溶液中测量该材料损耗而量化低k材料损伤。
人们已经做出许多努力以减少在低k电介质蚀刻和剥除工艺期间的损伤。现有的方法主要是在蚀刻和剥除工艺的优化方面,通过优化工艺化学制剂、硬件配置和/或等离子源(例如RF与微波)等。这些现有的努力只得到有限的成就。随着该介电常数(k值)持续减小,以及该材料变得更加多孔、关键尺寸变得更小,损伤变成在大多数高级集成电路处理中更加严重的问题。
另一种现有方法是在蚀刻和剥除该低k电介质材料之后修补该受损的层。尽管这个方式可修补该低k电介质材料中一定程度的损伤,但是其需要独立且不同的工具组件。所以增加了制造成本并且降低产量。
另外,需要在完成蚀刻后快速剥除光刻胶掩模而不损伤该低k介电层。某些剥除工艺太慢。而别的剥除工艺则损伤该低k介电层。其他剥除工艺导致所蚀刻的特征颈缩或其他扭曲。
本发明一个实施例提供一种在蚀刻该低k介电层之后剥除光刻胶掩模的方式,其快速并且对该低k电介质的损伤低,而且减少扭曲。
图1是本发明一个实施例的高级流程图。在这个实施例中,图案化的有机掩模形成在低k介电层上方(步骤104)。图2A是基片210的剖视示意图,在该基片上方设置低k介电层208,在该介电层上方形成图案化的有机掩模204。一个或多个中间层可设在该基片(晶片)210和该低k介电层208之间。一个或多个中间层(如抗反射涂层和/或硬掩模层)可设在该低k介电层208和该图案化的有机掩模204之间。
该基片210设在等离子处理室中(步骤106)。图3是可用于本发明优选实施例的等离子处理室300的示意图。在这个实施例中,该等离子处理室300包括限制环302、上部电极304、下部电极308、气体源310和排气泵320。该气体源310包括蚀刻气体源312、碳氟化合物剥除气体源314和减少的碳氟化合物剥除气体源316。等离子处理室300内,该基片210设在该下部电极308上。该下部电极308集成合适的基片卡紧机构(例如,静电、机械夹钳等)用于夹持该基片210。该反应器顶部328集成该上部电极304,其设为正对该下部电极308。该上部电极304、下部电极308和限制环302限定该受限等离子容积340。气体由气体源310通过气体入口343提供至该受限等离子容积并且由该排气泵320通过该限制环302和排气孔从该受限等离子容积排出。该排气泵320形成该等离子处理室的气体出口。第一RF源344电气连接到该上部电极304。第二RF源348电气连接到该下部电极308。室壁352形成等离子外壳,其中设有该限制环302、该上部电极304和该下部电极308。该第一RF源344和该第二RF源348两者可包括60MHz功率源、27MHz功率源和2MHz功率源。可有不同的将RF功率连接到电极的组合。由Fremont,California的Lam ResearchCorporationTM制造的
Figure GSB00000681037200061
Flex3x介电蚀刻系统可用于本发明的优选实施例。控制器335以可控方式连接到该第一RF源344、该第二RF源348、该排气泵320、连接到该蚀刻气体源312的第一控制阀337、连接到该碳氟化合物剥除气体源314的第二控制阀339和连接到该减少的碳氟化合物剥除气体源316的第三控制阀341。该气体入口343将气体从该气体源312、314、316提供进该等离子处理外壳。喷头可连接到该气体入口343。该气体入口343可以是用于每个气体源的单个入口或用于每个气体源的不同入口,或用于每个气体源的多个入口,或其他可能的组合。
图4A和4B说明了一个计算机系统400,其适于用作控制器335。图4A示出可用于该控制器335的计算机系统一种可能的物理形式。当然,该计算机系统可以具有从集成电路、印刷电路板和小型手持设备到巨型超级计算机的范围内的许多物理形式。计算机系统400包括监视器402、显示器404、机箱406、磁盘驱动器408、键盘410和鼠标412。磁盘414是用来与计算机系统400传入和传出数据的计算机可读介质。
图4B是计算机系统400的框图的一个例子。连接到系统总线420的是各种各样的子系统。处理器422(也称为中央处理单元,或CPU)连接到存储设备,包括存储器424。存储器424包括随机访问存储器(RAM)和只读存储器(ROM)。如本领域所公知的,ROM用作向CPU单向传输数据和指令,而RAM通常用来以双向的方式传输数据和指令。这两种类型的存储器可包括下面描述的任何合适的计算机可读介质。固定磁盘426也是双向连接到CPU 422;其提供额外的数据存储并且也包括下面描述的任何计算机可读介质。固定磁盘426可用来存储程序、数据等,并且通常是次级存储介质(如硬盘),其比主存储器慢。可以理解的是保留在固定磁盘426内的信息可以在适当的情况下作为虚拟存储器以标准的方式结合在存储器424中。可移动磁盘414可以采用下面描述的任何计算机可读介质的形式。
CPU 422还连接到各种输入/输出设备,如显示器404、键盘410、鼠标412和扬声器430。通常,输入/输出设备可以是下面的任何一种:视频显示器、轨迹球、鼠标、键盘、麦克风、触摸显示器、转换器读卡器、磁带或纸带阅读器、书写板、触针、语音或手写识别器、生物阅读器或其他计算机。CPU 422可选地可使用网络接口440连接到另一台计算机或者电信网络。利用这样的网络接口,计划在执行上述方法步骤地过程中,CPU可从网络接收信息或者向网络输出信息。此外,本发明的方法实施方式可在CPU 422上单独执行或者可在如Internet的网络上与共享该处理一部分的远程CPU一起执行。
另外,本发明的实施方式进一步涉及具有计算机可读介质的计算机存储产品,在计算机可读介质上有用于执行各种计算机实现的操作的计算机代码。该介质和计算机代码可以是那些为本发明目的专门设计和构建的,或者它们可以是对于计算机软件领域技术人员来说公知并且可以得到的类型。计算机可读介质的例子包括,但不限于:磁介质,如硬盘、软盘和磁带;光介质,如CD-ROM和全息设备;磁-光介质,如光软盘;以及为了存储和执行程序代码专门配置的硬件设备,如专用集成电路(ASIC)、可编程逻辑器件(PLD以及ROM和RAM器件。计算机代码的例子包括如由编译器生成的机器代码,以及包含高级代码的文件,该高级代码能够由计算机使用解释器来执行。计算机可读介质还可以是在载波中由计算机数据信号携带的并且表示能够被处理器执行的指令序列的计算机代码。
该等离子处理室300用来通过该图案化的有机掩模204将特征蚀刻进该低k介电层208(步骤108)。图2B是该基片210的剖视示意图,在该基片上方设置该低k介电层208,在该介电层上方、在将特征212蚀刻进该低k介电层208(步骤108)之后形成该图案化的有机掩模204。执行该有机掩模的剥除(步骤110)。该剥除包括由碳氟化合物剥除阶段(步骤112)和减少的碳氟化合物剥除阶段(步骤116组成的至少一个循环。
图5是该碳氟化合物剥除阶段(步骤112)的更详细的流程图。碳氟化合物剥除气体流入该等离子处理室,其包含碳氟化合物成分(步骤504)。该碳氟化合物剥除气体形成等离子(步骤508)。该等离子剥除该光刻胶掩模并在该蚀刻特征的侧面上形成侧壁。停止碳氟化合物剥除气体流(步骤512)。图2C是该基片210的剖视示意图,该基片上设置该低k介电层208,在该介电层上方、在特征212蚀刻进该低k介电层208(步骤108)和第一碳氟化合物剥除阶段(步骤110)之后形成该图案化的有机掩模204。已经剥除一些有机掩模,从而该有机掩模更薄,以及由该碳氟化合物成分形成侧壁218。
图6是该减少的碳氟化合物剥除阶段(步骤116)的更详细的流程图。减少的碳氟化合物剥除气体流进该等离子处理室(步骤604)。该减少的碳氟化合物剥除气体形成等离子(步骤608)。该等离子剥除该光刻胶掩模并去除该蚀刻特征侧面上至少一些侧壁。停止减少的碳氟化合物剥除气体流(步骤612)。图2D是该基片210的剖视示意图,该基片上设置该低k介电层208,在该介电层上、在该减少的碳氟化合物剥除阶段(步骤116)之后形成该图案化的有机掩模204。进一步剥除该有机掩模,从而使该有机掩模更薄。优选地,该减少的碳氟化合物剥除阶段比该碳氟化合物剥除阶段更快剥除,从而剥除更多有机掩模。该减少的碳氟化合物剥除阶段还剥除该侧壁,从而在这个阶段减少该侧壁。在一个实施例中,该侧壁被完全剥除。
在一个实施例中,该有机掩模在单个循环中完全剥除。在另一实施例中,使用多个循环以完全剥除该有机掩模。
示例:
在一个示例中该有机掩模是图案化的光刻胶掩模。该低k介电层是带有有机成分的基于氧化硅的电介质材料的多孔超低k介电层。该等离子处理室是Lam Research Corporation 300mm介电蚀刻反应器(Flex3x)。
在这个示例中,包含主蚀刻的该介电蚀刻(步骤108)通过提供80sccm CF4、160sccm CO和180sccm Ar的主蚀刻气体而执行。压力设在120mTorr。提供100瓦特、2MHz和1000瓦特、27MHz的功率以提供主蚀刻持续17秒。该介电蚀刻进一步包括过蚀刻,其通过提供100sccm CO、6sccm C4F8、90sccm N2和200sccm Ar的过蚀刻气体。压力设为20mTorr。提供500瓦特、2MHz和1000瓦特、27MHz的功率。2MHz较低频率的功率提供偏压以将离子向该介电层加速而提供蚀刻。在这个示例中,该蚀刻特征是低k过孔。
在这个示例中,该有机掩模的剥除(步骤110)通过首先提供该减少的碳氟化合物剥除阶段(步骤116)而执行。该减少的碳氟化合物剥除阶段通过提供1000sccm CO2组成的减少的碳氟化合物剥除气体而执行(步骤604)。在这个示例中,该减少的碳氟化合物剥除气体是纯CO2,不含氟。提供40mTorr的压力。通过提供1200瓦特、27MHz功率保持6秒而将该减少的碳氟化合物剥除气体形成为等离子(步骤608)。然后停止减少的碳氟化合物剥除气体流(步骤612)。该减少的碳氟化合物剥除阶段后面跟着碳氟化合物剥除阶段(步骤112),提供100sccm CO、6sccm C4F8、90sccm N2和200sccmAr组成的碳氟化合物剥除气体(步骤504)。提供20mTorr的压力。通过500瓦特、27MHz和250瓦特、2MHz的功率持续10秒而将该碳氟化合物剥除气体形成等离子(步骤508)。然后停止碳氟化合物剥除气体流(步骤512)。碳氟化合物的较高流率帮助提供侧壁沉积而不会损伤该低k介电层,同时剥除该光刻胶掩模层。
该有机掩模剥除的(步骤110)的第二循环通过提供第二减少的碳氟化合物剥除阶段(步骤116)而执行。该第二减少的碳氟化合物剥除阶段通过提供1000sccm CO2组成的减少的碳氟化合物剥除气体而执行。提供42.5mTorr的压力。通过提供1000瓦特、27MHz的功率持续6秒而将该第二减少的碳氟化合物剥除气体形成为等离子。然后停止该第二减少的碳氟化合物剥除气体流。该第二减少的碳氟化合物剥除阶段之后跟着第二碳氟化合物剥除阶段(步骤112),提供100sccm CO、6sccm C4F8、90sccm N2和200sccmAr组成的第二碳氟化合物剥除气体(步骤504)。提供20mTorr的压力。通过提供250瓦特、27MHz的功率保持7秒而将该第二碳氟化合物剥除气体形成为等离子(步骤508)。然后停止该第二碳氟化合物剥除气体流(步骤512)。
该有机掩模的剥除的(步骤110)的第三循环通过提供第三减少的碳氟化合物剥除阶段(步骤116)而执行。该第三减少的碳氟化合物剥除阶段通过提供1000sccm CO2组成的减少的碳氟化合物剥除气体而执行。提供45mTorr的压力。通过提供800瓦特、27MHz的功率持续6秒而将该第三减少的碳氟化合物剥除气体形成为等离子。然后停止该第三减少的碳氟化合物剥除气体流。该第三减少的碳氟化合物剥除阶段之后跟着第三碳氟化合物剥除阶段(步骤112),提供100sccm CO、6sccm C4F8、90sccm N2和200sccm Ar组成的第三碳氟化合物剥除气体(步骤504)。提供20mTorr的压力。通过提供125瓦特、27MHz的功率持续7秒而将该第三碳氟化合物剥除气体形成为等离子(步骤508)。然后停止该第三碳氟化合物剥除气体流(步骤512)。
该有机掩模剥除(步骤110)的第四循环通过提供第四减少的碳氟化合物剥除阶段(步骤116)而执行。该第四减少的碳氟化合物剥除阶段通过提供1000sccm CO2组成的减少的碳氟化合物剥除气体而执行。提供47.5mTorr的压力。通过提供600瓦特、27MHz的功率持续7.5秒而将该第四减少的碳氟化合物剥除气体形成为等离子。然后停止该第四减少的碳氟化合物剥除气体流。该第四减少的碳氟化合物剥除阶段之后跟着第四碳氟化合物剥除阶段(步骤112),提供100sccm CO、6sccm C4F8、90sccm N2和200sccm Ar组成的第四碳氟化合物剥除气体(步骤504)。提供20mTorr的压力。通过提供65瓦特、27MHz的功率持续7秒而将该第四碳氟化合物剥除气体形成为等离子(步骤508)。然后停止该第四碳氟化合物剥除气体流(步骤512)。
该有机掩模剥除(步骤110)的第五循环通过提供第五减少的碳氟化合物剥除阶段(步骤116)而执行。该第五减少的碳氟化合物剥除阶段通过提供1000sccm CO2组成的减少的碳氟化合物剥除气体而执行。提供50mTorr的压力。通过提供400瓦特、27MHz持续10秒而将该第五减少的碳氟化合物剥除气体形成为等离子。然后停止该第五减少的碳氟化合物剥除气体流。该第五减少的碳氟化合物剥除阶段完成该剥除工艺。该剥除工艺结束于减少的碳氟化合物剥除阶段,确保去除该侧壁沉积。
在这个示例中,功率随着连续的循环而向下倾斜。对于每个循环的功率斜度或其他功率变化或时间变化提供额外的控制方式。
还通过仔细查看各种样品在HF浸润之后的XSEM图像而确认该结果。图7是示出使用现有CO2剥除工艺704和使用该创新性剥除工艺708的纳米级超低k损伤的图表。使用大约100%的过剥除,从而确保在多变的工艺或晶片条件下完全去除该光刻胶掩模。如从该图表可看出的,该创新性工艺减少超低k电介质损伤。
优选地该蚀刻和剥除在同一等离子处理室中执行,蚀刻和剥除采用相同的电极和功率源,同时安装在同一卡盘上。在别的实施例中,该基片可移动到另一室中,从而该蚀刻和剥除在独立的室中完成。
优选地,该碳氟化合物的氟与碳的比至少1.5∶1。更优选地,该碳氟化合物是C4F8。在说明书和权利要求书中,碳氟化合物不包括氢碳氟化合物,而是只有碳和氟组成的分子。碳氟化合物在多个不同实施例中可以是CF4、C4F6和C5F8
本发明一个实施例中,功率和/或压力可在该剥除工艺期间以一定斜度变化。这种斜度变化可用来在开始剥除循环期间提供较少的侧壁以及在结束剥除循环期间提供较多侧壁。在另一实施例中,该功率和/或压力可在循环之间凸起。早期循环的较高偏置允许更快的剥除,而后面的循环的较低偏置帮助减少损伤。
尽管出乎意料的发现剥除过程中使用碳氟化合物减少超低k损伤,但是确信在剥除过程中,该碳氟化合物提供间隙态的含氟聚合物侧壁。
在本发明多个不同实施例中,该减少的碳氟化合物剥除气体可包括O2、CO2、N2和H2或NH3的至少一种。优选地,这种减少的碳氟化合物剥除气体不含氟。
本发明一个实施例能够完全消除损伤,同时保持期望的光刻胶掩模过剥除百分比。
之前确信在剥除过程中使用碳氟化合物将形成含氟聚合物,其释放氟原子,氟原子会损伤该低k介电层。出乎意料地发现通过在具有插入剥除阶段的剥除工艺中的间歇性阶段施加氟聚合物,该低k损伤机制可被“关闭”。还有其他优点,如在硬掩模设在光刻胶掩模和超低k电介质蚀刻层之间的层叠中,发现该创新性剥除工艺减少硬掩模顶部颈缩。硬掩模颈缩的减少会减少该剥除后形貌的弯曲。
优选地,该碳氟化合物剥除阶段期间,该碳氟化合物气体流量范围为2-100sccm。在各种实施例中,也可使用添加性气体和稀释剂,如0-200sccm的CO,0-200sccm的N2,0-300sccm的Ar等。优选地,该压力范围是10-200mTorr。优选地,该RF功率范围是50-5000W。更优选地,该RF功率范围是50-2000W。
本发明的其他实施例可使用微波或下游RF剥除工艺。
尽管本发明依照多个优选实施方式描述,但是存在落入本发明范围内的改变、置换和各种替代等同物。还应当注意,有许多实现本发明方法和设备的可选方式。所以,其意图是下面所附的权利要求解释为包括所有这样的落入本发明主旨和范围内的改变、置换和各种替代等同物。

Claims (17)

1.一种在等离子处理室中、在设在光刻胶掩模下方的具有有机成分的基于氧化硅的电介质材料的低k介电层中形成蚀刻特征的方法,包括:
穿过该光刻胶掩模将该特征蚀刻进该低k介电层;以及
在将该特征蚀刻进该低k介电层之后剥除该光刻胶掩模,包括至少一个循环,其中每个循环包括;
碳氟化合物剥除阶段,包括:
将碳氟化合物剥除气体流进该等离子处理室,其中
该碳氟化合物剥除气体包含碳氟化合物,其中该碳氟化合物由碳和氟构成的分子组成;
由该碳氟化合物剥除气体形成等离子;以及
停止进入该等离子处理室的碳氟化合物剥除气体流;以及
减少的碳氟化合物剥除阶段,包括:
将碳氟化合物流率比该碳氟化合物剥除气体低的减少的碳氟化合物剥除气体流入该等离子处理室;
由该减少的碳氟化合物剥除气体形成等离子;以及停止减少的碳氟化合物剥除气体流。
2.根据权利要求1所述的方法,其中该碳氟化合物剥除阶段形成侧壁和剥除该光刻胶掩模。
3.根据权利要求2所述的方法,其中该减少的碳氟化合物剥除阶段去除侧壁和剥除光刻胶掩模。
4.根据权利要求3所述的方法,其中该蚀刻和剥除在单个等离子处理室中执行。
5.根据权利要求4所述的方法,其中该减少的碳氟化合物剥除气体不含氟。
6.根据权利要求5所述的方法,其中该剥除该光刻胶掩模包括多个循环。
7.根据权利要求6所述的方法,其中该碳氟化合物剥除气体的碳氟化合物是C4F8
8.根据权利要求7所述的方法,其中该碳氟化合物剥除气体进一步包含CO。
9.根据权利要求8所述的方法,其中该减少的碳氟化合物剥除气体包含O2、CO2、N2和H2或NH3的至少一种。
10.根据权利要求5所述的方法,其中该碳氟化合物剥除气体中的碳氟化合物的氟与碳的比至少1.5∶1。
11.根据权利要求1所述的方法,其中该碳氟化合物剥除阶段形成侧壁和剥除该光刻胶掩模。
12.根据权利要求1所述的方法,其中该减少的碳氟化合物剥除气体不含氟。
13.根据权利要求1所述的方法,其中该碳氟化合物剥除气体中的碳氟化合物是C4F8
14.根据权利要求1所述的方法,其中该碳氟化合物剥除气体中的碳氟化合物的氟与碳的比至少1.5∶1。
15.根据权利要求1所述的方法,其中该低k介电层是超低k介电层。
16.一种从处理室中被蚀刻的具有有机成分的基于氧化硅的电介质材料的低k介电层上方剥除有机掩模层的方法,包括:
从该被蚀刻的低k介电层剥除该有机掩模,包括至少一个循环,其中每个循环包括;
碳氟化合物剥除阶段,包括:
将碳氟化合物剥除气体流入该处理室,其中该碳氟化合物剥除气体包含碳氟化合物,其中该碳氟化合物由碳和氟构成的分子组成;
由该碳氟化合物剥除气体形成等离子;以及
停止进入该处理室的碳氟化合物剥除气体流;以及减少的碳氟化合物剥除阶段,包括:
将碳氟化合物流率比该碳氟化合物剥除气体低的减少的碳氟化合物剥除气体流入该处理室;
由该减少的碳氟化合物剥除气体形成等离子;以及停止减少的碳氟化合物剥除气体流。
17.一种在设在光刻胶掩模下方的具有有机成分的基于氧化硅的电介质材料的低k介电层中形成特征的设备,包括:
等离子处理室,包括:
形成等离子处理室外壳的室壁;
用于在该等离子处理室外壳内支撑基片的基片支撑件;
用于调节该等离子处理室外壳中压力的压力调节器;
至少一个用于将功率提供至该等离子处理室外壳以维持等离子的电极;
用于将气体提供进该等离子处理室外壳的气体入口;以及
用于将气体排出该等离子处理室外壳的气体出口;
与该气体入口流体连通的气体源,包括:
蚀刻气体源;
碳氟化合物剥除气体源;以及
减少的碳氟化合物剥除气体源;以及
控制器,以可控方式连接到至少一个该电极和与该气体入口流体连通的该气体源,包括:
至少一个处理器;以及
计算机可读介质,包括:
用于穿过该光刻胶掩模将该特征蚀刻进该低k介电层的计算机可读代码;以及
用于在将该特征蚀刻进该低k介电层之后剥除该光刻胶掩模的计算机可读代码,包括至少一个循环,其中每个循环包括;
碳氟化合物剥除阶段,包括:
将碳氟化合物剥除气体从该碳氟化合物剥除气体源流进该等离子处理室,其中该碳氟化合物剥除气体包含碳氟化合物,其中该碳氟化合物由碳和氟构成的分子组成;
由该碳氟化合物剥除气体形成等离子;以及
停止进入该等离子处理室的碳氟化合物剥除气体流;以及
减少的碳氟化合物剥除阶段,包括:
将碳氟化合物流率比该碳氟化合物剥除气体低的减少的碳氟化合物剥除气体从该减少的碳氟化合物剥除气体源流入该等离子处理室;
由该减少的碳氟化合物剥除气体形成等离子;以及
停止减少的碳氟化合物剥除气体流。
CN2010101832789A 2009-05-08 2010-05-10 具有减少的低k介电损伤的剥除 Active CN101882580B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/463,155 2009-05-08
US12/463,155 US8691701B2 (en) 2009-05-08 2009-05-08 Strip with reduced low-K dielectric damage

Publications (2)

Publication Number Publication Date
CN101882580A CN101882580A (zh) 2010-11-10
CN101882580B true CN101882580B (zh) 2012-05-23

Family

ID=43054548

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010101832789A Active CN101882580B (zh) 2009-05-08 2010-05-10 具有减少的低k介电损伤的剥除

Country Status (4)

Country Link
US (1) US8691701B2 (zh)
KR (1) KR101723685B1 (zh)
CN (1) CN101882580B (zh)
TW (1) TW201044461A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8802572B2 (en) * 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
CN103839870B (zh) * 2012-11-20 2016-08-17 中微半导体设备(上海)有限公司 用于tsv刻蚀中改善硅通孔侧壁粗糙度的方法
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
WO2023069346A1 (en) * 2021-10-22 2023-04-27 Lam Research Corporation Strip with bevel cleaning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6455431B1 (en) * 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
CN101171673A (zh) * 2005-05-10 2008-04-30 朗姆研究公司 存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7235479B2 (en) * 2004-08-26 2007-06-26 Applied Materials, Inc. Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
US7501350B2 (en) * 2004-11-05 2009-03-10 Tokyo Electron Limited Plasma processing method
JP2008078582A (ja) * 2006-09-25 2008-04-03 Hitachi High-Technologies Corp プラズマエッチング方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6455431B1 (en) * 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
CN101171673A (zh) * 2005-05-10 2008-04-30 朗姆研究公司 存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法

Also Published As

Publication number Publication date
TW201044461A (en) 2010-12-16
KR101723685B1 (ko) 2017-04-05
US20100285671A1 (en) 2010-11-11
CN101882580A (zh) 2010-11-10
KR20100121440A (ko) 2010-11-17
US8691701B2 (en) 2014-04-08

Similar Documents

Publication Publication Date Title
KR101411797B1 (ko) 탈불소화 프로세스
CN101882580B (zh) 具有减少的低k介电损伤的剥除
JP4886513B2 (ja) フィーチャ微小寸法の低減
CN101595551B (zh) 临界尺寸减小及粗糙度控制
CN101506939B (zh) 在斜面蚀刻处理期间避免低k损伤
US7645707B2 (en) Etch profile control
US7772122B2 (en) Sidewall forming processes
US7838426B2 (en) Mask trimming
CN101971291B (zh) 双掩模自对准双图案化技术(SaDPT)工艺
CN105390390A (zh) 无限选择性的光刻胶掩膜蚀刻
CN101292197A (zh) 具有减小的线条边缘粗糙度的蚀刻特征
CN101730930A (zh) 在蚀刻高纵横比结构中降低微负载的方法
US8470715B2 (en) CD bias loading control with ARC layer open
US20110097904A1 (en) Method for repairing low-k dielectric damage
CN102365718B (zh) 减少损坏的低k电介质刻蚀的方法
US20090311871A1 (en) Organic arc etch selective for immersion photoresist

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant