TW201044461A - Strip with reduced low-k dielectric damage - Google Patents

Strip with reduced low-k dielectric damage Download PDF

Info

Publication number
TW201044461A
TW201044461A TW099114671A TW99114671A TW201044461A TW 201044461 A TW201044461 A TW 201044461A TW 099114671 A TW099114671 A TW 099114671A TW 99114671 A TW99114671 A TW 99114671A TW 201044461 A TW201044461 A TW 201044461A
Authority
TW
Taiwan
Prior art keywords
fluorocarbon
stripping
gas
stripping gas
dielectric material
Prior art date
Application number
TW099114671A
Other languages
English (en)
Inventor
Bing Ji
Andrew D Bailey Iii
Maryam Moravej
Stephen M Sirard
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201044461A publication Critical patent/TW201044461A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

201044461 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種方法,利用雷將处 【先前技術】 遮罩被^於將有機 並且在遮顯案之打_域巾之於光阻材料下、 成。此蝕刻反應,係藉由在被容納吊=材料層而達 學爾離子)及化 執跡方向之妨1材料之指向性材料,造成沿著離子 蝕刻之方式進行。在蝕刻程粋稱為非等向性 其移除’ ϋ此在其位置處*由將遮罩材料剝離以將 複製物。 下原先預期的遮罩圖案之側向圖案之 【發明内容】 為實現上述且依據本發明 中、在位於光阻遮罩下之低人带* 出一種在電漿處理室 中形成經侧特徵部之方半f (以下稱為low-k)介質材料層 l〇w-k介質材料層中。在特阻遮罩,特徵部被餘刻至 後,光阻遮罩被剝離⑽姊質材料層中以 環包括氟舰合物剝離階段少—循環’其中每一循 化合物剝離階段包括:使气 1里氟妷化合物剝離階段。氟碳 中;由氟碳化合物剝離=fj離氣體流入電漿處理室 停止流入《處理室巾H成Μ ;及使氟碳化合物剝離氣體 氟碳化合物剝離氣體流人物剝離階段包括:使減量 电水處理至中,減量氟碳化合物剝離氣 201044461 Ο 體的氟碳化合物流率較氟碳化合物剝離氣體為低;由減量氟碳化 &物剝離氣體形成電漿;及使減量氟礙化合物制離氣體停止流 動。 ” T "L 在本發明之另一態樣中,提出一種在處理室中、將有機遮罩 層從經蝕刻low-k介質材料層之上剝離之方法。將有機遮罩層從滅 蝕刻low-k介質材料層剝離包括至少一循環,其中每一循環包括^ 碳化合物剝離階段、及減量氟碳化合物剝離階段。氟碳化合物剝 难階段包括:使氟碳化合物剝離氣體流入處理室由氟碳化人 ,剝離氣體形成電漿;及使氟碳化合物_氣體停止流入處理^ :。,魏碳化合物剥離階段包括:使減量氟碳化合物剝離氣體 ^入處理室中’減量氟碳化合物麵氣體的氣碳化合物流率較 氣體為低;由減量氟礙化合物剝離氣體形成電漿; 及使減置氣故化合物剝離氣體停止流動。 人所iirf之另—態樣中,提出—種在位於光阻遮罩下之*-k "貝材料層㈣彡捕徵部之裝置。f槳處 雷播虚神玄封明咖日日.#匕,— 主匕枯•至望’形成 ❹ 封s空ί:基板支座,用於將基板支托在電ί處理室 中之 維持 i氣體::從:空間排出氣體。氣體源係流體連接 量=化合物化合物剥離氣體源、及減 可讀媒體包括:驗將特器及電腦可讀媒體。電腦 層之電腦可讀碼、及用於在‘被虫刻至i〇w_k介質材料 括至少-循環,mu,離光阻遮罩之電腦可讀碼包 氟碳化合物_階段。!碳化合物剝離階段、及減量 剝離氣體流入電聚處理室J化:物制離階段包括:使I碳化合物 及使II碳化合_離氣體停氣娜成電漿; 人電聚處理室中。減量氟碳化合 力。力ΐ即器’用於調節電漿處理室封閉空間中之壓 二田ΐ提f力率至處理室封閉空間以維持電
=氣體至電裝處理室封閉空;;S 體 5 201044461 t剝括:使減量氟碳化合物剝離氣體流人電漿處理室 離氣體的氟碳化合物流率較氟碳化合物剝 成賴;及使減量氣 本發實財式與__式,興細地說明 【實施方式】 明說明於附圖之數個較佳實施例而加以詳細說 ϋ,17將提出數個特定細節,以提供對於本發明之徹 f然而’對於熟悉此項技藝者而言,明顯地,些特 疋細即之部分或全部,亦可實施本發明。在其它例子中,並单 ^地描述習知的處理步驟鱗結構,以避免不必要地模擬了本發 體之介層f先―溝渠後(via flrst trench last,VFTL)雙鑲 常,具有額外的有機成分以提供較 ΐΐ?^! 二:==組=變: 兀仵良羊知失及/或可罪性失效。因此,減少low =時_壞已經成為半導體處理中最嶋性的挑戰‘ 在將杈σσ次泡過稀^1朽谷液之後、量測材料的損失,以旦π為 刻及剝離後之l〇w:k材料損壞量,是一種常見的做法。里 中耸ίΐϊ少f㈣姊質材料蝴及姆製程中的機,已缺做 出_夕$力。先前技術方法主㈣從事御靖及_ 化,其係勤細_魏學、硬體、_、錢電絲取土 201044461 等。這些先前技術之努力僅僅產生有限的成功。在最弈 ^積體電路處財,當介電常數_)減少、材料變得更為 夕、^關鍵尺寸變得更小時,損壞變成更為嚴重的問題。’、'、 仏^一種先珂技術方法,係在low_k介電材料的蝕刻及制離之 壞層之修補。雖然這個方法可以修補 =干她,但是它需要獨立且不同的工具组。因此 了生產成本、及減少了產量。 曰刀 ❹ Ο 阳、完成後,不_1Gw^f材料層且迅逮地將光 是想 些剝離製程是過於缓慢的。其它的剝離 頸細現象(necking)或^^變形 Ο -、 離来iriij施例提出一種在i〇w_k介質材料層被钱刻之後剝 摔旦、方式’其係速度快的、具核少的iGw_k介質材料損 秋里、及具有減少的變形。 、 系本發明之一實施例之高階流程圖。在此實施例中,圖 =白、有機遮罩被形成在low_k介質材料層上(步驟1〇4)。圖2八係 土板210之概要橫剖面圖,在基板21〇上配置著丨醫七介質材料層、 208 ’在丨。讀介質材料層通上已經形成圖案化的有機遮罩2〇4曰。 ,夕固中間層可能配置在基板(晶圓)21〇與介質材料層2⑽ 之間。一或多個中間層,例如抗反射塗佈及/或硬遮罩層,可能配 置在1〇#介質材料層細與圖案化的有機遮罩綱之間:了此配 —基板210被放置在電聚處理室中(步驟1〇6)。圖3係本發明之較 ^貫施例中可能使用之電漿處理室3⑻之示意圖。在財施例 中’電襞處理室300包括限制環3〇2、上電極3〇4、下電極3〇8、氣 體源310、及排氣幫浦32〇。氣體源31()包括侧氣體源312、氟碳 離氣體源314、及減餘碳化合物剝離氣體源316。在電 水处理至300中,基板21〇係放置在下電極3〇8上。下電極3〇8包含 適§的基持機構(例如靜電、機械細之類),餘支托基板 2。10反應益頂部328包含上電極3〇4,上電極3〇4係直接配置在下 電極308之對面。上電極3〇4、下電極3〇8、及限制環3〇2界定出限 7 201044461 fiiif40·。經由氣體入口343,氣體源310將氣體供應至限 制姐ί由限制環302及排氣口,職幫浦320將氣體從限 制。电水谷積排出。排氣幫浦32〇形成電漿處理室之氣體出口。第 源344係電連接至上電極删。第二即源348係電連接至下電 =08。i壁352界定出電漿觸空間,在其巾配置著限制環 、上電極304、及下電極308。第―即源344及第二处源348兩 娜2電力源、27廳電力源、及2驗電力源。將 原連接至電極之不同結合是有可能的。在本發明之—較佳實 2300®E Research Corporation™所製造之 23=Exel_Flex3x介質材刻系、统。控制器奶係以可控制 =連Ϊ至弟一卿44、第二軸48、排氣幫浦320、連接 體第—控卿37、連接至氟碳化合物剝離氣體 ^2 j闕339、及連接至減量氣碳化合物剝離氣體源 二控制閥34卜氣體入口343將來自氣體源312、314、316 至電祕輯閉郎巾。儒頭可觀接至氣體入口 。氣體入口343可能是每一氣體源具有單—入口 '每—氣體 具有入口、每—氣體源具有複數入口、或其它可能的組合:、 圖4Α及4Β說明-電腦系統4〇〇,其適合用來 二、圖=示I用來做為控制器335之電腦系統之-種可能的物 政Γ、、、、,电腦系統可能具有許多物理形式,從積體電路、 料縣置,壯㈣超級電腦。電腦系統 4川顯示屏404、外殼4〇6、磁碟機408、鍵盤 或細&^^。414_可麵,卿麵送到 的a 腦/系統4〇0之方塊圖之一例。連接至系統匯流排420 ΪΪΐΪ ίΐΊΐ4縣422(也稱為巾央處理單元或CPU)係輕 曰f储存衣ί ’包括記憶體424。記憶體424包括隨機存取記憶許 意體(R〇M)。如同此項技術領域所習知,R〇M« ΐ早ίΪ W旨令傳送至CPU,趣通常驗以雙向的方Ϊ 傳迗貝料及指令。這兩種記憶體可包括下述之任何適當種類之電 201044461 腦可,媒體。蚊磁碟426也是雙向地齡至CPU 422;它 ’也可包括下狀任何賴可讀雜。固定磁 2可^於儲存程式、資料之類,並且通常是比主要儲存慢 的,助儲存媒體(例如硬碟〉。應當了解,在適當情況下,^ ,中之資訊可能以標準方式被併人做4記憶體424中之 芒^己ki。可移動碟片41何採取下述之電腦可讀媒體之任何 型式 Ο Ο 可轉合至各種輸入輸出裝置’例如顯示屏4〇4、鍵 =二、,_。—般而言,輪人輪出裝置可能是球、滑鼠、鍵盤、麥克風、觸感式顯示器、轉 ί或紙帶讀取機、數位板(福et)、電筆㈣㈣、 曰,手寫辨識$、生物讀取器、或其它電腦之任何一者。 網齡祕喻合至糾喊職通信喂。 面,應當了解,cpu可以在執行上述之方法步 地===,本 ㈣細如網際纟_與分享部分處理之遠般=而=透產品,1 卜電具有電腦可讀媒體之電腦儲存操作“亥媒體和:二·/、巧腦碼’用於執行各種電腦實施的 =,了本發明之目的而特別設計和製實際的電腦可讀媒s二者所齡及可得的種類。 存和執行程式碼之硬體裝置 過網 行 語 CPU 網路 可讀媒體也可能案。電腦 貝枓信號細做之枝純觀,並且代 201044461 一連率指令。 電漿處理室300係用於將特徵部經由圖案化的有機遮罩肩虫 刻至l,k介質材料層中(步驟los)。圖邡係基板別之概要橫 剖面圖,其係在特徵部212已經被蝕刻至bw_k介質材料層2〇8(步 驟108)之後’在基板21〇上配置著1〇评士介質材料層2〇8,在1〇心 介質材料層208上已經形成圖案化的有機遮罩2〇4。執行有機遮罩 巧離(步驟11〇)。剝離包括氣碳化合物剝離階段(步驟112)、及減 量氟碳化合物剝離階段(步驟丨16)之至少一循環。 圖5係氟碳化合物剥離階段(步驟112)之較詳細流程圖。使氟 石炭化合物剝離氣·人電漿處理室中,其包括—氟碳化合物成分 (步驟504)。使氟碳化合物剝離氣體形成為電漿(步驟5〇8)。該電漿 將光Hi·遮罩獅,並且形細壁在_値部之側面上。使氣碳 化合物剝離氣體停止流動(步驟S12)。_係基板21〇之概要横剖 面圖/其儀在4寸徵部212已經被餘刻至i〇w_k介質材料層2〇8(步驟 108)之後^且在第一氟碳化合物剝離階段(步驟n〇)之後,在基板 210上配置著1衝_1<:介質材料層2〇8,在1(^¥士介質材料層施上已經 形成圖案化的有機遮罩204。某些有機遮罩已經被剝離,俾使有 機遮罩是㈣胃的’且侧壁218係由該倾化合物成分所形成。 圖6係減量氟碳化合物剝離階段(步驟丨丨6)之較詳細流程圖。 使減量氟碳化合物剝離氣體流入電漿處理室中(步驟6〇4)。使減量 氟石炭化合物_氣體形成為電漿(步獅8)。該電漿將光阻遮罩剝 離,並且將蝕刻特徵部的側面上之側壁的至少—部分移除。使減 量^炭化合物剝離氣體停止流動(步驟612)。圖奶係基板21〇之概 要知、剖面圖,其係在減量氣碳化合物剝離階段(步驟116)之後,在 基板210上配置著丨0^^七介質材料層2〇8,在1〇1^士介質材料層2〇8上 已經形成圖案化的有機遮罩204。有機遮罩已經被進一步^剝 ,’俾,有機遮罩是較薄的。較佳地,相較於氟碳化合物剝離階 段,減量氟碳化合物剝離階段之剝離較快,俾使更多的有機遮罩 f剝離、。減量氟碳化合物剝離階段也剝離侧壁,俾使側壁在此階 •k中被減少。在一實施例中,侧壁可能完全被剝離。 10 201044461 例t ’有機遮罩係在單—循環中完全被剝離。在另 #貝匕幻中使用複數循環,以完全剝離有機遮罩。 範例 料#機遮罩是圖案化的光阻遮罩°Low_k介質材 ;、/ /、有機成为的氧化石夕基介電材料的多孔性超低介電常數
It ί介質材料廣。賴處理室是Lam R㈣ch 一⑽― 的300mm介質材料蝕刻反應器(FleX3X)。 杏jf*例子中’介質材料侧(步驟108)包括主侧,主侧之 只g糸提供80 sccm⑶、16〇 sccm c〇及18〇 s_々的主蝕刻氣 Q 體。壓力係設定為120mT〇rr。所提供之功率為2MH2/100W及27 MHz4000 W,以進行主蝕刻17秒。介質材料蝕刻更包括過蝕刻 (over etch) ’ 過蝕刻之實施係提供 1〇〇 sccm c〇、6 s_ 、9〇 s^cmN2、20〇sccmAr之過蝕刻氣體。壓力係設定為2〇尬〇仃。所 提供之f率為2 _於〇〇 w及27 MHz4000 W。2 MHz的低頻功率 提供偏壓電壓,以加速離子到達介質材料層以進行蝕刻。在此例 子中’钱刻特徵部係l〇\Y_k介層窗(via)。 在此例子中’有機遮罩的剝離(步驟110)係藉由,首先,提供 減量氟碳化合物剝離階段(步驟116)而加以實施。減量氟碳化合^ 剝辦白段之貫施,係藉由提供酬〇 seem C〇2的減量氟碳化合物剝 Ο 離氣體(步驟604)。在此例子中,減量氟碳化合物剝離氣體是純 C〇2 ’且不含氟。所提供之壓力為40mT〇rr。使減量氟碳化合物剝 離氣體形成為電漿,係藉由提供27MH2/1200 W,使其維持6秒 (步驟608)。接著,使減量氟碳化合物剝離氣體停止流動(步驟y 612)。在減量氟碳化合物剝離階段之後,接著進行說碳化合物剝 離階段(步驟 112),其提供 100 sccm CO、6 Sccm C4F8、90 =cm N2、及200 seem Ar之氟碳化合物剝離氣體(步驟504)。所提供之壓 力為20mTorr。使氟碳化合物剝離氣體形成為電漿,係藉由提供 27 MHz/500 W及2 MHz^O W,使其維持10秒(步驟so;。接著、, 使氟碳化合物剝離氣體停止流動(步驟512)。在剝離光阻遮罩層 時’車父面流罝的氣礙化合物之存在’有助於以不損壞介質材 11 201044461 料層之方式提供侧壁沉積物。 化合二減量氟碳 赂贤夕奋# 貝&第―減量氟碳化合物剝離 供ίοο白又「r!進订第一氟碳化合物剝離階段(步驟112),其提
仏 100 seem CO、6 sccm QF8、9〇 sccm n2、及2〇〇 sccm A 氣^匕合物剝離氣體(步驟5〇4)。所提供之壓力為2〇mT〇第-離氣體形成為電裝,係藉由提供27應創W 流i步驟dr。驟508)。接著’使第二氟碳化合物剝離氣體停止 有機遮罩剝離的第三循環(步驟110)係藉 ^所供1GG(),α^減量氟碳化合物剝離氣 形成為^ n+l^mTorr °使第二減量氣碳化合物剝離氣體 形成為電衆’係猎由提供27麵纖^,使其 使第二減量氟碳化合物剝離氣體停止流 = 供 100 seem CO、6 seem C4F8、90 seem N、》onn A 斤一 氣碳峨步綱。所提供働為:二Γί 合物獅㈣形成為賴,储_供27臟^5W, Ϊ動獅8)。接著,使第三氟碳化合物剝離氣體停止 化入第四循環(步驟11〇)係藉由提供第四減量氟碳 而加以實施。第四減量氟雜合物剝離 Ϊ 提供1·似mc〇2的減量氟碳化合物剝離氣 3二,47-5mT〇rr。使第四減量氟碳化合物剝離氣體 械為%水’係猎由提供27 MH_G w,使其維持75秒。接 12 201044461 四減量氟碳化合物剝離氣體停止流動。在第四 段進行第四氟碳化合物剝離階段(步驟112), J^lOOsccmCO > 6sccmC4F8 > 90sccmN2 > A200sccmAr^ $氟巧氣體(步驟綱)。所提供之壓力為施恤。 ^ 離紐形成為電漿,係藉由提供27應祕 停止流動师t驟細)。接著,使第四氟碳化合物剝離氣體
減的第五循環(步繼1G)賴由提供第五減量氟石炭 Si i階,驟ιΐ6)而加以實施。第五減錄碳化合物剝離 ^又,係猎由提供_職c〇2的減量氣碳化合物剝離氣 戶^提供之壓力為50mTorr。使第五減量氟複化合物剝離氣體 ^為電漿’ 由提供27 MHZ4GG W,使其維持1〇秒。接著, 五減碳化合_離氣體停止流動。第五氟碳化合物 =Ρ皆段完成了繼製程。以減量_化合物剝離階段結束剝離 衣程’以確保側壁沉積物被移除。 在此例子中,功率係卩返著連縯的循環而斜線式下降。每一循 %之^率斜坡或其它功率變化或時間變化提供額外的控制鈕。 —,由小心地檢視各種樣品在浸泡证之後的XSEM影像,也確 定了該等結果。圖7係-®表’顯示使聽前技術的⑴遗離製程 ^04、及使用本發明的剝離製程708之ultra low-lc損壞量(以奈米為 單位)。採用大約100 ο/j的過剝離(〇ve愉ip),俾能在各種製程^ 晶圓條件下確保光阻的完全移除。由該圖表可以看出,本發明的 製程減少ultra low-k介質材料損壞量。 久 較k地,钱刻及剝離係在同一個電漿處理室中實施,當安裳 在同一個夾盤上時,相同的電極及電源被使用於钱刻及剝離兩^ 者。在其它實施例中,基板可能被移動至另一個腔室,俾使餘刻 及剥離係在不同的腔室中實行。 山較佳地,氟碳化合物具有至少1>5 ^的氟碳比。更佳地,氟 合物是QFs。在說明書及申請專利範圍中,氟碳化合物不包 括氟烴’而是只有碳及氟的分子。在各種的實施例中,氟碳化合 13 201044461 物可能是cf4、c4f6、及c5F8。 是斜ίΐΐΓ::實施例中,在_製程中,功率錢壓力可r 提供較;的侧壁用來在初始的剝離循環時 另-實施例中,功率上束力:==多的早:在 =壓允許較快速的剝離,而後期循環的較低偏壓有助於;: low kt:人'1^地^見,在獅時使用氣碳化合物可減少ultra 在咖__瞻’氟碳化合物 杯(Ί在$的各種Μ⑯例巾’減量氟碳化合物剝離氣體可能包 碳化合物^離至少—者。較佳地,這類的減量氣 ,咖持所期望的 認為,在剝離期間使用氟碳化合物將形成會釋放氟原子 、3氟聚合物,且氟原子會損壞1〇w_k介質材料層。吾人意外地發 ^ ’在具Ϊ介於中間的剝離階段之剝離製程中,藉由把氟聚合物 運用到斷續的咖巾,可以將lQW_k機卿_。也提供了額外 的優點,例如在硬遮罩被放置在光阻遮罩與ultra low-k介質材料 =刻層之間的堆疊十,吾人已經發現,本發明的剝離製程減少硬 遮罩之頂端頸縮現象。硬遮罩頸縮現象的減少,則使剝離後輪廓 之凹陷(bowing)減少。 較佳地,在氟妓化合物剝離階段時,氟碳化合物氣體流量係 在2-100 sccm之間。在各種實施例中,也可以使用其它添加氣體 及稀釋物’例如0-200 seem CO、0-200 seem N2、0-300 seem Ar等 等。較佳地’壓力範圍.10_2〇〇mTorr。較佳地,RF功率範圍係 50-5〇〇〇 W。更佳地,Rjp功率範圍係50-2000 W。 本發明的其它實施例可能使用微波或下游RP剝離製程。 雖然本發明已利用數個實施例加以說明,仍有落入本發明之 14 201044461 範轉内之修改、變更、及各種替代均等 代方式可實施本發明之方法及*借。=應田庄's有#夕替 h入奸, 〇X 口此,隨附之申請專利範圍 m被解釋為,包含洛入本發明之精神與 變更及替代均等物。 、可内之所有此類G改、 【圖式簡單說明】 圖1係本發明之蝕刻製程之流程圖。
^A-D侧用本發明之製程之特徵部形成 圖3係可用於實施本發明之系統之示意圖。一 圖4A-B係可用於實施本發明之電腦系統之 圖5係氟竣化合物剝離階段之更詳細流程圖〜圖 =減量氟碳化合物娜階段之更詳細流程圖。 發明二制離使。先4技術的C。2剝離製程、及使用本 月的娜Μ之ultralow姻壞量(以奈米為單位)。 【主要元件符號說明】
104、106、1〇8、no、112、116 204 有機遮罩 208 low-k介質材料層 210 基板 212 特徵部 218 側壁 300 電漿處理室 302 限制環 304 上電極 308 下電極 310 氣體源 312 蝕刻氣體源 314 氟碳化合物剝離氣體源 316 減量氟碳化合物剝離氣體源 320 排氣幫浦 ’、 步驟 15 201044461 328 335 337 339 340 341 343 344 348 352 400 402 404 406 408 410 412 414 420 422 424 426 430 440 504 604 704 708 反應器頂部 控制器 第一控制閥 第二控制閥 限制電漿容積 第三控制閥 氣體入口 第一 RF源 第二RF源 室壁 電腦糸統 監視器 顯示屏 外殼 磁碟機 鍵盤 滑鼠 碟片 系統匯流排 處理器 記憶體 固定磁碟 剩口八 網路介面 508、512 步驟 608、612 步驟 先前技術 本發明之實施例

Claims (1)

  1. 201044461 七、申清專利範圍: 該 low-k 該方法包 1. 一種在low-k介質材料層中形成經钕刻特徵部之 -之下 介質材料層係配置於電漿處理室中之光阻遮I ' 括: 經由該光阻遮罩將複數特徵部㈣至該1〇心介質材料層中; 徵部蝕刻至該1〇W—k介質材料層中之後,剝離該光 阻遮罩,该剝離該光阻遮罩包括至少一循環,其中每一循巧勺 括: ^
    氟碳化合物剝離階段,包括: 使氟碳化合物剝離氣體流入該電漿處理室,其中該 氟碳化合物剝離氣體包括氟碳化合物; 由該氟碳化合物剝離氣體形成電漿;及 使該#1¼化合物剝離氣體停止流入該電漿處理室 中;及 減量氟碳化合物剝離階段,包括: 使減量氟碳化合物剝離氣體流入該電漿處理室,該 減罝乱壤化合物剝離氣體之氟碳化合物流率較該氟礙化 合物剝離氣體為低; 由該減量氟碳化合物剝離氣體形成電漿;及 使該減量氟碳化合物剝離氣體停止流動。 2.如申請專利範圍第1項之在i〇w_k介質材料層中形成經蝕刻特徵 4之方法’其中該low-k介質材料係具有有機成分之氧化石夕基介質 材料。 、 3,如申請專利範圍第2項之在l〇w-k介質材料層中形成經钱刻特徵 部之方法,其中該氟碳化合物剝離階段形成側壁、及剝離該光阻 遮罩。 17 201044461 4.如申請專利範圍第3項之在l〇w_k介質材料層中形成經钱 部之方法,其中該減量氟碳化合物剝離階段移除側壁 " 5. 如申請專利範圍第4項之在l〇w_k介質材料層中形成經姓刻 部之方法,其中該蝕刻及剝離係在單一電漿處理室中實施。4 6. 如申請專利範圍第5帛之在l〇w_k介質材料層中形成經餘刻特徵 部之方法’其中該減量氟碳化合物剝離氣體係不含氟。 7. 如申請專利範圍第6項之在l〇w_k介質材料層中形成經_特徵 部之方法,其中該剝離該光阻遮罩包括複數循環。 ^ 8. 如申請專利範圍第7項之在l〇w_k介質材料層中形成經钱刻特徵 部之方法,其中該氟碳化合物剝離氣體之該氟碳化合物係 9. 如申請專利範圍第8項之在l〇W-k介質材料層中形成經蝕刻特徵 部之方法,其中該氟碳化合物剝離氣體更包括c〇。 10. 如申請專利範圍第9項之在i〇w_k介質材料層中形成經钱刻特徵 部之方法’其中該減量氟碳化合物剝離氣體包括〇2、c〇2、N H2或nh3之至少一者。 11·如申請專利範圍第6項之在1(^士介質材料層中形成經餘刻特徵 部之方法,其中该氟碳化合物剝離氣體中之該氟碳化合物具 少1.5:1之氟碳比。 一 12·如申請專利範圍帛1項之在low-k介質材料層中形成經钱刻特徵 部之方法,其中該氟碳化合物剝離階段形成侧壁、及剝離該 遮罩。 18 201044461 專ίΐ圍第1項之在1〇W_k介質材料層中形成經餘刻特科 权方法,其巾該減量氟碳化合物獅氣體係不含氟。、徵 ^如申請專利範圍第丨項之在丨體姊質材料層中形成触 二其中該氟碳化合物剝離氣體中之該氟碳^合物係 項之在1〇W'k介質材料層中形成祕刻特徵 o ifff其中該氟碳化合物剝離氣體中之該氟碳化合物具有i 夕U · 1之氟碳比。 ^ 土
    ίί圍第1項之在low_k介質材料層巾形成祕刻特 。丨之方法’其中該low七介質材料層係肅alow_k介質材料層。 17.-種在處理室中從經蝕刻low_k介質材料層上剝離 之方法,該方法包括: 乎增 從該經银刻l〇W-k介質材料層剝離該有機遮罩,包括至 環’其中每一循環包括: 颁 氟碳化合物剝離階段,包括: 使氟碳化合物剝離氣體流入該處理室’並中兮^惫声 化合物剝離氣體包括氟碳化合物; 由該氟碳化合物剝離氣體形成電漿;及 使該氟碳化合物剝離氣體停止流入該處理室中;及 減量氟碳化合物剝離階段,包括: 使減1氟碳化合物剝離氣體流入該處理室,該減量 氟碳化合物剝離氣體之氟碳化合物流率較該氟碳化合物 剝離氣體為低; 由該減量氟碳化合物剝離氣體形成電漿;及 使該減量氣碳化合物剝離氣體停止流動。 19 201044461 ,該low-k介質材 18.-種在l〇w-k介質材料層中形成特徵部之設備 料層係配置於光阻遮罩之下,該設備包括: 電漿處理室,包括: · 室壁’幵>成電漿處理室封閉空間; 基板支座祕將基板找在該電漿纽室封閉空間 T, 壓力調節器’用於調節該電轉理室封閉空間中之壓 刀, ㈣it電極’祕提供功率麵電漿處理錢閉空間以 維持電漿; 及 乳體入口’用於提供氣體至該電衆處理室封啦間中; 气供i體於從該魏處理室封啦間排出氣體; 軋體源,流體連接至該氣體入口,包括: 飯刻氣體源; 氟石炭化合物剝離氣體源;及 減量氟碳化合物剥離氣體源;及
    極, 控制器,以可㈣的方式連接爲氣體源及該至 包括: 至少一處理器;及 電腦可讀媒體,包括: 用於將複數特徵部經由該光阻遮罩餘刻至該1〇_介 貝材料層之電腦可讀碼;及 用於在該等特徵部被蝕刻至該low士介質材料層中之 ,剝離該光阻遮罩之電腦可讀碼,該_該光阻“包 括至少一循環,其中每一循環包括: 氟石炭化合物剝離階段,包括: 使氟碳化合物剝離氣體從該氟碳化合物剝離氣 體源流入該電漿處理室,其幢氟碳化合物剝離氣 20 201044461 體包括氟碳化合物; 由該氟碳化合物剝離氣體形成電漿;及 使該氟碳化合物剝離氣體停止流入該電漿處理 室中;及 減量氟碳化合物剝離階段,包括: 使減量氟碳化合物剥離氣體從該減量氟碳化合 物剝離氣體源流入該電漿處理室,該減量氣竣化合 物剝離氣體之I礙化合物流率較該氟碳化合物剝離 氣體為低; 由該減量氟碳化合物剝離氣體形成電漿;及 使該減量氟碳化合物剝離氣體停止流動。 八、圖式· 21
TW099114671A 2009-05-08 2010-05-07 Strip with reduced low-k dielectric damage TW201044461A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/463,155 US8691701B2 (en) 2009-05-08 2009-05-08 Strip with reduced low-K dielectric damage

Publications (1)

Publication Number Publication Date
TW201044461A true TW201044461A (en) 2010-12-16

Family

ID=43054548

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099114671A TW201044461A (en) 2009-05-08 2010-05-07 Strip with reduced low-k dielectric damage

Country Status (4)

Country Link
US (1) US8691701B2 (zh)
KR (1) KR101723685B1 (zh)
CN (1) CN101882580B (zh)
TW (1) TW201044461A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103839870A (zh) * 2012-11-20 2014-06-04 中微半导体设备(上海)有限公司 用于tsv刻蚀中改善硅通孔侧壁粗糙度的方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10361091B2 (en) * 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
KR20240093835A (ko) * 2021-10-22 2024-06-24 램 리써치 코포레이션 베벨 세정을 사용하는 스트립핑 (strip)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207583B1 (en) * 1998-09-04 2001-03-27 Alliedsignal Inc. Photoresist ashing process for organic and inorganic polymer dielectric materials
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6455431B1 (en) * 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
US7235479B2 (en) * 2004-08-26 2007-06-26 Applied Materials, Inc. Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
US7501350B2 (en) * 2004-11-05 2009-03-10 Tokyo Electron Limited Plasma processing method
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
JP2008078582A (ja) * 2006-09-25 2008-04-03 Hitachi High-Technologies Corp プラズマエッチング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103839870A (zh) * 2012-11-20 2014-06-04 中微半导体设备(上海)有限公司 用于tsv刻蚀中改善硅通孔侧壁粗糙度的方法
CN103839870B (zh) * 2012-11-20 2016-08-17 中微半导体设备(上海)有限公司 用于tsv刻蚀中改善硅通孔侧壁粗糙度的方法

Also Published As

Publication number Publication date
US8691701B2 (en) 2014-04-08
KR101723685B1 (ko) 2017-04-05
CN101882580B (zh) 2012-05-23
KR20100121440A (ko) 2010-11-17
CN101882580A (zh) 2010-11-10
US20100285671A1 (en) 2010-11-11

Similar Documents

Publication Publication Date Title
TW201044461A (en) Strip with reduced low-k dielectric damage
TWI353019B (en) Method of preventing damage to porous low-k materi
TWI467654B (zh) 在多孔low-k介電層中形成特徵部的方法及設備
TWI420594B (zh) 去氟化製程
TWI496208B (zh) 側壁形成製程
TWI424490B (zh) 垂直輪廓修正
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
JP5254351B2 (ja) 酸化物スペーサを使用したピッチ低減
TWI357094B (en) Reduction of feature critical dimensions
TWI467651B (zh) 活性硬遮罩電漿蝕刻時之現場光阻剝除
TWI545648B (zh) 擬硬遮罩用之擺動控制
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
TW200845184A (en) Line end shortening reduction during etch
US20060134917A1 (en) Reduction of etch mask feature critical dimensions
TW200929362A (en) Mask trimming
TW201250823A (en) Method of etching features in silicon nitride films
TW200933734A (en) Profile control in dielectric etch
TW200926295A (en) Mask trimming with ARL etch
TW201123315A (en) Method for repairing low-k dielectric damage
TW200941574A (en) CD bias loading control with ARC layer open
US20070181530A1 (en) Reducing line edge roughness
TWI584360B (zh) 梯級狀構造之形成方法
TW201005824A (en) Helium descumming
JP2007503728A (ja) 改良されたバイレイヤフォトレジストパターンを提供する方法
TW201137972A (en) Nitride plasma etch with highly tunable selectivity to oxide