CN101901741B - 用于半导体加工的管路系统与控制 - Google Patents

用于半导体加工的管路系统与控制 Download PDF

Info

Publication number
CN101901741B
CN101901741B CN200910224966.2A CN200910224966A CN101901741B CN 101901741 B CN101901741 B CN 101901741B CN 200910224966 A CN200910224966 A CN 200910224966A CN 101901741 B CN101901741 B CN 101901741B
Authority
CN
China
Prior art keywords
arm
pressure
vacuum
chamber
choke valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910224966.2A
Other languages
English (en)
Other versions
CN101901741A (zh
Inventor
张奕斌
陈其贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101901741A publication Critical patent/CN101901741A/zh
Application granted granted Critical
Publication of CN101901741B publication Critical patent/CN101901741B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0379By fluid pressure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86027Electric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/86083Vacuum pump
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用于一半导体制造的一真空系统以及一真空控制系统。真空系统包括一真空室、一真空源与一管路系统。真空室用于执行一半导体加工。真空室经由管路系统而流动连接于真空源。在一实施例中,管路系统设计为具有非水平的流路段部。在部分实施例中,管路系统包括一第一支管与一第二支管,相对于真空源的第一支管与第二支管具有一对称结构。而且,在其它实施例中,第一支管与第二支管分别具有一节流阀。本发明能够降低流动阻值,提高泵送效率且降低操作成本,微粒物质不会产生堆积等等。

Description

用于半导体加工的管路系统与控制
技术领域
本发明涉及半导体,特别是涉及与晶圆加工机器或工具有关的管路系统。
背景技术
一般而言,将多数材料层与组件建立于一半导体基底之上,如此便可形成了现代半导体电子装置组装结构(例如:集成电路(IC)芯片)。一单一晶圆可包括多个个别集成电路或晶粒,随后可在后续的制作过程中经由现有的单切或晶切的一切割加工而对于个别集成电路或晶粒进行分离。半导体装置结合了多数的电性主动组件,这些主动组件形成于一电绝缘材料或一介电材料之中。通过各种附加的图样化与沉积加工(例如:波纹及双波纹)可将多个金属导体或金属间连接物形成于介电材料之中,如此便可将这些主动组件共同地电性耦接于不同层结构及/或单一层结构之中。因此,在现代半导体制造中是必需进行重复性的数个程序步骤顺序,这些程序步骤包括了材料沉积(导电金属与非导电材料)、在沉积材料中的光刻电路图样及选择性材料移除(例如:蚀刻与灰化),由此以逐步地形成半导体装置结构。
上述的部分的半导体加工是在商业通用真空处理工具机或机器中进行。这些真空处理工具机或机器包括一加热真空处理室与一真空源,其中,加热真空处理室用以握持一个或多个晶圆,真空源为流体连接于加热真空处理室。一般而言,这些工具机或机器还包括一气体供给系统,此气体供给系统将反应物处理气体引入于真空处理室之中,由此可应用于传统蚀刻/灰化加工之中,并且就蒸汽沉积加工(例如:化学汽相沉积(CVD)、物理汽相沉积(PVD)等)中的对于晶圆进行薄膜层或多种材料的增加而言,利用上述方式便可对于晶圆或蒸汽沉积加工中的半导体材料进行移除。真空源可降低在加热真空处理室中的压力或可对于加热真空处理室中的气体进行排放或抽吸,因而建立了加热真空处理室至真空源的气体流动。一般而言,用于加热真空处理室的真空源是经由一真空泵所提供,此真空泵可经由真空排气管路与阀件而流动连接于加热真空处理室。
图1表示一现有半导体真空处理机器或系统10及真空管路布置的一例子的附图。半导体真空处理系统10包括一真空处理室11、一气体供给系统13、一真空泵14、一真空泵送管路15及一单一组合压力控制与隔离阀16,其中,真空泵送管路15将真空处理室11连接于真空泵14。真空处理室11用以对于一基底12所支承的一个或多个晶圆W进行握持。如图所示,真空泵送管路15的四入口19耦接于位于真空处理室11内的多个泵送埠,这些泵送埠设置在接近于各晶圆W的位置上。经由压力计或传感器17对于实际室压力P进行测量且将一对应数字或模拟压力信号传送至一压力控制器18之下,如此便可在真空处理室11中维持所需的真空压力。压力控制器18可对于实际室压力P与一既定所需设定点压力Ps之间进行比较。如果实际室压力P与设定点压力Ps之间的变化超过了一既定临界限,则压力控制器18便会传送一信号以对于压力控制与隔离阀16进行节流,直到实际室压力P恢复至所需压力范围内为止才会停止压力控制与隔离阀16的节流。
然而,上述传统真空处理系统相当容易产生以下的问题。在非对称真空泵送管路15的布置与多支管的作用下,在各支管及/或入口19内、真空处理室11的对应部分将会产生不平衡真空压力,导致了一不平均气体流动样式通过真空处理室11,因而造成了一单一晶圆或晶圆与晶圆间之一者具有不均匀材料移除或沉积,进而使得正常废晶粒比率大幅的提升。虽然上述的问题较不会出现在300毫米晶圆或较小晶圆的制作过程之中,但在450毫米次世代晶圆的较大尺寸晶圆的制作过程中将无法避免流体流动与压力的不平衡的产生。再者,由于真空泵送管路15的多支管与复杂结构的作用下,除了造成流动阻值的增加之外,同时亦造成了较低泵送效率与较高操作成本。
上述传统真空处理系统的另一问题在于:在真空泵送管路15中的固态加工产物(例如:粉末、残留物、粒子等)的集结或聚集,这些固态加工副产物的集结或聚集的问题会在真空处理室11中所进行的半导体材料的移除作业或沉积加工中出现。微粒副产物的集结会造成了真空管路的有效内径的缩减、在真空处理室11中的背压的升高、降低气体流动而导致管路中的较高副产物聚集率。真空管路的缩减的内管径会造成流阻的增加与泵送效率的降低。此外,在微粒加工副产物的影响作用下,上述的真空处理室11中的造成晶圆的不均匀材料移除或沉积的压力平衡问题与不平均气流的问题将会更为严重。因此,对于半导体制造机器进行周期性维修与停工期是必要的,通过对于真空泵送管路15进行拆解且对于微粒副产物进行移除。
具有多个水平管段部的现存管路布置(例如:如图1所示的真空泵送管路15)更是特别容易造成半导体加工中的微粒副产物的集结。现存管路布置的水平段部扮演了凸缘或陷阱的角色,通过这些凸缘或陷阱可对于微粒物质进行堆积,并且特别是在位于真空处理室11(如图2所示)的泵送口下方、接近真空泵送管路15的入口19处更是容易产生微粒物质的堆积。由多个管路弯管(特别是90度肘管)所造成的流动旋涡与滞流区域所形成的低速流动区域亦同样会造成微粒物质的堆积。
上述传统真空处理系统的再一问题在于:就非对称管路布置与管路中的微粒副产物集结所造成的真空泵送管路15的真空压力不平衡下所产生的不平均气流而言,传统真空处理系统无法对此不平均气流进行补偿。唯有通过将所记录的真空处理室的实际压力P的一单一压力测量提供给控制器及/或操纵器之下,如此才可对于任一支管是否因微粒副产物集结形成局部堵塞下所造成不平均气流的信息进行提供。再者,由于在传统半导体真空处理系统10中仅提供了单一组合压力控制与隔离阀16,在控制器或操纵器无实际能力可对于从左到右的支管内的气流与压力进行平衡的情况下,如此将无法对于因其中一支管内的过度微细副产物集结所造成的不平衡压力进行补偿。
发明内容
本发明的目的在于提供一种用于一半导体制造的一真空系统、用于一半导体制造机器的一真空控制系统以及用以控制一真空系统的方法,以解决现有技术中存在的问题。
根据另一实施例可知,用于一半导体制造的一真空系统包括一真空室、一真空源与一管路系统。真空室用于执行一半导体加工。真空室经由管路系统而流动连接于真空源。较佳的方式为管路系统是设计为具有非水平的流路段部。在一实施例中,管路系统包括一第一支管与一第二支管,相对于真空源的第一支管与第二支管具有一对称结构。第一支管与第二支管实质上具有相同结构。在部分实施例中,第一支管与第二支管分别具有一分叉接头,多个分叉接头为流体连接于真空室,分叉接头具有两入口段部与一结合出口段部,分叉接头设计为具有非水平的流路段部。在较佳实施例中,管路系统包括具有一节流阀的一第一支管与具有一节流阀的一第二支管,第一支管的节流阀与第二支管的节流阀分别可独立控制且以可操作方式对于第一支管与第二支管内的压力进行调整。
根据另一实施例可知,用于一半导体制造机器的一真空控制系统包括一真空室与一真空源。真空室用于执行一半导体加工。真空室包括一室压力传感器,室压力传感器以可操作方式对于真空室内的压力进行量测,并且室压力传感器对于指示所量测室压力的一信号进行传送。在部分实施例中的真空源可为一真空泵。真空控制系统还以包括一第一支管为佳,此第一支管为流体连接于真空室。第一支管包括一第一节流阀与一第一压力传感器,第一压力传感器以可操作方式对于第一支管内的压力进行量测,并且第一压力传感器对于指示所量测第一分支压力的一信号进行传送。一第二支管为流体连接于真空室,第二支管包括一第二节流阀与一第二压力传感器,第二压力传感器以可操作方式对于第二支管内的压力进行量测,并且第二压力传感器对于指示所量测第二分支压力的一信号进行传送。在较佳实施例中,第一支管与第二支管聚集且流动连接于真空源。
在部分实施例中提供了一系统控制器,通过系统控制器接收来自于室压力传感器的信号、第一压力传感器的信号、第二压力传感器的信号。执行的控制逻辑的系统控制器设计用以对于来自于第一压力传感器所量测压力与来自于第二压力传感器所量测压力进行比较,以及对于第一节流阀或第二节流阀进行节流下而对于第一支管与第二支管中的压力进行平衡。在其它实施例中,控制逻辑还设计用以感测第一节流阀与第二节流阀的操作位置、对于第一节流阀与第二节流阀的多个操作位置与一控制限之间进行比较、在第一节流阀或第二节流阀的操作位置超过控制限时便停止了半导体制造机器。在部分实施例中,控制逻辑还设计用以对于室压力与第一分支压力/第二分支压力、在第一分支压力或第二分支压力实质上不相同于位于一预定控制限内的室压力时便停止了半导体制造机器。在其它实施例中,控制逻辑储存于计算机可读媒体之上,计算机可读媒体可由系统控制器进行存取。
还提供一种用以对于一半导体制造中的控制一真空系统的方法。此方法包括以下步骤:提供一真空室、一真空源与一管路系统,真空室经由管路系统而流动连接于真空源;在管路系统的一第一支管与一第二支管中进行压力量测;比较第一支管与第二支管中所量测压力;以及调整第一支管与第二支管内的压力。在一实施例中,调整步骤包括了对于在第一支管内的一第一节流阀与在第二支管内的一第二节流阀中的至少一个进行节流。此方法还包括了对于第一节流阀与第二节流阀的位置进行测定且对于第一节流阀与第二节流阀的多个位置与一既定控制限之间进行比较。在其它实施例中,在第一节流阀或第二节流阀的位置超过控制限时还对于半导体制造机器进行停止。在其它实施例中,此方法还包括了对于第一支管与第二支管内的量测压力与真空室内所量测的一压力之间进行比较。第一支管或第二支管内的量测压力不相同于真空室内的压力时还对于半导体制造机器进行停止。在一较佳实施例中,此方法的步骤自动地经由一基于微处理器系统控制器所执行,系统控制器具有一相关计算机可读媒体,由系统控制器所实行的控制逻辑可储存于相关计算机可读媒体之上,相关计算机可读媒体设计用以执行方法的多个步骤。
与现有技术相比,本发明的有益效果在于,降低流动阻值,提高泵送效率且降低操作成本,微粒物质不会产生堆积等等。
附图说明
为了让本发明的上述和其它目的、特征、和优点能更明显易懂,下文特举一较佳实施例,并配合所附图示,作详细说明如下:
图1表示一现有半导体真空处理系统及管路布置的立体图;
图2表示图1的现有真空管路的一部分的剖面侧视图;
图3表示根据本发明的一半导体真空处理系统及管路布置的一实施例的立体图;
图4表示图3的真空管路的一分叉真空管接头的侧视图,分叉真空管接头流体连接于一真空室;以及
图5为用于操作图3的半导体真空处理系统的一方法及示范控制逻辑的流程图。
所有附图均为示意的且非依比例进行描绘,
其中,附图标记说明如下:
10~半导体真空处理机器或系统    11~真空处理室
12~基底                        13~气体供给系统
14~真空泵                      15~真空泵送管路
16~隔离阀                      17~传感器
18~压力控制器                  19~入口
20~半导体真空处理系统          21~真空处理室
22~基座                        23~气体供给系统
24~真空泵                      25~真空泵送管路
26~泵送口                      27A、27B~管段部
29A、29B~管路入口              30~Y型接头
31~入口段部                    32~出口段部
40~系统控制器                  41~使用者接口
42~数据传输连结                50、51、52~压力传感器
A、B~支管                      Pa、Pb、P~实际压力
VA、VB~节流阀                  VI~隔离阀
W~晶圆
具体实施方式
做为例证实施例的说明可在配合相关附图下而被理解,这些附图为整份说明的考虑部分。在所披露的实施例的说明中,任何关于方向或方位的参考仅做为说明便利的使用,并且因此而不会造成本发明的领域的限制。就例如“底(lower)”、“顶(upper)”、“水平(horizontal)”、“垂直(vertical)”、“之上(above)”、“之下(below)”、“向上(up)”、“向下(down)”、“顶(top)”与“底(bottom)”的相关术语及其衍生术语(例如:“水平地(horizontally)”、“向下地(downwardly)”、“向上地(upwardly)”等)而言,在说明中或呈现于附图中的讨论时,这些相关术语及其衍生术语用以做为方位的使用。这些相关术语仅为了便于说明而使用,并且不需要在一特定方位下对于装置进行建构或操作。除非是特别地说明利用了其它方式,否则例如“将...附加于(attached)”、“将...固定于(affixed)”、“将...连接于(connected)”、“将...相互连接(interconnected)”的术语表示在多个结构之间利用了多个中间结构、以可移动或刚性附件或关系的作用下而达到彼此之间的直接或间接地固定或贴附。就用以描述结构/组件之间的关系的术语“邻接于(adjacent)”而言,此“邻接于(adjacent)”包括了相关的个别结构/组件间的直接接触与在个别结构/组件之间具有其它中间结构/组件的存在。再者,由较佳实施例说明本发明的可能的非限制性特征的组合并非特别地用以限制本发明,这些非限制性特征可单独存在或为其它特征的组合,本发明的保护范围当事后附的权利要求所界定的范围为准。
图3表示根据本发明的一半导体真空处理系统20及管路布置的一实施例的局部分解立体图。半导体真空处理系统20包括一般真空处理室21,此真空处理室21设计用以握持一个或多个晶圆W,而这些需处理的晶圆W由一基座22所设置与支承。半导体真空处理系统20还可包括一气体供给系统23、一般真空泵24及一真空泵送管路25,其中,气体供给系统23用以将反应物处理气体注入于真空处理室21之中,真空处理室21经由真空泵送管路25而流动连接于真空泵24。真空处理室21与真空泵24可采用任何商业通用机器,任何本领域技术人员已对这些机器予以认可,并且经选定的机器可符合于所执行的半导体加工的特定需求。
请仍参阅图3,通过真空泵送管路25可减少或消除在图1、2中及其所述的现有非对称且复杂的管路系统排列的相关问题,包括但不限定于上述的处理室微细副产物或粉末集结、压力与气流不平衡、低泵送效率。在一较佳实施例中,有利的方式是将真空泵送管路25设计为具有简单、对称管路布置,易于造成在真空处理室21中所进行的半导体加工所含微细或固态副产物的聚集的水平流路管段部与缩减流区域可被消除或减少。更有利的是,改良型的简单且对称管路布置可减少在不同支管之间的气流与压力不平衡且可增加泵送效率,如此使得半导体真空处理系统20具有可处理450毫米次世代晶圆的能力。
请参阅图3、4,在一实施例中的真空泵送管路25可包括一支管A与一支管B。就耦接于位于真空处理室21(参见图4)上的泵送口26的管路入口29A、29B至隔离阀VI的入口而言,支管A、B实质上以接近于一点位置的方式而具有对称的结构与尺寸,在此点位置上的分叉管路聚集为一单一管路。可以理解的是,在部分实施例中的尺寸与结构上是需要略为改变的,如此使得各支管A、B可适用于在实际管路安装时所造成的物理上的差异与阻碍。支管A包括多个管路入口29A、特定的一第一分叉接头(例如:Y型接头30)、一节流阀VA与一管段部27A。在一实施例中,支管B的设计方式以与支管A相同为佳,并且支管B包括多个管路入口29B、一Y型接头30、一节流阀VB与一管段部27B。有利的是,支管A、B以不需包括任何实质水平段部为佳,而是包括非水平的段部,在现有的真空泵送管路设计中利用水平段部作为多个凸缘而进行微细副产物集结的聚集。
请参阅图3,Y型接头30以紧密方式耦接于真空处理室21为佳。节流阀VA、VB以紧密方式耦接于Y型接头30为佳。利用在Y型接头30与真空处理室21之间、在节流阀VA、VB与Y型接头30之间的短距离设计可减少或消除部分管路,如此使得真空处理室21中所含固态副产物或粉末的会产生凝结与聚集的可能位置可被减少。
管段部27A、27B在一第二分叉接头(例如:Y型接头28)终止且聚集。Y型接头28可为一标准商业通用接头,或是Y型接头28可针对所预计安装的特定尺寸、角度而设计的一特制斜接头。
如图3所示,一隔离阀VI设置于Y型接头28的下游、真空泵24的上游。隔离阀VI可包括一自动阀门操纵器,此自动阀门操纵器相对应于来自一系统控制器40的一信号而对于隔离阀VI的关闭与开启进行控制,或是隔离阀VI可仅为一手动操作阀。隔离阀VI用于维修程序或紧急关闭程序,如此将真空泵24与真空处理室21之间进行隔离。隔离阀VI可安装于真空泵24之上,或是隔离阀VI可经由管段部而流动连接于真空泵24。
图4表示定位于支管A之中且附加于真空处理室21的特定结构的Y型接头30的侧视图。Y型接头30包括了具有两入口段部31的一分叉入口布置,两入口段部31分别定义了一个别管入口29A、一扩大混合流主部件与一单一组合出口段部32,个别管入口29A用以耦接于真空处理室21之上的泵送口26。在一实施例中,Y型接头30的单一组合出口段部32可直接附加于节流阀VA,或是Y型接头30的单一组合出口段部32可附加于一短型管段部或联轴节,其中,联轴节附加于节流阀VA。在较佳实施例中,在支管B中同样具有相同的一特定Y型接头30。在根据现有技术下可使得两入口段部31与单一组合出口段部32具有任何适当端加工,如此便可让两入口段部31与单一组合出口段部32配合于泵送口26/节流阀VA,或是两入口段部31与单一组合出口段部32可配合于节流阀VA与单一组合出口段部32之间的管路。经由泵送口26与节流阀VA或是位于Y型接头30的其它管路的所使用的相对端加工之下便可说明所采用的端加工的型态。较佳的方式是在Y型接头30不必设置有任何用以防止在真空处理室21中所含微细副产物集结的聚集的水平流路,而是设置有非水平的流路。
可以理解的是,在审慎考虑下的部分实施例中的Y型接头30可采用一般商业通用Y型接头。
节流阀VA、VB可采用适合于在一真空管路系统中进行流体流动与压力节流应用的一般商业通用阀。在不考虑节流阀VA、VB的所选定的型态或样式之下,节流阀VA、VB需包括具有适合型态的一可变流孔口,通过可变流孔口对于通过节流阀VA、VB的流量进行调节且分别对于个别支管A、B进行压力操控。在一实施例中,节流阀VA、VB采用具有合适电子组件的电子式阀操纵器为佳,由此电子式阀操纵器可允许流体通过节流阀VA、VB,并且可经由基于微处理器系统控制器40(如图3所示)所输出的电子控制信号以对于来自流体的压力进行自动化调整,相关内容将在下文进行详述。节流阀VA、VB可包括其它一般配件与辅助设备,这些配件与辅助设备通常可提供包括了极限开关、开启/关闭位置指示器等的阀应用。在部分实施例中,节流阀VA、VB可为气动启动器。
隔离阀VI可采用适合于在一真空管路系统中进行流体流动与流体流动隔离应用的一般商业通用阀。在部分实施例中,隔离阀VI可为手动操作阀,或是隔离阀VI可改用电动或气动方式进行操作且可采用类似于节流阀VA、VB的基于微处理器系统控制器40所输出的电子控制信号的遥控启动而进行操作。
以下将针对如何利用本发明的一控制系统对于真空泵送管路25进行监控的操作提出说明。控制系统包括系统控制器40,相关于系统控制器40将于下文中配合图3、5提出更详尽的说明。
在部分实施例中,系统控制器40采用一基于微处理器系统控制器为佳,由此可对于数据与控制信号进行接收与产生作业。在一实施例中,系统控制器40以可对于多个压力传感器50、51、52所产生及传送的不连续压力信号进行感测与接收作业为佳,这些压力传感器50、51、52用以分别对于实际压力Pa、Pb、P进行量测。较佳的方式是将多个压力传感器50、51、52分别设置于节流阀VA、VB的上游,并且如图所示的更佳的方式是将多个压力传感器50、51、52设置于特定Y型接头30的出口、或是以接近于特定Y型接头30的出口进行多个压力传感器50、51、52的设置,由此以对于支管A或B的组合压力进行量测。压力传感器50连接于真空处理室21,由此以对于真空处理室21中的实际压力P进行量测。多个压力传感器50、51、52可采用任何商业通用压力感测装置或压力监视装置,通过压力感测装置或压力监视装置的操作可进行压力量测、并且随后产生数据信号且将数据信号经由多个数据传输连结42而传送至系统控制器40,通过数据信号可对于各压力传感器50、51、52所在位置的瞬间实际量测压力进行指示。
请继续参阅图3,在部分实施例中的系统控制器40还可经由多个数据传输连结42而电性连结于与节流阀VA、VB有关的多个阀操纵器。因此,通过系统控制器40的操作可产生数据信号且可将数据信号经由多个数据传输连结42而传送至节流阀VA、VB,由此以对于位于节流阀VA、VB中的可变流孔口的位置进行调整/节流,进而以对于流体流动通过各支管A、B的压力与流量进行调节。系统控制器40还可经由控制信号而电性连结于隔离阀VI,由此以对于隔离阀VI进行开启/关闭,此方式是与节流阀VA、VB的操作方式相同。在其它实施例中,隔离阀VI可为一手动操作阀。在其它可实行的实施例中,隔离阀VI可采用与节流阀VA、VB相同的节流阀以取代一开启/关闭型隔离阀,并且在此方式下除了具有可经由节流阀VA、VB对于各支管A、B的压力进行调整之外,还可在利用单一阀件之下以对于真空泵送管路25中的所有流体流动与真空处理室21中的真空压力进行节流与调整。
系统控制器40可采用任何商业通用处理器、中央处理单元(CPU)、微处理器、微控制器、或是结合了所需控制电路的计算机类似装置。较佳的方式为系统控制器40包括了可进行存取的计算机可读媒体,或是系统控制器40连接于可进行存取的计算机可读媒体为佳,此计算机可读媒体可包括一般计算机内存(例如:不受限制的只读存储器(ROM)、随机存取内存(RAM)、闪存)、光学储存装置(例如:硬盘机等)的任何类型或组合。
请参阅图3、5,较佳的方式是在系统控制器40中设置有经程序化的用以监控真空泵送管路25的操作指示或控制逻辑,并且通过系统控制器40可执行操作指示或控制逻辑。在系统操作压力Pa、Pb、P所构成的输入信号被传送至控制逻辑时,如此便可对允许控制逻辑对于真空泵送管路25的操作进行监控。控制逻辑与各种系统输入基线操作参数及控制限或设定点可预置程序于系统控制器40之中且可被储存于计算机可读媒体之上。经由硬件、韧体、软件或其任意组合下的系统控制器40可执行控制逻辑的相关作业。较佳的方式为系统控制器40还可包括一般使用者接口41(例如:影像播放装置(VDD)与控制键)与具有控制器的接口,如此可允许操作人员进行程序规化与操作的相关作业。
在部分较佳实施例中,多个数据传输连结42可包括不受限制的有线、无线、“板上”(电路板)及其组合。
以下将配合图3、5对于一真空泵送管路25的一较佳操作方法进行说明。图5表示控制逻辑的一例子,此控制逻辑可预置程序于系统控制器40之中且可经由系统控制器40所实施,由此可对于真空泵送管路25的操作进行控制。控制逻辑例行程序100由步骤102而开始,在步骤102中的真空处理室21的所需设定点压力Ps被预置程序于一系统控制器40之中。在下一步骤104中,直到真空处理室21中的实际室压力P实质上符合于所预选的设定点压力Ps时,系统控制器40才自动地分别对于节流阀VA、VB进行节流或调整。压力传感器50对于真空处理室21中的瞬间实际压力进行测量,并且指示此一量测压力的信号可经由压力传感器50而回传至系统控制器40。随后,直到实际室压力P实质上符合于所需设定点压力Ps时,系统控制器40才读取且利用此压力数据而对于节流阀VA、VB进行节流。
请继续参阅图3、5,在控制逻辑例行程序100的步骤106执行了个别节流阀VA、VB的前方的前级管道或上游压力Pa、Pb之间的比较作业。在步骤106中,系统控制器40自动地感测且读取支管A、B中的实际压力Pa、Pb。理想上,在支管A、B中的实际压力Pa、Pb相同于或实质上接近于预先输入在系统控制器40的一预编程压差限,由此可在支管A、B中提供均匀气流。如果在步骤106的判断结果为“否”而指出在压力限内的实际压力Pa不符合于实际压力Pb之时,则进行步骤108。在步骤108中,直到读取支管A、B中的实际压力Pa、Pb之间的压差回复至控制限之内时,系统控制器40才会对于各节流阀VA、VB或同时对于节流阀VA、VB中的可变流孔口的位置进行调整。较佳的方式为节流阀具有多个电子式位置指示器,通过这些电子式位置指示器可对于呈现关闭或开启的可变流孔口的孔口的程度进行指示。因此,这些电子式位置指示器以可操作方式而对于孔口位置进行感测,并且指示所辨视位置的一信号可经由这些电子式位置指示器所产生且可被传送至系统控制器40。一旦在步骤106的判断结果为“是”时,相关的控制便进入了步骤110。
在步骤110中,一系统检查或测试会被执行,由此以对于在各节流阀VA、VB中的可变流孔口的开启的程度小于预先输入在系统控制器40的一预编程阀控制限的部分进行确认。因此,就节流阀VA、VB所使用的阀门操纵器及其相关电子电路而言,较佳的方式是在阀门操纵器及其相关电子电路中包括了多个位置指示器,利用这些位置指示器的操作而可对于各节流阀VA、VB的位置进行侦测(表示出阀孔口的开启的程度),随后指示所辨视位置的一信号可经由这些位置指示器所产生且可经由多个数据传输连结42而被传送至系统控制器40。在其它较佳实施例中,利用所提供的个别的电子式阀位置指示器与电路亦可达到相同的目的。当步骤110的判断结果为“是”时,相关的控制便进入了步骤114。就另一种方式而言,如果当步骤110的判断结果为“否”时便会产生非对称泵送及流动,由此可对于在真空管路系统中所可能产生的泄漏或是在支管A或B中之一者的节流阀VA、VB的上游所产生的微细副产物的堵塞/集结予以指示。因此,在步骤112的执行过程中,由系统控制器40所产生的一信号可停止半导体真空处理系统20的相关作业的进行,如此以进行半导体真空处理系统20及/或真空泵送管路25的检视、修理及/或维修。
请继续参阅图3、5,假设在步骤110的判断结果为“是”时,相关的控制便进入了步骤114,一额外系统检查或测试会在步骤114中被执行。在步骤114中,如果瞬间实际量测压力Pa、Pb、P落于预编程压差限之中且实质上相同时,系统控制器40会对于瞬间实际量测压力Pa、Pb、P进行读取与比较下而进行测定。如上所述,通过多个压力传感器50、51、52可将压力信息经由多个数据传输连结42而传送至系统控制器40。由于自管路至测量点所发生的部分压降的作用下,在真空处理室21中所测量的压力P可能会略为不同于支管A、B内所测量的实际压力Pa、Pb等现象,因而可通过系统控制器40将合适预编程修正因子应用在所量测压力之上,如此便可对于上述的真空处理室21中的测量压力P与支管A、B内所测量的实际压力Pa、Pb之间的压力差进行补偿。如果在预编程控制限内的实际压力Pa、Pb与真空处理室21中的测量压力P(可视需求而选择性地进行修正)实质上相同时(亦即,在步骤114中的判断结果为“是”),相关的控制便进入了步骤118,并且步骤118仍持续进行半导体真空处理系统20的操作。
就另一种方式而言,如果在步骤114的在预编程控制限内的实际压力Pa、Pb与真空处理室21中的测量压力P(可视需求而选择性地进行修正)是不相同时(亦即,判断结果为“否”),如此则表示产生了一不正常操作状态,由此可对于在真空管路系统与真空处理室21中所产生的泄漏、在管路中的微细副产物的堵塞/集结、或其它情况下均可予以指示。因此,步骤118可通过系统控制器40而实施,并且在系统控制器40中的半导体真空处理系统20可根据需求下而关闭,如此以进行检视与维修的工作。
系统控制器40重复进行上述控制逻辑例行程序100的相关步骤,由此以对于半导体真空处理系统20、在半导体真空处理系统20所生产的晶圆W的质量进行监视与防护。在部分实施例中的控制逻辑例行程序100可连续地进行操作,而在其它中的控制逻辑例行程序100则可在经常性基础下而进行周期性地操作。
虽然本发明已以较佳实施例披露如上,然其并非用以限制本发明,任何熟习此项技艺者,在不脱离本发明的精神和范围内,当可做更动与润饰,因此本发明的保护范围当视后附的权利要求所界定的范围为准。特别的是,在不脱离本发明的实质特征内,凡本领域技术人员均可了解本发明是可以其它形成、结构、排列、比例、尺寸及具有其它组件、材料及零件之下而被具体实现。此外,在不脱离本发明的精神和范围内,在此所提出的方法/加工及/或控制逻辑的各种可实行的变化例是可被完成的。任何本领域技术人员还可了解本发明是可搭配许多结构、排列、比例、尺寸、材料、零件、及在其它方面上的修正下而进行操作与实行,在不脱离本发明的原理下,本发明特别是可适用于特定环境与操作需求。因此,对于目前所披露的实施例应在所提出的各方面要件下而进行完善考虑但不受到限制,由所附的权利要求及其具同等效用定义本发明的保护范围,并且不受限于前述说明或实施例。更确切的说,在不脱离本发明的具同等效用的领域和范围内,所附的权利要求应尽可能地可被扩大解释以对于其它修正例与实施例进行含盖,任何本领域技术人员还可予以实施。

Claims (12)

1.一种真空系统,用于一半导体制造,该真空系统包括:
一真空室,用于执行一半导体加工;
一真空源;以及
一管路系统,用以将该真空室流动连接于该真空源,其中,该管路系统设计为不具有水平的流路段部,
其中,该管路系统包括一第一支管与一第二支管,相对于该真空源的该第一支管与该第二支管具有一对称结构,
其中,该管路系统包括具有一节流阀的一第一支管与具有一节流阀的一第二支管,该第一支管的该节流阀与该第二支管的该节流阀分别独立控制且以可操作方式对该第一支管与该第二支管内的压力进行调整。
2.如权利要求1所述的真空系统,其中,该第一支管与该第二支管具有相同结构。
3.如权利要求1所述的真空系统,其中,该第一支管与该第二支管分别具有一分叉接头,所述分叉接头为流体连接于该真空室,该分叉接头具有两入口段部与一结合出口段部,该分叉接头设计为具有非水平的流路段部。
4.如权利要求1所述的真空系统,还包括一隔离阀与一分叉接头,该隔离阀设置于该真空源的上游且经由流体连通于该第一支管与该第二支管,该分叉接头耦接于该第一支管的该节流阀与该第二支管的该节流阀且流动连接于该真空室。
5.一种真空控制系统,用于一半导体制造机器,该真空控制系统包括:
一真空室,用于执行一半导体加工,该真空室包括一室压力传感器,该室压力传感器以可操作方式对该真空室内的压力进行量测,并且该室压力传感器对指示所量测室压力的一信号进行传送;
一真空源;
一第一支管,流动连接于该真空室,该第一支管包括一第一节流阀与一第一压力传感器,该第一压力传感器以可操作方式对该第一支管内的压力进行量测,并且该第一压力传感器对指示所量测第一分支压力的一信号进行传送;
一第二支管,流动连接于该真空室,该第二支管包括一第二节流阀与一第二压力传感器,该第二压力传感器以可操作方式对该第二支管内的压力进行量测,并且该第二压力传感器对指示所量测第二分支压力的一信号进行传送;
该第一支管与该第二支管聚集且流动连接于该真空源,并且,该第一支管与该第二支管不包括水平的段部;以及
一系统控制器,接收来自于该室压力传感器的该信号、该第一压力传感器的该信号、该第二压力传感器的该信号,执行控制逻辑的该系统控制器设计用以:
对来自于该第一压力传感器所量测压力与来自于该第二压力传感器所量测压力进行比较;以及
对该第一节流阀或该第二节流阀进行节流下而对该第一支管与该第二支管中的压力进行平衡,
其中,流动连接于该真空源和该真空室之间的管路系统不具有水平的流路段部,
以及其中,相对于该真空源的该第一支管与该第二支管具有一对称结构。
6.如权利要求5所述的真空控制系统,其中,该控制逻辑还设计用以感测该第一节流阀与该第二节流阀的操作位置、对该第一节流阀与该第二节流阀的所述操作位置与一控制限之间进行比较、在该第一节流阀或该第二节流阀的该操作位置超过该控制限时便停止了该半导体制造机器。
7.如权利要求5所述的真空控制系统,其中,该控制逻辑还设计用以对于该室压力与该第一分支压力和该第二分支压力、在该第一分支压力或该第二分支压力不相同于位于一预定控制限内的该室压力时便停止了该半导体制造机器。
8.如权利要求5所述的真空控制系统,还包括一隔离阀,该隔离阀设置于该真空源的上游且经由流体连通于该第一支管与该第二支管,该系统控制器以可操作方式对该隔离阀进行开启或关闭。
9.一种用以控制一真空系统的方法,用于一半导体制造,该方法包括以下步骤:
提供一真空室、一真空源与一管路系统,该管路系统用以将该真空室流动连接于该真空源,其中,该管路系统设计为不具有水平的流路段部;
在该管路系统的一第一支管与一第二支管中进行压力量测;
比较该第一支管与该第二支管中所量测压力;以及
调整该第一支管与该第二支管内的压力,
其中,相对于该真空源的该第一支管与该第二支管具有一对称结构,
以及其中,该调整步骤包括了对在该第一支管内的一第一节流阀与在该第二支管内的一第二节流阀中的至少一个进行节流。
10.如权利要求9所述的方法,还包括了对该第一节流阀与该第二节流阀的位置进行测定且对该第一节流阀与该第二节流阀的所述位置与一既定控制限之间进行比较,在该第一节流阀或该第二节流阀的该位置超过该控制限时还对该半导体制造机器进行停止。
11.如权利要求9所述的方法,还包括了对该第一支管与该第二支管内的该量测压力与该真空室内所量测的一压力之间进行比较,在该第一支管或该第二支管内的该量测压力不相同于该真空室内的该压力时还对该半导体制造机器进行停止。
12.如权利要求9所述的方法,其中,该方法的所述步骤自动地经由一基于微处理器系统控制器所执行,该系统控制器具有一相关计算机可读媒体,由该系统控制器所实行的控制逻辑可储存于该相关计算机可读媒体之上,该相关计算机可读媒体设计用以执行该方法的所述步骤。
CN200910224966.2A 2009-05-18 2009-11-26 用于半导体加工的管路系统与控制 Active CN101901741B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/467,375 2009-05-18
US12/467,375 US8623141B2 (en) 2009-05-18 2009-05-18 Piping system and control for semiconductor processing

Publications (2)

Publication Number Publication Date
CN101901741A CN101901741A (zh) 2010-12-01
CN101901741B true CN101901741B (zh) 2014-04-23

Family

ID=43067543

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910224966.2A Active CN101901741B (zh) 2009-05-18 2009-11-26 用于半导体加工的管路系统与控制

Country Status (3)

Country Link
US (1) US8623141B2 (zh)
CN (1) CN101901741B (zh)
TW (1) TWI411058B (zh)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101012045B1 (ko) * 2007-07-23 2011-01-31 코바렌트 마테리얼 가부시키가이샤 감압 장치 및 이것에 이용하는 무기 재료질 다공체
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012118886A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Vacuum chambers with shared pump
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8517040B2 (en) * 2011-08-12 2013-08-27 Hamilton Sundstrand Corporation Valve control of pump inlet pressure with bootstrap reservoir
WO2013130191A1 (en) 2012-02-29 2013-09-06 Applied Materials, Inc. Abatement and strip process chamber in a load lock configuration
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2016540932A (ja) * 2013-10-11 2016-12-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数チャンバ用のシステムのシングルポイント接続を可能にする、有害ガスのガスラインのコンパクトな配管
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104201294B (zh) * 2014-07-10 2016-10-05 深圳市华星光电技术有限公司 一种操作平台
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP6990121B2 (ja) * 2018-03-06 2022-01-12 株式会社Screenホールディングス 基板処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113728421A (zh) * 2019-04-19 2021-11-30 朗姆研究公司 四站式处理模块的前级管道组件
JP2021046344A (ja) * 2019-09-20 2021-03-25 株式会社Sumco 半導体結晶製造装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080047786A (ko) * 2006-11-27 2008-05-30 세메스 주식회사 직렬 구조의 진공 배관 장치
CN101256935A (zh) * 2007-02-26 2008-09-03 应用材料股份有限公司 用于控制流到处理腔室的气流的方法和装置
CN101429651A (zh) * 2007-11-08 2009-05-13 应用材料股份有限公司 用于基板处理室的多端口抽气系统

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3501524B2 (ja) * 1994-07-01 2004-03-02 東京エレクトロン株式会社 処理装置の真空排気システム
JPH0917736A (ja) 1995-06-30 1997-01-17 Hitachi Ltd 半導体製造方法および装置
KR100189981B1 (ko) * 1995-11-21 1999-06-01 윤종용 진공 시스템을 구비한 반도체 소자 제조장치
JP2000024483A (ja) 1998-07-13 2000-01-25 Seiko Seiki Co Ltd 真空装置
CN1260599A (zh) * 1998-12-22 2000-07-19 佳能株式会社 处理衬底的设备和方法
JP3564069B2 (ja) 1999-03-05 2004-09-08 忠弘 大見 真空装置
US6382249B1 (en) 1999-10-04 2002-05-07 Ebara Corporation Vacuum exhaust system
KR100676197B1 (ko) 2000-09-26 2007-01-30 삼성전자주식회사 로드락 챔버의 공기흐름 조절장치
KR100968563B1 (ko) 2003-06-16 2010-07-08 삼성전자주식회사 플라즈마 식각 장치의 자동 압력 조절 방법
KR100558562B1 (ko) 2005-02-01 2006-03-13 삼성전자주식회사 반도체 설비용 부산물 포집장치
JP5186684B2 (ja) * 2007-08-02 2013-04-17 Sumco Techxiv株式会社 半導体単結晶の製造装置
JP2008144766A (ja) 2008-02-04 2008-06-26 Tadahiro Omi 真空装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080047786A (ko) * 2006-11-27 2008-05-30 세메스 주식회사 직렬 구조의 진공 배관 장치
CN101256935A (zh) * 2007-02-26 2008-09-03 应用材料股份有限公司 用于控制流到处理腔室的气流的方法和装置
CN101429651A (zh) * 2007-11-08 2009-05-13 应用材料股份有限公司 用于基板处理室的多端口抽气系统

Also Published As

Publication number Publication date
TWI411058B (zh) 2013-10-01
CN101901741A (zh) 2010-12-01
US8623141B2 (en) 2014-01-07
US20100288369A1 (en) 2010-11-18
TW201104778A (en) 2011-02-01

Similar Documents

Publication Publication Date Title
CN101901741B (zh) 用于半导体加工的管路系统与控制
JP4902942B2 (ja) 流量測定モジュール、流量測定方法、プロセス制御システムおよびプロセス制御システムの製造方法
TW587139B (en) Gas distribution system and method for the plasma gas in the chamber
US20050120805A1 (en) Method and apparatus for substrate temperature control
CN102341760B (zh) 流体控制装置
TWI483306B (zh) 基板製程系統中用於校準流量控制器的裝置及方法
US11187439B2 (en) Heat source system
US20120174990A1 (en) Flow rate ratio controlling apparatus
TWI492014B (zh) And a method of detecting a flow abnormality when the flow rate is abnormally detected by the flow rate monitoring device and the fluid supply system using the flow control device
JP4866682B2 (ja) 圧力センサを保有する流量制御装置を用いた流体供給系の異常検出方法
CN101408276A (zh) 流量检定系统和流量检定方法
US20110036424A1 (en) Valve-member monitoring system
CN101256937A (zh) 用于控制流到处理腔室的气流的方法和装置
JP2007058635A (ja) 半導体製造装置,半導体製造装置の流量補正方法,プログラム
CN101256935A (zh) 用于控制流到处理腔室的气流的方法和装置
US20110087378A1 (en) Control method and processor of exhaust gas flow rate of processing chamber
CN101678407A (zh) 用于减量系统的有效操作的方法与装置
US11305950B2 (en) Noncontact support platform with blockage detection
US11512688B2 (en) Module for a vacuum pumping and/or abatement system
JP2007322131A (ja) エンジン試験用オイル温度調整装置
EP1458984B1 (en) Apparatus for conveying fluids and base plate
US11499766B2 (en) Electric expansion valve, a heat exchange system and a method of controlling the electric expansion valve
US10655755B2 (en) Sensor connection structure
TWI332231B (en) Systems and methods of controlling systems
KR20200092187A (ko) 진공 펌프 시스템, 진공 펌프 모니터링 방법 및 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant