TWI411058B - 真空系統、真空控制系統、用以控制真空系統之方法 - Google Patents

真空系統、真空控制系統、用以控制真空系統之方法 Download PDF

Info

Publication number
TWI411058B
TWI411058B TW99115768A TW99115768A TWI411058B TW I411058 B TWI411058 B TW I411058B TW 99115768 A TW99115768 A TW 99115768A TW 99115768 A TW99115768 A TW 99115768A TW I411058 B TWI411058 B TW I411058B
Authority
TW
Taiwan
Prior art keywords
vacuum
pressure
branch pipe
branch
throttle valve
Prior art date
Application number
TW99115768A
Other languages
English (en)
Other versions
TW201104778A (en
Inventor
Yi Ping Chang
Chyi Shyuan Chern
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201104778A publication Critical patent/TW201104778A/zh
Application granted granted Critical
Publication of TWI411058B publication Critical patent/TWI411058B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0379By fluid pressure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86002Fluid pressure responsive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/85986Pumped fluid control
    • Y10T137/86027Electric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85978With pump
    • Y10T137/86083Vacuum pump
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Description

真空系統、真空控制系統、用以控制真空系統之方法
本發明係有關於半導體,特別是有關於與晶圓製程機器或工具有關之管路系統。
一般而言,將多數材料層與元件建立於一半導體基底之上,如此便可形成了現代半導體電子裝置構裝結構(例如:積體電路(IC)晶片)。一單一晶圓可包括複數個別積體電路或晶粒,隨後可在後續的製作過程中經由習用單切或晶切之一切割製程而對於個別積體電路或晶粒進行分離。半導體裝置係結合了多數的電性主動元件,這些主動元件係形成於一電絕緣材料或一介電材料之中。藉由各種附加的圖樣化與沉積製程(例如:波紋及雙波紋)係可將複數金屬導體或金屬間連接物形成於介電材料之中,如此便可將這些主動元件共同地電性耦接於不同層結構及/或單一層結構之中。因此,在現代半導體製造中是必需進行重覆性之數個程序步驟順序,這些程序步驟包括了材料沉積(導電金屬與非導電材料)、於沉積材料中之光刻電路圖樣及選擇性材料移除(例如:蝕刻與灰化),藉此以逐步地形成半導體裝置結構。
上述之部分的半導體製程是在商業通用真空處理工具機或機器中進行。這些真空處理工具機或機器包括一加熱真空處理室與一真空源,其中,加熱真空處理室係用以握持一或多個晶圓,真空源係流體連接於加熱真空處理室。一般而言,這些工具機或機器更包括一氣體供給系統,此氣體供給系統係將反應物處理氣體引入於真空處理室之中,藉此可應用於傳統蝕刻/灰化製程之中,並且就蒸汽沉積製程(例如:化學汽相沉積(CVD)、物理汽相沉積(PVD)等)中之對於晶圓進行薄膜層或多種材料的增加而言,利用上述方式便可對於晶圓或蒸汽沉積製程中之半導體材料進行移除。真空源可降低在加熱真空處理室中之壓力或可對於加熱真空處理室中之氣體進行排放或抽吸,因而建立了加熱真空處理室至真空源之氣體流動。一般而言,用於加熱真空處理室之真空源是經由一真空泵所提供,此真空泵係可經由真空排氣管路與閥件而流動連接於加熱真空處理室。
第1圖表示一習知半導體真空處理機器或系統10及真空管路佈置之一例子之圖式。半導體真空處理系統10包括一真空處理室11、一氣體供給系統13、一真空泵14、一真空泵送管路15及一單一組合壓力控制與隔離閥16,其中,真空泵送管路15係將真空處理室11連接於真空泵14。真空處理室11係用以對於一基底12所支承之一或多個晶圓W進行握持。如圖所示,真空泵送管路15之四入口19係耦接於位在真空處理室11內之複數泵送埠,這些泵送埠係設置在接近於各晶圓W之位置上。經由壓力計或感測器17對於實際室壓力P進行測量且將一對應數位或類比壓力信號傳送至一壓力控制器18之下,如此便可在真空處理室11中維持所需的真空壓力。壓力控制器18係可對於實際室壓力P與一既定所需設定點壓力Ps之間進行比較。如果實際室壓力P與設定點壓力Ps之間的變化超過了一既定臨界限,則壓力控制器18便會傳送一信號以對於壓力控制與隔離閥16進行節流,直到實際室壓力P恢復至所需壓力範圍內為止才會停止壓力控制與隔離閥16之節流。
然而,上述傳統真空處理系統相當容易產生以下的問題。在非對稱真空泵送管路15之佈置與多支管的作用下,於各支管及/或入口19內、真空處理室11之對應部分將會產生不平衡真空壓力,導致了一不平均氣體流動樣式通過真空處理室11,因而造成了一單一晶圓或晶圓與晶圓間之一者具有不均勻材料移除或沉積,進而使得正常廢晶粒比率大幅的提升。雖然上述的問題較不會出現在300毫米晶圓或較小晶圓的製作過程之中,但在450毫米次世代晶圓之較大尺寸晶圓的製作過程中將無法避免流體流動與壓力的不平衡的產生。再者,由於真空泵送管路15之多支管與複雜組態的作用下,除了造流動阻值的增加之外,同時亦造成了較低泵送效率與較高操作成本。
上述傳統真空處理系統之另一問題在於:於真空泵送管路15中之固態製程產物(例如:粉末、殘留物、粒子等)之集結或聚集,這些固態製程副產物之集結或聚集的問題係會在真空處理室11中所進行之半導體材料之移除作業或沉積製程中出現。微粒副產物之集結係會造成了真空管路之有效內徑的縮減、於真空處理室11中之背壓的升高、降低氣體流動而導致管路中之較高副產物聚集率。真空管路之縮減的內管徑係會造成流阻的增加與泵送效率的降低。此外,在微粒製程副產物的影響作用下,上述之真空處理室11中之造成晶圓之不均勻材料移除或沉積之壓力平衡問題與不平均氣流的問題將會更為嚴重。因此,對於半導體製造機器進行周期性維修與停工期是必要的,藉由對於真空泵送管路15進行拆解且對於微粒副產物進行移除。
具有多個水平管段部之現存管路佈置(例如:如第1圖所示之真空泵送管路15)更是特別容易造成半導體製程中之微粒副產物的集結。現存管路佈置之水平段部係扮演了凸緣或陷阱的角色,藉由這些凸緣或陷阱可對於微粒物質進行堆積,並且特別是在位於真空處理室11(如第2圖所示)之泵送口下方、接近真空泵送管路15之入口19處更是容易產生微粒物質的堆積。由多個管路彎管(特別是90度肘管)所造成之流動旋渦與滯流區域所形成之低速流動區域亦同樣會造成微粒物質的堆積。
上述傳統真空處理系統之再一問題在於:就非對稱管路佈置與管路中之微粒副產物集結所造成之真空泵送管路15之真空壓力不平衡下所產生的不平均氣流而言,傳統真空處理系統係無法對此不平均氣流進行補償。唯有藉由將所記錄之真空處理室之實際壓力P之一單一壓力測量提供給控制器及/或操縱器之下,如此才可對於任一支管是否因微粒副產物集結形成局部堵塞下所造成不平均氣流的資訊進行提供。再者,由於在傳統半導體真空處理系統10中供提供了單一組合壓力控制與隔離閥16,在控制器或操縱器無實際能力可對於從左到右之支管內之氣流與壓力進行平衡之情況下,如此將無法對於因其中一支管內之過度微細副產物集結所造成之不平衡壓力進行補償。
根據另一實施例可知,用於一半導體製造之一真空系統包括一真空室、一真空源與一管路系統。真空室係用於執行一半導體製程。真空室係經由管路系統而流動連接於真空源。較佳的方式為管路系統是設計為不具有一管路水平流路段部。於一實施例中,管路系統包括一第一支管與一第二支管,相對於真空源之第一支管與第二支管係具有一對稱組態。第一支管與第二支管於實質上係具有相同組態。於部分實施例中,第一支管與第二支管係分別具有一分叉接頭,複數分叉接頭係流體連接於真空室,分叉接頭具有兩入口段部與一結合出口段部,分叉接頭係設計為不具有一水平流路段部。於較佳實施例中,管路系統包括具有一節流閥之一第一支管與具有一節流閥之一第二支管,第一支管之節流閥與第二支管之節流閥係分別可獨立控制且以可操作方式對於第一支管與第二支管內之壓力進行調整。
根據另一實施例可知,用於一半導體製造機器之一真空控制系統包括一真空室與一真空源。真空室係用於執行一半導體製程。真空室包括一室壓力感測器,室壓力感測器係以可操作方式對於真空室內之壓力進行量測,並且室壓力感測器對於指示所量測室壓力之一信號進行傳送。於部分實施例中之真空源可為一真空泵。真空控制系統更以包括一第一支管為佳,此第一支管係流體連接於真空室。第一支管包括一第一節流閥與一第一壓力感測器,第一壓力感測器係以可操作方式對於第一支管內之壓力進行量測,並且第一壓力感測器對於指示所量測第一分支壓力之一信號進行傳送。一第二支管係流體連接於真空室,第二支管包括一第二節流閥與一第二壓力感測器,第二壓力感測器係以可操作方式對於第二支管內之壓力進行量測,並且第二壓力感測器對於指示所量測第二分支壓力之一信號進行傳送。於較佳實施例中,第一支管與第二支管係聚集且流動連接於真空源。
於部分實施例中係提供了一系統控制器,藉由系統控制器接收來自於室壓力感測器之信號、第一壓力感測器之信號、第二壓力感測器之信號。執行之控制邏輯之系統控制器係設計用以對於來自於第一壓力感測器所量測壓力與來自於第二壓力感測器所量測壓力進行比較,以及對於第一節流閥或第二節流閥進行節流下而對於第一支管與第二支管中之壓力進行平衡。於其它實施例中,控制邏輯更設計用以感測第一節流閥與第二節流閥之操作位置、對於第一節流閥與第二節流閥之複數操作位置與一控制限之間進行比較、於第一節流閥或第二節流閥之操作位置超過控制限時便停止了半導體製造機器。於部分實施例中,控制邏輯更設計用以對於室壓力與第一分支壓力/第二分支壓力、於第一分支壓力或第二分支壓力於實質上不相同於位在一預定控制限內之室壓力時便停止了半導體製造機器。於其它實施例中,控制邏輯係儲存於電腦可讀媒體之上,電腦可讀媒體係可由系統控制器進行存取。
一種用以對於一半導體製造中之控制一真空系統之方法是被提供的。此方法包括以下步驟:提供一真空室、一真空源與一管路系統,真空室係經由管路系統而流動連接於真空源;於管路系統之一第一支管與一第二支管中進行壓力量測;比較第一支管與第二支管中所量測壓力;以及調整第一支管與第二支管內之壓力。於一實施例中,調整步驟包括了對於在第一支管內之一第一節流閥與在第二支管內之一第二節流閥中之至少一者進行節流。此方法更包括了對於第一節流閥與第二節流閥之位置進行測定且對於第一節流閥與第二節流閥之複數位置與一既定控制限之間進行比較。於其它實施例中,於第一節流閥或第二節流閥之位置超過控制限時更對於半導體製造機器進行停止。於其它實施例中,此方法更包括了對於第一支管與第二支管內之量測壓力與真空室內所量測之一壓力之間進行比較。第一支管或第二支管內之量測壓力不相同於真空室內之壓力時更對於半導體製造機器進行停止。於一較佳實施例中,此方法之步驟係自動地經由一基於微處理器系統控制器所執行,系統控制器具有一相關電腦可讀媒體,由系統控制器所實行之控制邏輯係可儲存於相關電腦可讀媒體之上,相關電腦可讀媒體係設計用以執行方法之複數步驟。
做為例証實施例之說明係可在配合相關附圖式下而被理解,這些圖式係為整份說明之考量部分。於所揭露之實施例之說明中,任何關於方向或方位之參考僅做為說明便利之使用,並且因此而不會造成本發明之領域的限制。就例如“底(lower)”、“頂(upper)”、“水平(horizontal)”、“垂直(vertical)”、“之上(above)”、“之下(below)”、“向上(up)”、“向下(down)”、“頂(top)”與“底(bottom)”之相關術語及其衍生術語(例如:“水平地(horizontally)”、“向下地(downwardly)”、“向上地(upwardly)”等)而言,於說明中或呈現於圖式中之討論時,這些相關術語及其衍生術語係用以做為方位之使用。這些相關術語係僅為了便於說明而使用,並且不需要在一特定方位下對於裝置進行建構或操作。除非是特別地說明利用了其它方式,否則例如“將...附加於(attached)”、“將...固定於(affixed)”、“將...連接於(connected)”、“將...相互連接(interconnected)”之術語表示於複數結構之間利用了複數中間結構、以可移動或剛性附件或關係之作用下而達到彼此之間的直接或間接地固定或貼附。就用以描述結構/元件之間之關係之術語“鄰接於(adjacent)”而言,此“鄰接於(adjacent)”包括了相關之個別結構/元件間之直接接觸與於個別結構/元件之間具有其它中間結構/元件之存在。再者,由較佳實施例係說明本發明之可能的非限制性特徵之組合並非特別地用以限制本發明,這些非限制性特徵可單獨存在或為其它特徵之組合,本發明之保護範圍當事後附之申請專利範圍所界定者為準。
第3圖表示根據本發明之一半導體真空處理系統20及管路佈置之一實施例之局部分解立體圖。半導體真空處理系統20包括一般真空處理室21,此真空處理室21係設計用以握持一或多個晶圓W,而這些需處理的晶圓W係由一基座22所設置與支承。半導體真空處理系統20更可包括一氣體供給系統23、一般真空泵24及一真空泵送管路25,其中,氣體供給系統23係用以將反應物處理氣體注入於真空處理室21之中,真空處理室21係經由真空泵送管路25而流動連接於真空泵24。真空處理室21與真空泵24可採用任何商業通用機器,任何熟習此技藝人士係已對這些機器予以認可,並且經選定的機器係可符合於所執行之半導體製程之特定需求。
請仍參閱第3圖,藉由真空泵送管路25係可減少或消除於第1、2圖中及其所述之習知非對稱且複雜的管路系統排列之相關問題,包括但不限定於上述之處理室微細副產物或粉末集結、壓力與氣流不平衡、低泵送效率。於一較佳實施例中,有利的方式是將真空泵送管路25設計為具有簡單、對稱管路佈置,易於造成於真空處理室21中所進行之半導體製程所含微細或固態副產物之聚集的水平流路管段部與縮減流區域是可被消除或減少。更有利的是,改良型之簡單且對稱管路佈置可減少於不同支管之間的氣流與壓力不平衡且可增加泵送效率,如此使得半導體真空處理系統20可具有可處理450毫米次世代晶圓之能力。
請參閱第3、4圖,於一實施例中之真空泵送管路25是可包括一支管A與一支管B。就耦接於位在真空處理室21(參見第4圖)上之泵送口26之管路入口29A、29B至隔離閥VI之入口而言,支管A、B於實質上係以接近於一點位置的方式而具有對稱的組態與尺寸,於此點位置上之分叉管路係聚集為一單一管路。可以理解的是,於部分實施例中之尺寸與組態上是需要略為改變的,如此使得各支管A、B可適用於於實際管路安裝時所造成之物理上的差異與阻礙。支管A包括複數管路入口29A、特定的一第一分叉接頭(例如:Y型接頭30)、一節流閥VA與一管段部27A。於一實施例中,支管B之設計方式係以相同於支管A為佳,並且支管B包括複數管路入口29B、一Y型接頭30、一節流閥VB與一管段部27B。有利的是,支管A、B是以不需包括任何實質水平段部為佳,於習用真空泵送管路設計中係利用水平段部做為複數凸緣而進行微細副產物集結之聚集。
請參閱第3圖,Y型接頭30係以緊密方式耦接於真空處理室21為佳。節流閥VA、VB係以緊密方式耦接於Y型接頭30為佳。利用於Y型接頭30與真空處理室21之間、於節流閥VA、VB與Y型接頭30之間之短距離設計是可減少或消除部分管路,如此使得真空處理室21中所含固態副產物或粉末之會產生凝結與聚集之可能位置可被減少。
管段部27A、27B係於一第二分叉接頭(例如:Y型接頭28)終止且聚集。Y型接頭28可為一標準商業通用接頭,或是Y型接頭28可針對所預計安裝之特定尺寸、角度而設計之一特製斜接頭。
如第3圖所示,一隔離閥VI係設置於Y型接頭28之下游、真空泵24之上游。隔離閥VI可包括一自動閥門操縱器,此自動閥門操縱器係相對應於來自一系統控制器40之一信號而對於隔離閥VI之關閉與開啟進行控制,或是隔離閥VI可僅為一手動操作閥。隔離閥VI係用於維修程序或緊急關閉程序,如此將真空泵24與真空處理室21之間進行隔離。隔離閥VI係可安裝於真空泵24之上,或是隔離閥VI可經由管段部而流動連接於真空泵24。
第4圖表示定位於支管A之中且附加於真空處理室21之特定組態之Y型接頭30之側視圖。Y型接頭30包括了具有兩入口段部31之一分叉入口佈置,兩入口段部31係分別定義了一個別管入口29A、一擴大混合流主部件與一單一組合出口段部32,個別管入口29A係用以耦接於真空處理室21之上之泵送口26。於一實施例中,Y型接頭30之單一組合出口段部32係可直接附加於節流閥VA,或是Y型接頭30之單一組合出口段部32可附加於一短型管段部或聯軸節,其中,聯軸節係附加於節流閥VA。於較佳實施例中,於支管B中係同樣具有相同的一特定Y型接頭30。在根據習知技術下係可使得兩入口段部31與單一組合出口段部32具有任何適當端加工,如此便可讓兩入口段部31與單一組合出口段部32配合於泵送口26/節流閥VA,或是兩入口段部31與單一組合出口段部32可配合於節流閥VA與單一組合出口段部32之間的管路。經由泵送口26與節流閥VA或是位於Y型接頭30之其它管路之所使用的相對端加工之下便可說明所採用之端加工之型態。較佳的方式是在Y型接頭30不必設置有任何用以防止於真空處理室21中所含微細副產物集結之聚集之水平流路。
可以理解的是,在審慎考量下之部分實施例中之Y型接頭30係可採用一般商業通用Y型接頭。
節流閥VA、VB係可採用適合於在一真空管路系統中進行流體流動與壓力節流應用之一般商業通用閥。在不考量節流閥VA、VB之所選定的型態或樣式之下,節流閥VA、VB需包括具有適合型態之一可變流孔口,藉由可變流孔口對於通過節流閥VA、VB之流量進行調節且分別對於個別支管A、B進行壓力操控。於一實施例中,節流閥VA、VB係採用具有合適電子元件之電子式閥操縱器為佳,藉此電子式閥操縱器可允許流體通過節流閥VA、VB,並且可經由基於微處理器系統控制器40(如第3圖所示)所輸出之電子控制信號以對於來自流體之壓力進行自動化調整,相關內容將於以下進行詳述。節流閥VA、VB可包括其它一般配件與輔助設備,這些配件與輔助設備通常可提供包括了極限開關、開啟/關閉位置指示器等的閥應用。於部分實施例中,節流閥VA、VB係可為氣動啟動器。
隔離閥VI係可採用適合於在一真空管路系統中進行流體流動與流體流動隔離應用之一般商業通用閥。於部分實施例中,隔離閥VI係可為手動操作閥,或是隔離閥VI可改用電動或氣動方式進行操作且可採用類似於節流閥VA、VB之基於微處理器系統控制器40所輸出之電子控制信號之遙控啟動而進行操作。
以下將針對如何利用本發明之一控制系統對於真空泵送管路25進行監控之操作提出說明。控制系統包括系統控制器40,相關於系統控制器40將於下文中配合第3、5圖提出更詳盡的說明。
於部分實施例中,系統控制器40係採用一基於微處理器系統控制器為佳,藉此可對於資料與控制信號進行接收與產生作業。於一實施例中,系統控制器40係以可對於複數壓力感測器50、51、52所產生及傳送之不連續壓力信號進行感測與接收作業為佳,這些壓力感測器50、51、52係用以分別對於實際壓力Pa、Pb、P進行量測。較佳的方式是將複數壓力感測器50、51、52分別設置於節流閥VA、VB之上游,並且如圖所示之更佳的方式是將複數壓力感測器50、51、52設置於特定Y型接頭30之出口、或是以接近於特定Y型接頭30之出口進行複數壓力感測器50、51、52的設置,藉此以對於支管A或B之組合壓力進行量測。壓力感測器50係連接於真空處理室21,藉此以對於真空處理室21中之實際壓力P進行量測。複數壓力感測器50、51、52係可採用任何商業通用壓力感測裝置或壓力監視裝置,藉由壓力感測裝置或壓力監視裝置之操作係可進行壓力量測、並且隨後產生資料信號且將資料信號經由複數資料傳輸連結42而傳送至系統控制器40,藉由資料信號可對於各壓力感測器50、51、52所在位置之瞬間實際量測壓力進行指示。
請繼續參閱第3圖,於部分實施例中之系統控制器40更可經由複數資料傳輸連結42而電性連結於與節流閥VA、VB有關之複數閥操縱器。因此,藉由系統控制器40之操作可產生資料信號且可將資料信號經由複數資料傳輸連結42而傳送至節流閥VA、VB,藉此以對於位在節流閥VA、VB中之可變流孔口的位置進行調整/節流,進而以對於流體流動通過各支管A、B之壓力與流量進行調節。系統控制器40更可經由控制信號而電性連結於隔離閥VI,藉此以對於隔離閥VI進行開啟/關關,此一方式是相同於節流閥VA、VB之操作方式。於其它實施例中,隔離閥VI係可為一手動操作閥。於其它可實行的實施例中,隔離閥VI可採用相同於節流閥VA、VB之節流閥下以取代一開啟/關閉型隔離閥,並且在此方式下除了具有可經由節流閥VA、VB對於各支管A、B之壓力進行調整之外,更可在利用單一閥件之下以對於真空泵送管路25中之所有流體流動與真空處理室21中之真空壓力進行節流與調整。
系統控制器40可採用任何商業通用處理器、中央處理單元(CPU)、微處理器、微控制器、或是結合了所需控制電路之電腦類似裝置。較佳的方式為系統控制器40包括了可進行存取之電腦可讀媒體,或是系統控制器40連接於可進行存取之電腦可讀媒體為佳,此電腦可讀媒體可包括一般電腦記憶體(例如:不受限制之唯讀記憶體(ROM)、隨機存取記憶體(RAM)、快閃記憶體)、光學儲存裝置(例如:硬碟機等)之任何類型或組合。
請參閱第3、5圖,較佳的方式是在系統控制器40中設置有經程式化之用以監控真空泵送管路25之操作指示或控制邏輯,並且藉由系統控制器40可執行操作指示或控制邏輯。在系統操作壓力Pa、Pb、P所構成之輸入信號被傳送至控制邏輯時,如此便可對允許控制邏輯對於真空泵送管路25之操作進行監控。控制邏輯與各種系統輸入基線操作參數及控制限或設定點係可預置程式於系統控制器40之中且可被儲存於電腦可讀媒體之上。經由硬體、靭體、軟體或其任意組合下之系統控制器40係可執行控制邏輯之相關作業。較佳的方式為系統控制器40更可包括一般使用者介面41(例如:影像播放裝置(VDD)與控制鍵)與具有控制器之介面,如此可允許操作人員進行程式規化與操作之相關作業。
於部分較佳實施例中,複數資料傳輸連結42可包括不受限制之有線、無線、“板上”(電路板)及其組合。
以下將配合第3、5圖對於一真空泵送管路25之一較佳操作方法進行說明。第5圖表示控制邏輯之一例子,此控制邏輯可預置程式於系統控制器40之中且可經由系統控制器40所實施,藉此可對於真空泵送管路25之操作進行控制。控制邏輯例行程序100係由步驟102而開始,於步驟102中之真空處理室21之所需設定點壓力Ps係被預置程式於一系統控制器40之中。於下一步驟104中,直到真空處理室21中之實際室壓力P於實質上符合於所預選的設定點壓力Ps時,系統控制器40才自動地分別對於節流閥VA、VB進行節流或調整。壓力感測器50係對於真空處理室21中之瞬間實際壓力進行測量,並且指示此一量測壓力之信號可經由壓力感測器50而回傳至系統控制器40。隨後,直到實際室壓力P於實質上符合於所需設定點壓力Ps時,系統控制器40才讀取且利用此壓力資料而對於節流閥VA、VB進行節流。
請繼續參閱第3、5圖,於控制邏輯例行程序100之步驟106係執行了個別節流閥VA、VB之前方之前級管道或上游壓力Pa、Pb之間的比較作業。於步驟106中,系統控制器40係自動地感測且讀取支管A、B中之實際壓力Pa、Pb。理想上,於支管A、B中之實際壓力Pa、Pb是相同於或實質上接近於預先輸入在系統控制器40之一預編程壓差限,藉此可在支管A、B中提供均勻氣流。如果於步驟106之判斷結果為“否”而指出於壓力限內之實際壓力Pa不符合於實際壓力Pb之時,則進行步驟108。於步驟108中,直到讀取支管A、B中之實際壓力Pa、Pb之間的壓差回復至控制限之內時,系統控制器40才會對於各節流閥VA、VB或同時對於節流閥VA、VB中之可變流孔口之位置進行調整。較佳的方式為節流閥具有複數電子式位置指示器,藉由這些電子式位置指示器可對於呈現關閉或開啟之可變流孔口之孔口的程度進行指示。因此,這些電子式位置指示器係以可操作方式而對於孔口位置進行感測,並且指示所辨視位置之一信號係可經由這些電子式位置指示器所產生且可被傳送至系統控制器40。一旦在步驟106之判斷結果為“是”時,相關的控制便進入了步驟110。
於步驟110中,一系統檢查或測試係會被執行,藉此以對於在各節流閥VA、VB中之可變流孔口之開啟的程度小於預先輸入在系統控制器40之一預編程閥控制限之部分進行確認。因此,就節流閥VA、VB所使用之閥門操縱器及其相關電子電路而言,較佳的方式是在閥門操縱器及其相關電子電路中包括了複數位置指示器,利用這些位置指示器之操作而可對於各節流閥VA、VB之位置進行偵測(表示出閥孔口之開啟的程度),隨後指示所辨視位置之一信號係可經由這些位置指示器所產生且可經由複數資料傳輸連結42而被傳送至系統控制器40。於其它較佳實施例中,利用所提供之個別的電子式閥位置指示器與電路亦可達到相同之目的。當步驟110之判斷結果為“是”時,相關的控制便進入了步驟114。就另一種方式而言,如果當步驟110之判斷結果為“否”時便會產生非對稱泵送及流動,藉此可對於在真空管路系統中所可能產生的洩漏或是在支管A或B中之一者之節流閥VA、VB之上游所產生之微細副產物的堵塞/集結予以指示。因此,在步驟112之執行過程中,由系統控制器40所產生之一信號係可停止半導體真空處理系統20之相關作業的進行,如此以進行半導體真空處理系統20及/或真空泵送管路25之檢視、修理及/或維修。
請繼續參閱第3、5圖,假設在步驟110之判斷結果為“是”時,相關的控制便進入了步驟114,一額外系統檢查或測試係會在步驟114中被執行。於步驟114中,如果瞬間實際量測壓力Pa、Pb、P係落於預編程壓差限之中且於實質上相同時,系統控制器40係會對於瞬間實際量測壓力Pa、Pb、P進行讀取與比較下而進行測定。如上所述,藉由複數壓力感測器50、51、52係可將壓力資訊經由複數資料傳輸連結42而傳送至系統控制器40。由於自管路至測量點所發生之部分壓降的作用下,於真空處理室21中所測量之壓力P係可能會略為不同於支管A、B內所測量之實際壓力Pa、Pb等現象,因而可藉由系統控制器40將合適預編程修正因子應用在所量測壓力之上,如此便可對於上述之真空處理室21中之測量壓力P與支管A、B內所測量之實際壓力Pa、Pb之間的壓力差進行補償。如果在預編程控制限內之實際壓力Pa、Pb與真空處理室21中之測量壓力P(可視需求而選擇性地進行修正)於實質上是相同時(亦即,在步驟114中之判斷結果為“是”),相關的控制便進入了步驟118,並且於步驟118仍持續進行半導體真空處理系統20之操作。
就另一種方式而言,如果於步驟114之在預編程控制限內之實際壓力Pa、Pb與真空處理室21中之測量壓力P(可視需求而選擇性地進行修正)是不相同時(亦即,判斷結果為“否”),如此則表示產生了一不正常操作狀態,藉此可對於在真空管路系統與真空處理室21中所產生的洩漏、於管路中之微細副產物的堵塞/集結、或其它情況下均可予以指示。因此,步驟118係可藉由系統控制器40而實施,並且於系統控制器40中之半導體真空處理系統20係可根據需求下而關閉,如此以進行檢視與維修之工作。
系統控制器40係重覆進行上述控制邏輯例行程序100之相關步驟,藉此以對於半導體真空處理系統20、於半導體真空處理系統20所生產之晶圓W之品質進行監視與防護。於部分實施例中之控制邏輯例行程序100係可連續地進行操作,而於其它中之控制邏輯例行程序100則可在經常性基礎下而進行周期性地操作。
雖然本發明已以較佳實施例揭露如上,然其並非用以限制本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可做更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。特別的是,在不脫離本發明之實質特徵內,凡熟習此技藝之人士均可了解本發明是可以其它形成、結構、排列、比例、尺寸及具有其它元件、材料及零件之下而被具體實現。此外,在不脫離本發明之精神和範圍內,於此所提出之方法/製程及/或控制邏輯之各種可實行之變化例是可被完成的。任何熟習此技藝之人士更可了解本發明是可搭配許多結構、排列、比例、尺寸、材料、零件、及在其它方面上之修正下而進行操作與實行,在不脫離本發明之原理下,本發明特別是可適用於特定環境與操作需求。因此,對於目前所揭露之實施例係應在所提出之各方面要件下而進行完善考量但不受到限制,由所附之申請專利範圍及其具同等效用定義本發明之保護範圍,並且不受限於前述說明或實施例。更確切的說,在不脫離本發明之具同等效用之領域和範圍內,所附之申請專利範圍係應儘可能地可被擴大解釋以對於其它修正例與實施例進行含蓋,任何熟習此技藝之人士更可予以實施。
10...半導體真空處理機器或系統
11...真空處理室
12...基底
13...氣體供給系統
14...真空泵
15...真空泵送管路
16...隔離閥
17...感測器
18...壓力控制器
19...入口
20...半導體真空處理系統
21...真空處理室
22...基座
23...氣體供給系統
24...真空泵
25...真空泵送管路
26...泵送口
27A、27B...管段部
29A、29B...管路入口
30...Y型接頭
31...入口段部
32...出口段部
40...系統控制器
41...使用者介面
42...資料傳輸連結
50、51、52...壓力感測器
A、B...支管
Pa、Pb、P...實際壓力
VA、VB...節流閥
VI...隔離閥
W...晶圓
為了讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉一較佳實施例,並配合所附圖示,作詳細說明如下:
第1圖表示一習知半導體真空處理系統及管路佈置之立體圖;
第2圖表示第1圖之習知真空管路之一部分之剖面側視圖;
第3圖表示根據本發明之一半導體真空處理系統及管路佈置之一實施例之立體圖;
第4圖表示第3圖之真空管路之一分叉真空管接頭之側視圖,分叉真空管接頭係流體連接於一真空室;以及
第5圖係為用於操作第3圖之半導體真空處理系統之一方法及示範控制邏輯之流程圖。
所有圖式均為示意的且非依比例進行描繪。
20...半導體真空處理系統
21...真空處理室
22...基座
23...氣體供給系統
24...真空泵
25...真空泵送管路
27A、27B...管段部
29A、29B...管路入口
30...Y型接頭
40...系統控制器
41...使用者介面
42...資料傳輸連結
50、51、52...壓力感測器
A、B...支管
Pa、Pb、P...實際壓力
VA、VB...節流閥
VI...隔離閥
W...晶圓

Claims (9)

  1. 一種真空系統,用於一半導體製造,該真空系統包括:一真空室,用於執行一半導體製程;一真空源;以及一管路系統,用以將該真空室流動連接於該真空源,其中,該管路系統係設計為不具有一管路水平流路段部。
  2. 如申請專利範圍第1項所述之真空系統,其中,該管路系統包括一第一支管與一第二支管,相對於該真空源之該第一支管與該第二支管係具有一對稱組態。
  3. 如申請專利範圍第2項所述之真空系統,其中,該第一支管與該第二支管係分別具有一分叉接頭,該等分叉接頭係流體連接於該真空室,該分叉接頭具有兩入口段部與一結合出口段部,該分叉接頭係設計為不具有一水平流路段部。
  4. 如申請專利範圍第1項所述之真空系統,其中,該管路系統包括具有一節流閥之一第一支管與具有一節流閥之一第二支管,該第一支管之該節流閥與該第二支管之該節流閥係分別可獨立控制且以可操作方式對於該第一支管與該第二支管內之壓力進行調整。
  5. 一種真空控制系統,用於一半導體製造機器,該真空控制系統包括:一真空室,用於執行一半導體製程,該真空室包括一室壓力感測器,該室壓力感測器係以可操作方式對於該真空室內之壓力進行量測,並且該室壓力感測器對於指示所量測室壓力之一信號進行傳送;一真空源;一第一支管,流動連接於該真空室,該第一支管包括一第一節流閥與一第一壓力感測器,該第一壓力感測器係以可操作方式對於該第一支管內之壓力進行量測,並且該第一壓力感測器對於指示所量測第一分支壓力之一信號進行傳送;一第二支管,流動連接於該真空室,該第二支管包括一第二節流閥與一第二壓力感測器,該第二壓力感測器係以可操作方式對於該第二支管內之壓力進行量測,並且該第二壓力感測器對於指示所量測第二分支壓力之一信號進行傳送;該第一支管與該第二支管係聚集且流動連接於該真空源;以及一系統控制器,接收來自於該室壓力感測器之該信號、該第一壓力感測器之該信號、該第二壓力感測器之該信號,執行之控制邏輯之該系統控制器係設計用以:對於來自於該第一壓力感測器所量測壓力與來自於該第二壓力感測器所量測壓力進行比較;以及對於該第一節流閥或該第二節流閥進行節流下而對於該第一支管與該第二支管中之壓力進行平衡,其中該控制邏輯更設計用以對於該室壓力與該第一分支壓力/該第二分支壓力、於該第一分支壓力或該第二分支壓力於實質上不相同於位在一預定控制限內之該室 壓力時便停止了該半導體製造機器。。
  6. 如申請專利範圍第5項所述之真空控制系統,其中,該控制邏輯更設計用以感測該第一節流閥與該第二節流閥之操作位置、對於該第一節流閥與該第二節流閥之該等操作位置與一控制限之間進行比較、於該第一節流閥或該第二節流閥之該操作位置超過該控制限時便停止了該半導體製造機器。
  7. 如申請專利範圍第5項所述之真空控制系統,更包括一隔離閥,該隔離閥係設置於該真空源之上游且經由流體連通於該第一支管與該第二支管。
  8. 一種用以控制一真空系統之方法,用於一半導體製造,該方法包括以下步驟:提供一真空室、一真空源與一管路系統,該管路系統係用以將該真空室流動連接於該真空源;於該管路系統之一第一支管與一第二支管中進行壓力量測;比較該第一支管與該第二支管中所量測壓力;以及調整該第一支管與該第二支管內之壓力。
  9. 如申請專利範圍第8項所述之用以控制一真空系統之方法,其中,該調整步驟包括了對於在該第一支管內之一第一節流閥與在該第二支管內之一第二節流閥中之至少一者進行節流。
TW99115768A 2009-05-18 2010-05-18 真空系統、真空控制系統、用以控制真空系統之方法 TWI411058B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/467,375 US8623141B2 (en) 2009-05-18 2009-05-18 Piping system and control for semiconductor processing

Publications (2)

Publication Number Publication Date
TW201104778A TW201104778A (en) 2011-02-01
TWI411058B true TWI411058B (zh) 2013-10-01

Family

ID=43067543

Family Applications (1)

Application Number Title Priority Date Filing Date
TW99115768A TWI411058B (zh) 2009-05-18 2010-05-18 真空系統、真空控制系統、用以控制真空系統之方法

Country Status (3)

Country Link
US (1) US8623141B2 (zh)
CN (1) CN101901741B (zh)
TW (1) TWI411058B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI712097B (zh) * 2018-03-06 2020-12-01 日商斯庫林集團股份有限公司 基板處理裝置

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101012045B1 (ko) * 2007-07-23 2011-01-31 코바렌트 마테리얼 가부시키가이샤 감압 장치 및 이것에 이용하는 무기 재료질 다공체
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103370768B (zh) * 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8517040B2 (en) * 2011-08-12 2013-08-27 Hamilton Sundstrand Corporation Valve control of pump inlet pressure with bootstrap reservoir
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
WO2015054126A1 (en) * 2013-10-11 2015-04-16 Applied Materials, Inc. Compact hazardous gas line distribution enabling system single point connections for multiple chambers
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN104201294B (zh) * 2014-07-10 2016-10-05 深圳市华星光电技术有限公司 一种操作平台
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210143942A (ko) * 2019-04-19 2021-11-29 램 리써치 코포레이션 쿼드 스테이션 프로세스 모듈을 위한 포어라인 어셈블리 (foreline assembly for quad station process module)
JP2021046344A (ja) * 2019-09-20 2021-03-25 株式会社Sumco 半導体結晶製造装置

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5575853A (en) * 1994-07-01 1996-11-19 Tokyo Electron Limited Vacuum exhaust system for processing apparatus
JPH0917736A (ja) * 1995-06-30 1997-01-17 Hitachi Ltd 半導体製造方法および装置
JP2000024483A (ja) * 1998-07-13 2000-01-25 Seiko Seiki Co Ltd 真空装置
US6071350A (en) * 1995-11-21 2000-06-06 Samsung Electronics Co., Ltd. Semiconductor device manufacturing apparatus employing vacuum system
EP1077329A1 (en) * 1999-03-05 2001-02-21 Tokyo Electron Limited Vacuum device
KR20020024627A (ko) * 2000-09-26 2002-04-01 윤종용 로드락 챔버의 공기흐름 조절장치
US6576061B1 (en) * 1998-12-22 2003-06-10 Canon Kabushiki Kaisha Apparatus and method for processing a substrate
KR20040107985A (ko) * 2003-06-16 2004-12-23 삼성전자주식회사 플라즈마 식각 장치의 자동 압력 조절 방법
KR20080047786A (ko) * 2006-11-27 2008-05-30 세메스 주식회사 직렬 구조의 진공 배관 장치
JP2008144766A (ja) * 2008-02-04 2008-06-26 Tadahiro Omi 真空装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6382249B1 (en) 1999-10-04 2002-05-07 Ebara Corporation Vacuum exhaust system
KR100558562B1 (ko) 2005-02-01 2006-03-13 삼성전자주식회사 반도체 설비용 부산물 포집장치
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5186684B2 (ja) * 2007-08-02 2013-04-17 Sumco Techxiv株式会社 半導体単結晶の製造装置
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5575853A (en) * 1994-07-01 1996-11-19 Tokyo Electron Limited Vacuum exhaust system for processing apparatus
JPH0917736A (ja) * 1995-06-30 1997-01-17 Hitachi Ltd 半導体製造方法および装置
US6071350A (en) * 1995-11-21 2000-06-06 Samsung Electronics Co., Ltd. Semiconductor device manufacturing apparatus employing vacuum system
EP1017085A2 (en) * 1995-11-21 2000-07-05 Samsung Electronics Co., Ltd. Semiconductor device manufacturing apparatus employing vacuum system
JP2000024483A (ja) * 1998-07-13 2000-01-25 Seiko Seiki Co Ltd 真空装置
US6576061B1 (en) * 1998-12-22 2003-06-10 Canon Kabushiki Kaisha Apparatus and method for processing a substrate
EP1077329A1 (en) * 1999-03-05 2001-02-21 Tokyo Electron Limited Vacuum device
KR20020024627A (ko) * 2000-09-26 2002-04-01 윤종용 로드락 챔버의 공기흐름 조절장치
KR20040107985A (ko) * 2003-06-16 2004-12-23 삼성전자주식회사 플라즈마 식각 장치의 자동 압력 조절 방법
KR20080047786A (ko) * 2006-11-27 2008-05-30 세메스 주식회사 직렬 구조의 진공 배관 장치
JP2008144766A (ja) * 2008-02-04 2008-06-26 Tadahiro Omi 真空装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI712097B (zh) * 2018-03-06 2020-12-01 日商斯庫林集團股份有限公司 基板處理裝置

Also Published As

Publication number Publication date
CN101901741A (zh) 2010-12-01
US20100288369A1 (en) 2010-11-18
TW201104778A (en) 2011-02-01
US8623141B2 (en) 2014-01-07
CN101901741B (zh) 2014-04-23

Similar Documents

Publication Publication Date Title
TWI411058B (zh) 真空系統、真空控制系統、用以控制真空系統之方法
US7204155B2 (en) Method and apparatus for pressure control and flow measurement
JP6771772B2 (ja) 圧力式流量制御装置及びその異常検知方法
TWI391589B (zh) Detection Method of Abnormal Operation of Downflow Side Valve in Throttle Mechanism of Pressure Flow Control
JP4866682B2 (ja) 圧力センサを保有する流量制御装置を用いた流体供給系の異常検出方法
JP4718274B2 (ja) 半導体製造装置,半導体製造装置の流量補正方法,プログラム
CN105552001B (zh) 一种真空系统
JP2005011258A (ja) 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給装置及びガス分流供給方法
KR100495022B1 (ko) 진공 배기 시스템 및 그 감시 및 제어 방법
US20110087378A1 (en) Control method and processor of exhaust gas flow rate of processing chamber
TW201402950A (zh) 真空幫浦裝置
JP4298025B2 (ja) 真空圧力制御システム
TWI675193B (zh) 壓力測定裝置與利用該壓力測定裝置的排氣系統、及基板處理裝置
JP2020524403A (ja) ガス供給装置
KR102473921B1 (ko) 폐색 검출 기능이 있는 비접촉식 지지 플랫폼
US7165443B2 (en) Vacuum leakage detecting device for use in semiconductor manufacturing system
JP2832166B2 (ja) ガス供給集積ユニット
TWI740433B (zh) 用於半導體製造設備的防泵返流結構
WO2020059011A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US20190139796A1 (en) Monitoring apparatus and semiconductor manufacturing apparatus including the same
US20070190474A1 (en) Systems and methods of controlling systems
TW202117059A (zh) 多個真空腔室排出系統及用以抽空多個腔室之方法
TW202249150A (zh) 半導體製程系統
KR20200092187A (ko) 진공 펌프 시스템, 진공 펌프 모니터링 방법 및 반도체 소자의 제조방법
JP2010177357A (ja) 真空処理装置および真空処理方法