CN101748391B - 成膜装置和成膜方法 - Google Patents

成膜装置和成膜方法 Download PDF

Info

Publication number
CN101748391B
CN101748391B CN200910252478.2A CN200910252478A CN101748391B CN 101748391 B CN101748391 B CN 101748391B CN 200910252478 A CN200910252478 A CN 200910252478A CN 101748391 B CN101748391 B CN 101748391B
Authority
CN
China
Prior art keywords
mentioned
vacuum vessel
universal stage
gas
gas flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910252478.2A
Other languages
English (en)
Other versions
CN101748391A (zh
Inventor
小原一辉
本间学
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101748391A publication Critical patent/CN101748391A/zh
Application granted granted Critical
Publication of CN101748391B publication Critical patent/CN101748391B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种成膜装置和成膜方法。该成膜装置包括:基板加热部件,其是为了对被设在真空容器内的旋转台上的所载置的基板进行加热而设置的;反应气体供给部件,互相沿旋转台的周向隔开间隔地设置,分别用于向上述旋转台上的基板载置区域侧的面上供给反应气体;分离气体供给部件,为了分离被供给反应气体的各处理区域间的气氛,用于将分离气体供给到在上述周方向上位于这些处理区域之间的分离区域;排气口,用于对被供给到上述旋转台上的上述各反应气体和分离气体进行排气;以及温度调节部件,构成为能对上述真空容器进行加热或冷却。

Description

成膜装置和成膜方法
技术领域
本发明涉及将互相反应的至少两种反应气体按顺序供给到基板的表面上,并且多次执行该供给循环,从而层叠多层反应生成物的层来形成薄膜的成膜装置和成膜方法。
背景技术
作为半导体制造工艺中的成膜方法,公知有这样的工艺:在真空气氛下使第1反应气体吸附于作为基板的半导体晶圆(以下称为“晶圆”)等的表面上之后,将供给的气体切换为第2反应气体,通过两种气体的反应形成1层或多层的原子层、分子层,多次进行该循环,从而层叠这些层而在基板上成膜。该工艺例如被称为ALD(Atomic Layer Deposition)、MLD(MolecularLayer Deposition)等,能够根据循环次数高精度地控制膜厚,并且,膜质的面内均匀性也良好,是能够应对半导体器件薄膜化的有效的方法。
作为这样的成膜方法最佳的例子,例如能列举出栅极氧化膜所采用的高电解质膜的成膜。在形成氧化硅膜(SiO2膜)的情况下,作为第1反应气体(原料气体)例如采用双叔丁基氨基硅烷(bis-(tertiary-butylamino)silane,以下称作“BTBAS”)气体等,作为第2反应气体(氧化气体)采用臭氧气体等。BTBAS气体在常温下是液体,因此将其加热、气化后供给到基板上。
作为实施这样的成膜方法的装置,使用在该真空容器的上部中央具有气体簇射头(gas shower head)的单片式成膜装置,研究了反应气体从基板的中央部上方侧供给、未反应的反应气体和反应副产物从处理容器的底部排出的方法。不过,上述成膜方法存在如下问题:用吹扫气体进行气体置换要花费很长的时间,而且循环数也例如达到数百次,因此处理时间较长,期望一种能够以高的效率进行处理的成膜装置及成膜方法。
基于上述背景,研究了使用将多张基板沿旋转方向配置在真空容器内的旋转台上来进行成膜处理的装置,进行ALD或MLD。更具体地说,在这样的成膜装置中形成有多个处理区域,在该处理区域例如将分别不同的反应气体供给到上述真空容器内的旋转台的旋转方向上的互相离开的位置来进行成膜处理,而且,在上述旋转方向上,处理区域和处理区域之间的区域构成为具有供给用于分离这些处理区域的气氛的分离气体的分离气体供给部件的分离区域。
在成膜处理时,分离气体从上述分离气体供给部件被供给,该分离气体在旋转台上向旋转方向两侧蔓延,在分离区域形成用于阻止各反应气体彼此混合的分离空间。然后,被供给到处理区域的反应气体例如与蔓延到该旋转方向两侧的分离气体一起被从设置在真空容器内的排气口排出。这样将处理气体供给到处理区域,将分离气体供给到分离区域,使上述旋转台旋转而使载置在该旋转台上的晶圆从一处理区域向另一处理区域、从另一处理区域向一处理区域交替地反复移动,进行ALD或MLD处理。在这样的成膜装置中,不需要如上述那样的处理气氛的气体置换,而且能同时在多张基板上成膜,因此预计能得到高的生产率。
在专利文献1等中记载有如下方案:由保持件将多张晶圆沿上下方向保持,在由石英构成的反应管中进行处理,但是在该进行ALD或MLD的成膜装置中,加工容易、且容易制造大型件等,因此研究例如由铝等金属构成。
不过,在上述成膜处理中,要求使晶圆的加热温度在350℃~600℃的范围例如针对每一批变化。但是,在进行该成膜处理的装置中,通过加热部件加热晶圆时,真空容器接受来自该加热部件的热而也被加热。然后,由铝构成真空容器的情况下,晶圆的加热温度在上述的范围内较低、例如为350℃左右时,该真空容器的升温较小。这样,BTBAS气体在真空容器的温度低的状态下被供给到晶圆上时,该气体在真空容器的表面液化,有可能不能进行正常的成膜处理。
为了防止该BTBAS气体的液化,也想出了设置了具有围着真空容器的绝热材料的罩覆式加热器,在低温下进行成膜处理时加热真空容器。但是,存在上述那样晶圆的加热温度低时的问题,而提高晶圆的加热温度,例如在温度为600℃时,上述真空容器的温度就上升太多,其强度降低,有可能导致容器内无法保持为真空,或者有可能无法水平地支承旋转台的晶圆的载置面,而有可能无法进行正常的成膜处理。但是,像上述那样仅设置罩覆式加热器的情况下,来自真空容器的散热被绝热材料抑制,真空容器的温度变高,因此有可能更容易产生上述问题。
而且,如上述那样,晶圆的加热温度对真空容器的温度产生影响,但在加热真空容器的情况下,真空容器的温度对晶圆的加热温度产生影响,因此即使真空容器的温度被控制在如上述那样不引起反应气体的液化和固化而且真空容器的强度不降低的范围内,为了提高所形成的膜的膜质,优选高精度地控制上述真空容器的温度。但是,如上述那样只设置罩覆式加热器的情况下,由于绝热材料,难以进行真空容器的散热,因此也存在很难控制那样高精度的真空容器的温度的问题。
不过,已知如下所述那样的将晶圆载置在旋转台上来进行成膜的装置。在专利文献2中,被设置成使扁平的圆筒状的真空容器左右分离,沿着半圆的轮廓在左侧区域和右侧区域形成的排气口朝上排气,并且在左侧半圆的轮廓和右侧半圆的轮廓之间、即真空容器的直径区域形成有分离气体的喷出口。在右侧半圆区域和左侧半圆区域形成有互相不同的原料气体的供给区域,通过真空容器内的旋转台旋转,工件通过右侧半圆区域、分离区域D和左侧半圆区域,并且两原料气体从排气口排出。然后,被供给分离气体的分离区域D的顶部比原料气体的供给区域低。
不过,该装置采用在分离气体的喷出口和反应气体的供给区域之间设置朝上的排气口而从该排气口将反应气体与分离气体一起排出的方法,因此喷出到工件上的反应气体成为朝上流而从排气口吸入,因此存在随着微粒的卷起、容易引起微粒对晶圆的污染这样的缺点。
在专利文献3记载了如下结构:沿着旋转方向将4张晶圆等距离地配置在晶圆支承构件(旋转台)上,沿着旋转方向与晶圆支承构件相对地将第1反应气体喷出喷嘴和第2反应气体喷出喷嘴等距离地配置,并且在这些喷嘴之间配置吹扫气体喷嘴,使晶圆支承构件水平旋转。各晶圆被晶圆支承构件支承,晶圆的表面位于距晶圆支承构件的上表面晶圆的厚度那样的量的上方。而且,各喷嘴晶圆沿着支承构件的径向延伸设置,晶圆与喷嘴之间的距离为0.1mm以上。从晶圆支承构件的外缘与处理容器的内壁之间进行真空排气。采用这样的装置,吹扫气体喷嘴的下方起到所谓的气帘的作用,防止第1反应气体和第2反应气体的混合。
不过,晶圆支承构件也有时旋转,因此仅在来自吹扫气体喷嘴的气帘的作用下无法避免其两侧的反应气体通过,特别是无法避免其两侧的反应气体从旋转方向上游侧扩散到上述气帘中。而且,从第1反应气体喷嘴喷出的第1反应气体经由相当于旋转台的晶圆支承构件的中心部,容易扩散到来自第2反应气体喷嘴的第2反应气体扩散区域。这样第1反应气体和第2反应气体就在晶圆上混合,反应生成物附着在晶圆的表面上,无法进行良好的ALD(或MLD)处理。
在专利文献4中记载有如下结构:利用分隔壁将真空容器内沿周向分割成多个处理室,并且相对于分隔壁的下端隔着细缝设置可以旋转的圆形的载置台,在该载置台上配置多个晶圆。在该装置中,处理气体从分隔壁与载置台或晶圆之间的间隙扩散到相邻的处理室中,在多个处理室之间设置排气室,因此在晶圆通过该排气室时,来自上游侧和下游侧的处理室的气体在上述排气室混合。因此无法适用于所谓的ALD方式的成膜方法。
在专利文献5中记载有如下方法:将圆形的气体供给板沿周向分成8个,每错开90度地配置AsH3气体的供给口、H2气体的供给口、TMG气体的供给口和H2气体的供给口,还在这些气体供给口之间设置排气口,与该气体供给板相对地使支承晶圆的基座旋转。不过,该方法对于分离2种反应气体没有公开任何现实的手段,不用说在基座的中心附近,实际上在除了中心附近以外,2种反应气体也经由H2气体的供给口的排列区域而混合。而且,还在与晶圆的通过区域相对的面上设置排气口时,存在由于从基座表面卷起微粒等而容易产生晶圆的微粒污染的这样致命的问题。
而且,在专利文献6中记载有如下结构:用4个垂直壁将旋转台的上方区域隔成十字,这样一来,将晶圆载置于被隔开的4个载置区域,并且将源气体喷射器、反应气体喷射器、吹扫气体喷射器沿旋转方向交替配置来构成十字的喷射器单元,以使这些喷射器按顺序位于上述4个载置区域的方式使喷射器单元水平旋转而且从旋转台的周边进行真空排气。不过,在这样的构成中,在各载置区域供给了源气体或反应气体之后,为了通过吹扫气体喷嘴、用吹扫气体对上述载置区域的气氛进行置换,需要很长时间。而且,源气体或反应气体从一个载置区域越过垂直壁扩散到相邻的载置区域,两气体在载置区域发生反应的可能性很大。
而且,还在专利文献7(专利文献8、9)中记载了如下装置:在实施使多个气体交替吸附到靶(相当于晶圆)上的原子层CVD方法时,使载置有晶圆的基座旋转,从基座的上方供给源气体、吹扫气体。段落0023~0025记载有如下内容:分隔壁从处理室的中心呈放射状延伸,通过在分隔壁的下方设有将反应气体或吹扫气体供给到基座上的气体流出孔、并从分隔壁的气体流出孔流出惰性气体,从而形成气帘。关于排气,段落0058开始记载,根据该记载,源气体、吹扫气体分别从排气通道30a、30b排出。在这样的结构中,不能避免位于吹扫气体分隔室两侧的源气体分隔室的源气体在吹扫气体分隔室混合而产生反应生成物,从而对晶圆产生微粒污染。该专利文献6难以解读,除了上述结构以外的结构难以掌握。
先行技术文献:
专利文献1:日本特开2008-186852号公报
专利文献2:美国专利公报7,153,542号
专利文献3:日本特开2001-254181号公报
专利文献4:专利3144664号公报
专利文献5:日本特开平4-287912号公报
专利文献6:美国专利公报6,634,314号
专利文献7:日本特开2007-247066号公报
专利文献8:美国专利公开公报2007-218701号
专利文献9:美国专利公开公报2007-218702号
发明内容
本发明是基于这样的情况而做成的,目的在于提供一种在将互相反应多个反应气体按顺序供给到基板的表面上、层叠多层反应生成物层而形成薄膜时,能抑制基板的加热对成膜处理的影响的成膜装置、成膜方法和包括实施该成膜方法的程序的记录介质。
为了解决上述课题,本发明的成膜装置,其用于在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并执行该供给循环,从而层叠多层反应生成物的层而形成薄膜,其特征在于,包括:旋转台,其被设置在上述真空容器内,具有载置基板的基板载置区域;基板加热部件,其是为了对被载置在该旋转台上的基板进行加热而设置的;第1反应气体供给部件和第2反应气体供给部件,它们在上述旋转台的周向互相隔开间隔地设置,分别用于将第1反应气体和第2反应气体供给到上述旋转台上的基板载置区域侧的面上;分离气体供给部件,其为了分离被供给第1反应气体的第1处理区域、被供给第2反应气体的第2处理区域的气氛,而将分离气体供给到在上述周向上位于第1和第2处理区域之间的分离区域;排气口,其用于对被供给到上述旋转台上的各反应气体和分离气体进行排气;以及温度调节部件,其用于能够对上述真空容器进行加热或冷却。
而且,为了解决上述课题,本发明的成膜方法,其用于在真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并执行该供给循环,从而层叠多层反应生成物的层而形成薄膜,其特征在于,包括以下工序:将基板载置在上述真空容器内的旋转台的基板载置区域,使上述旋转台旋转的工序;从在上述旋转台的周向互相隔开间隔而设置在上述真空容器上的第1反应气体供给部件和第2反应气体供给部件分别将第1反应气体和第2反应气体供给到上述旋转台的基板载置区域侧的面上的工序;从设置于在上述旋转方向上位于第1反应气体供给部件和第2反应气体供给部件之间的分离区域的分离气体供给部件供给分离气体,分离被供给第1反应气体的第1处理区域、被供给第2反应气体的第2处理区域的气氛的工序;从排气口对被供给到上述旋转台上的各反应气体和分离气体进行排气的工序;由基板加热部件对载置在旋转台上的基板进行加热的工序;以及由温度调节部件加热或冷却上述真空容器的工序。
根据本发明,包括:旋转台,其被设置在真空容器内,具有载置基板的基板载置区域;基板加热部件,其是为了对被载置在该旋转台上的基板进行加热而设置的;形成处理区域的反应气体供给部件;将分离气体供给到分离区域的分离气体供给部件;构成为能够加热或冷却上述真空容器的温度调节部件。因此,抑制了真空容器的温度被上述基板加热部件影响的情况,由此抑制了上述真空容器被过于加热而使其强度降低的情况,或真空容器内的温度对各气体产生影响等情况。结果,抑制了成膜处理受到影响的情况。
附图说明
图1是表示本发明的实施方式的成膜装置的剖视图。
图2是表示上述实施方式的成膜装置的内部的概略构成的立体图。
图3是上述实施方式的成膜装置的俯视图。
图4A、图4B是表示上述实施方式的成膜装置的处理区域和分离区域的剖视图。
图5是表示上述实施方式的成膜装置的一部分的剖视图。
图6是上述实施方式的成膜装置的局部剖立体图。
图7是表示分离气体或吹扫气体的流动的状态的说明图。
图8是上述实施方式的成膜装置的局部剖立体图。
图9是表示了上述实施方式的成膜装置的真空容器的下侧的俯视图。
图10是表示了上述实施方式的成膜装置的真空容器的上侧的俯视图。
图11是表示第1反应气体、第2反应气体被分离气体分离而排气的状态的说明图。
图12是表示上述实施方式的成膜装置的真空容器的上侧的其他构成的俯视图。
图13A、图13B是为了说明分离区域所采用的凸状部的尺寸例子的说明图。
图14是表示本发明的另一实施方式的成膜装置的俯视图。
图15是表示本发明的再一实施方式的成膜装置的俯视图。
图16是表示本发明的又一实施方式的成膜装置的内部的概略构成的立体图。
图17是表示本发明的上述之外的实施方式的成膜装置的俯视图。
图18是表示本发明的上述之外的实施方式的成膜装置的剖视图。
图19是表示使用了本发明的成膜装置的基板处理系统的一个例子的概略俯视图。
具体实施方式
与附图一起说明用于实施本发明的实施方式。
如图1(图3的I-I’剖视图)所示,本发明的实施方式的成膜装置包括:平面(俯视)形状为大致圆形的扁平的真空容器1;以及旋转台2,其被设置在该真空容器1内,在上述真空容器1的中心具有旋转中心。真空容器1由铝构成,构成为其顶板11能从容器主体12分离。顶板11利用内部的减压状态夹着密封构件例如O形密封圈13压靠在容器主体12一侧来保持气密状态,但在将顶板11从容器主体12分离时,利用未图示的驱动机构将顶板11抬起到上方。
旋转台2的中心部被固定在圆筒形状的芯部21上,该芯部21被固定在沿铅直方向延伸的旋转轴22的上端。旋转轴22贯穿真空容器1的底面部14,其下端安装有使该旋转轴22绕铅直轴线旋转在该例中为向顺时针方向旋转的驱动部23。旋转轴22和驱动部23被收纳在上表面开口的筒状壳体20内。该壳体20的设置在其上表面上的凸缘部分被气密地安装在真空容器1的底面部14的下表面上,壳体20的内部气氛与外部气氛之间的气密状态被保持。
如图2和图3所示,在旋转台2的表面部沿着旋转方向(周向)设有用于载置多个(例如5个)基板(即晶圆W)的作为晶圆载置区域的圆形状的凹部24,该凹部24的直径稍大于晶圆W的直径地形成,具有对晶圆W进行定位并使晶圆W不会在随着旋转台2的旋转而产生的离心力的作用下飞出的作用,另外,为了方便,图3中只在1个凹部24上画有晶圆W。
在此,图4A和图4B是沿着同心圆切断旋转台2且沿横向展开表示的展开图。如图4A所示,凹部24形成为:将晶圆W落到凹部24中时,晶圆W表面和旋转台2的表面(未载置有晶圆W的区域)的高度差大致为零。由此,抑制由晶圆W的表面与旋转台2的表面之间的高度差产生的压力变动,而使膜厚的面内均匀性一致。在凹部24的底面形成有通孔(未图示),该通孔用于供支承晶圆的背面而使上述晶圆升降、与晶圆的输送机构10进行交接的例如后述3根升降销(参照图9)贯穿。
如图2和3所示,在真空容器1中,在分别与旋转台2的凹部24的通过区域相对的位置,第1反应气体喷嘴31、第2反应气体喷嘴32和2支分离气体喷嘴41、42沿着真空容器1的周向(旋转台2的旋转方向)互相隔开间隔地从中心部呈放射状延伸。这些反应气体喷嘴31、32和分离气体喷嘴41、42例如被安装在真空容器1的侧周壁上,作为其基端部的气体导入件31a、32a、41a、42a贯穿上述侧壁。
在图示的例子中,气体喷嘴31、32、41、42从真空容器1的周壁部被引入真空容器1内,但也可以从后述的环状的突出部5引入。这种情况下能够采用如下结构:设有开口于突出部5的外周面、顶板11的外表面的L字型的导管,在真空容器1内,L字型的导管的一个开口与气体喷嘴31(32、41、42)连接,在真空容器1的外部,L字型的导管的另一开口与气体导入件31a(32a、41a、42a)连接。
反应气体喷嘴31、32分别与作为第1反应气体的BTBAS(双叔丁基氨基硅烷)气体的气体供给源和作为第2反应气体的O3(臭氧)气体的气体供给源(均未图示)连接,分离气体喷嘴41、42也都与作为分离气体的N2气体(氮气)的气体供给源(未图示)连接。在该例子中,第2反应气体喷嘴32、分离气体喷嘴41、第1反应气体喷嘴31和分离气体喷嘴42按该顺序沿顺时针方向排列。
在反应气体喷嘴31、32上,用于将反应气体向下方侧喷出的喷出孔33在喷嘴的长度方向上隔开间隔地排列。而且,在分离气体喷嘴41、42上,用于将分离气体向下方侧喷出的喷出孔40在长度方向上隔开间隔地穿设。反应气体喷嘴31、32分别相当于第1反应气体供给部件和第2反应气体供给部件,它们的下方区域是分别用于使BTBAS气体吸附在晶圆上的第1处理区域P1和用于使O3气体吸附在晶圆上的第2处理区域P2。
分离气体喷嘴41、42用于形成使上述第1处理区域P1、第2处理区域P分离的分离区域D,如图2~图4B所示,在该分离区域D的真空容器1的顶板11上设有凸状部4,该凸状部4是沿着周向分割以旋转台2的旋转中心为中心且沿着真空容器1的内周壁的附近所画出的圆而形成的,该凸状部4的平面(俯视)形状是扇形且向下方突出。分离气体喷嘴41、42被收纳于在该凸状部4的上述圆的周向中央沿上述圆的径向延伸地形成的槽部43内。即,从分离气体喷嘴41、(42)的中心轴线到作为凸状部4的扇形的两缘(旋转方向上游侧的缘和下游侧的缘)的距离被设定为相同的长度。另外,槽部43在本实施方式中被形成为将凸状部4二等分,但在其他实施方式中,例如也可以从槽部43看来,凸状部4的旋转台2的旋转方向上游侧比上述旋转方向下游侧宽地形成槽部43。
因此,在分离气体喷嘴41、42的上述周向两侧存在作为上述凸状部4的下表面的例如平坦的低的顶面44(第1顶面),在该顶面44的上述周向两侧也存在比上述顶面44高的顶面45(第2顶面)。该凸状部4的作用在于形成作为狭窄的空间的分离空间,该狭窄的空间的分离空间用于阻止第1反应气体和第2反应气体进入该凸状部4与旋转台2之间,来阻止这些反应气体的混合。
例如,是分离气体喷嘴41的情况下,阻止O3气体从旋转台2的旋转方向上游侧进入,并且阻止BTBAS气体从旋转台2的旋转方向下游侧进入。所谓“阻止气体的进入”是指,从分离气体喷嘴41喷出的作为分离气体的N2气体扩散到第1顶面44和旋转台2的表面之间,在该例子中吹入与第1顶面44相邻的第2顶面45的下方侧空间,由此来自相邻空间的气体无法进入。并且,所谓“气体无法进入”不仅是指完全无法从相邻空间进入到凸状部4的下方侧空间的情况,也指即使进入一些,但能确保从两侧分别进入的O3气体和BTBAS气体不在凸状部4内混合的状态的情况,只要能得到这样的作用,能发挥作为分离区域D的作用、即第1处理区域P1的气氛和第2处理区域P2的气氛的分离作用。因此狭窄的空间的狭窄的程度被设定成狭窄的空间(凸状部4的下方空间)与相邻于上述空间的区域(在该例子中第2顶面45的下方空间)之间的压力差能确保“气体无法进入”的作用那样程度的大小,其具体的尺寸也可以说由于凸状部4的面积等的不同而不同。而且吸附在晶圆上的气体当然能通过分离区域D内,阻止气体的进入是指阻止气相中的气体的进入。
另一方面,在顶板11的下表面上,与旋转台2的芯部21的外周侧的部位相对且沿着上述芯部21的外周设置有突出部5。该突出部5与凸状部4的上述旋转中心侧的部位连续地形成,其下表面形成为与凸状部4的下表面(顶面44)相同的高度。图2和图3表示在比上述顶面45低且比分离气体喷嘴41、42高的位置水平地切断顶板11。另外,突出部5和凸状部4未必限于是一体,也可以是独立形成的。
对于凸状部4和分离气体喷嘴41(42)的组合构造的做法,不只限于在构成凸状部4的1张扇形板的中央形成槽部43而将分离气体喷嘴41(42)配置在该槽部43内的构造,也可以采用2张扇形板而在分离气体喷嘴41(42)的两侧位置用螺钉连接等固定在顶板主体的下表面上的结构等。在该例子中,分离气体喷嘴41(42)的朝正下方的例如口径为0.5mm的喷出孔沿着喷嘴的长度方向例如隔开10mm的间隔排列。而且反应气体喷嘴31、32的朝正下方的例如口径为0.5mm的喷出孔沿着喷嘴的长度方向例如隔开10mm的间隔排列。
在该例子中,将直径300mm的晶圆W作为被处理基板,这样的情况下,在离旋转中心140mm的突出部5的边界部位,凸状部4的周向的长度(与旋转台2同心的圆的圆弧的长度)例如是146mm,在晶圆的载置区域(凹部24)的最外侧部位,凸状部4的周向的长度例如是502mm。另外,如图4A所示,在上述外侧部位从分离气体喷嘴41(42)的两侧到分别位于左右的凸状部4的周向的长度看成L时,长度L是246mm。
而且,如图4A所示,凸状部4的下表面、即顶面44距旋转台2的表面的高度h例如可以是0.5mm~10mm,优选大约是4mm。这种情况下,旋转台2的转速例如被设定为1rpm~500rpm。为了确保分离区域D的分离功能,根据旋转台2的转速的使用范围等,例如基于实验等设定凸状部4的大小、凸状部4的下表面(第1顶面44)距旋转台2的表面的高度h。另外,作为分离气体,不限于N2气体,也能采用Ar气体等惰性气体,但不限于惰性气体,也可以是氢气等,只要是不对成膜处理造成影响的气体,气体的种类没有特别地限定。
真空容器1的顶板11的下表面、即从旋转台2的晶圆载置区域(凹部24)观察到的顶面是如上所述那样在周向存在第1顶面44和比该顶面44高的第2顶面45,但是在图1中,表示设有高的顶面45的区域的纵截面,图5表示设有低的顶面44的区域的纵截面。扇形的凸状部4的周缘部(真空容器1的外缘侧的部位)如图2和图5所示那样与旋转台2的外端面相对地呈L字型弯曲而形成弯曲部46。扇形的凸状部4被设置在顶板11侧,能从容器主体12卸下,上述弯曲部46的外周面和容器主体12之间存在微小的间隙。该弯曲部46也是以与凸状部4相同地防止反应气体从两侧进入、防止两反应气体的混合为目的而设置的,弯曲部46的内周面与旋转台2的外端面之间的间隙以及弯曲部46的外周面与容器主体12之间的间隙被设置成与顶面44距旋转台2的表面的高度h同样的尺寸。在该例子中,从旋转台2的表面侧区域看来,弯曲部46的内周面构成真空容器1的内周壁。
容器主体12的内周壁在分离区域D如图5所示那样与上述弯曲部46的外周面接近地形成为垂直面,但在分离区域D以外的部位,如图1所示那样形成为例如纵截面形状从容器主体12的内周壁的与旋转台2的外端面相对的部位围绕(俯视看来)底面部14被切割成矩形而向外方侧凹陷的构造。将该凹陷的部分称为排气区域6,如图1和图3所示,在该排气区域6的底部例如设有2个排气口61、62。这些排气口61、62分别经由排气管63与作为真空排气部件的例如共用的真空泵64连接。另外,在图1中,附图标记65是压力调整部件,既可以针对每个排气口61、62设置,也可以共用。为了可靠地发挥分离区域D的分离作用,排气口61、62在俯视看时被设置在上述分离区域D的上述旋转方向两侧,专门进行各反应气体(BTBAS气体和O3气体)的排气。在该例子中,一个排气口61被设置在第1反应气体喷嘴31同相对于该反应气体喷嘴31而与上述旋转方向下游侧相邻的分离区域D之间,另外,另一个排气口61被设置在第2反应气体喷嘴32同相对于该反应气体喷嘴32而与上述旋转方向下游侧相邻的分离区域D之间。
排气口的设置数量不限于2个,可以在例如包括分离气体喷嘴42的分离区域D同相对于上述分离区域D而与上述旋转方向下游侧相邻的第2反应气体喷嘴32之间进一步设置排气口,形成为3个,还可以是4个以上。在该例子中,排气口61、62设置在比旋转台2低的位置,从而从真空容器1的内周壁和旋转台2的周缘之间的间隙进行排气,但是不限于设置在真空容器1的底面部,也可以设置在真空容器1的侧壁上。而且,在排气口61,62设置在真空容器1的侧壁上的情况下,也可以设置在比旋转台2高的位置。通过这样设置排气口61、62,旋转台2上的气体朝着旋转台2的外侧流动,因此同从与旋转台2相对的顶面进行排气的情况相比,有利于抑制微粒的卷起。
在上述旋转台2和真空容器1的底面部14之间的空间,如图1、图2和图6所示那样设有作为基板加热部件的加热器单元7,将旋转台2上的晶圆隔着旋转台2加热成由工艺制程程序所决定的温度。在上述旋转台2的周缘附近的下方侧,为了区分从旋转台2的上方空间到排气区域6的气氛与放置有加热器单元7的气氛,在整个圆周围着加热器单元7设有罩构件71。该罩构件71的上缘向外侧弯曲而形成为凸缘形状,使该弯曲面和旋转台2的下表面之间的间隙变小,能够抑制气体从外进入到罩构件71内。
比配置有加热器单元7的空间靠近旋转中心的部位的底面部14接近旋转台2的下表面的中心部附近、芯部21,在底面部14与旋转台2的下表面的中心部附近、芯部21之间形成狭小的空间,而且,贯穿上述底面部14的旋转轴22的通孔的内周面与旋转轴22之间的间隙也变小,这些狭小的空间与上述壳体20内相连通。并且,在上述壳体20上设有用于将作为吹扫气体的N2气体供给到上述狭小的空间内并进行吹扫的吹扫气体供给管72。而且,在真空容器1的底面部14上,在加热器单元7的下方侧位置的周向的多个部位设有用于对加热器单元7的配置空间进行吹扫的吹扫气体供给管73。
通过这样设置吹扫气体供给管72、73,如在图7中箭头表示的吹扫气体的流动所示,从壳体20内到加热器单元7的配置空间的空间被N2气体吹扫,该吹扫气体从旋转台2和罩构件71之间的间隙经由排气区域6而被排气口61、62排出。由此,防止BTBAS气体或O3气体如上所述从第1处理区域P 1、第2处理区域P2中的一个区域经由旋转台2的下方蔓延到另一个区域,因此该吹扫气体也起到分离气体的作用。
而且,真空容器1的顶板11的中心部与分离气体供给管51相连接,将作为分离气体的N2气体供给到顶板11与芯部21之间的空间52中。被供给到该空间52中的分离气体经由上述突出部5与旋转台2之间的狭小的间隙50沿着旋转台2的晶圆载置区域侧的表面朝着周缘喷出。分离气体充满由该突出部5围成的空间,因此防止反应气体(BTBAS气体或O3气体)在第1处理区域P1、第2处理区域P2之间经由旋转台2的中心部混合。即,该成膜装置能够具有中心部区域C,为了分离第1处理区域P1、第2处理区域P2的气氛,该中心部区域C由旋转台2的旋转中心部、真空容器11划分而成,被分离气体吹扫,并且沿着上述旋转方向形成有将分离气体喷出到上述旋转台2的表面上的喷出口。另外,在此所谓的喷出口相当于上述突出部5与旋转台2之间的狭小的间隙50。
如图2、图3和图10所示,还在真空容器1的侧壁上形成有输送口15,该输送口15用于在外部的输送臂10和旋转台2之间进行作为基板的晶圆的交接,该输送口15由未图示的闸阀开闭。而且,旋转台2上的作为晶圆载置区域的凹部24在与该输送口15面对的位置与输送臂10之间进行晶圆W的交接,因此在旋转台2的下方侧的与上述交接位置相对应的部位设有用于贯穿凹部24而从背面抬起晶圆的交接用的升降销16的升降机构(未图示)。
如图1和图9所示,在真空容器1的底面部14的下侧,在上述真空容器1的周缘部侧、在中心部侧从该底面部14突出的上述壳体20、吹扫气体供给管73和排气管63之外的部位分别形成有槽81a、81b。槽81b形成为螺旋状,槽81a在该槽81b的外侧绕底面部14形成。在各槽81a、81b内,沿着槽81a、81b设有温度调节用配管82a、82b。在温度调节用配管82a、82b中流动有用于与真空容器1进行热交换来对真空容器1进行温度调节的温度调节用流体(例如Galden(ガルデン)(注册商标)等)。通过该温度调节用流体与底面部14的热交换来调节底面部14的温度。
而且,如图1和图10所示,在真空容器1的顶板11的上侧,在真空容器1的周缘部侧、中心部侧例如分别形成有螺旋状的槽81c、81d,在各槽81c、81d内沿着上述槽81c、81d缠绕有温度调节用配管82c、82d。在温度调节用配管82c、82d中与配管82a、82b相同地流有Galden(注册商标,一种非水制冷剂、ソルベイソレクシス株式会社制)。通过该Galden和顶板11的热交换来调节顶板11的温度。
并且,如图1和图3所示,以从上方朝着下方环绕真空容器1的方式在真空容器1的侧壁形成有槽81e,在该槽81e内沿着上述槽81e设置有温度调节用配管82e。在温度调节用配管82e中,与温度调节用配管82a~82d同样地流通有Galden,上述侧壁的温度被调节。各温度调节用配管82a~82e构成技术方案中的温度调节部件。
真空容器1的底面部14的温度调节用配管82a、82b、真空容器1的顶板11的温度调节用配管82c、82d、真空容器1的侧壁的温度调节用配管82e的上游侧从各槽81a~81e的一端侧引出,互相汇合,该合流管将阀门V1、泵83按该顺序与流体温度调整部8连接。阀门V1的开闭和泵83的动作由控制部100控制。
而且,温度调节用配管82a~82e的下游侧从各槽81a~81e的另一端侧引出,互相汇合,该合流管与上述流体温度调整部8相连接,由温度调节用配管82a~82e、流体温度调整部8形成温度调节用流体的循环路径。流体温度调整部8包括:储存箱,储存有温度调节用流体,与上述温度调节用配管82a~82e的上游侧、下游侧分别连接;制冷剂的流路,用于与上述储存箱内的温度调节用流体之间进行热交换来冷却上述温度调节用流体;以及加热储存箱内的温度调节用流体的加热器。并且,上述制冷剂的流通量和上述加热器的电力由控制部100控制,从而控制储存在上述储存箱的温度调节用流体的温度。
而且,该实施方式的成膜装置设置有用于进行装置整体的动作的控制的由计算机构成的控制部100,在该控制部100的存储器内存储有使装置运转的程序。该程序编写有执行后述的装置的动作那样的步骤群,从硬盘、磁盘、光盘、存储卡、软盘等记录介质安装到控制部100内。
而且,例如在控制部100的存储器中存储有用于根据由用户设定的晶圆的加热温度而将真空容器1保持在规定的温度范围例如80℃~100℃的Galden的温度,用户从未图示的输入部件设定上述晶圆的加热温度时,流体温度调整部8的Galden的温度被调节成与该加热温度相对应的温度。在该实施方式中使用BTBAS气体,因此该真空容器1的温度范围是上述BTBAS气体在真空容器1内不液化且能充分地保持上述真空容器1的强度的温度范围。
接着,说明上述实施方式的作用。首先用户将晶圆的加热温度输入到未图示的输入部件。此时,真空容器1的温度是例如40℃。上述加热温度被输入时,就从控制部100的存储器中读取与该加热温度相对应的Galden的温度,控制该流体温度调整部8的加热器的电力和制冷剂的流通量,储存在上述流体温度调整部8的Galden的温度被调节成从该存储器读取的温度。
在该成膜处理的例子中,将晶圆W的加热温度升温到350℃来进行处理,上述Galden的温度被流体温度调整部8调节成90℃。
之后,打开阀门V1,泵83工作,温度被调节后的Galden在温度调节用配管82a~82e中流到下游侧。该Galden在真空容器1的顶板11、底面部14和侧壁的各表面流动,将该热施加于上述各部,使真空容器1的温度上升的同时该Galden被冷却,然后Galden返回到温度调整部8,在此温度再次被调节为90℃,在温度调节用配管82a~82e中流到下游侧。接着,加热器单元7升温,旋转台2被加热,并且受到来自加热器单元7的热辐射,真空容器1的温度进一步上升。
之后,打开未图示的闸阀,从外部利用输送臂10经由输送口15将晶圆交接到旋转台2的凹部24内。该交接是在凹部24停止在面对输送口15的位置时、升降销16如图8所示那样经由凹部24的底面的通孔而从真空容器1的底部侧升降来进行的。
使旋转台2间歇性地旋转来进行这样的晶圆W的交接,分别将晶圆W载置在旋转台2的5个凹部24内。接着,利用真空泵64对真空容器1内进行抽真空而形成预定的压力,并且使旋转台2顺时针旋转。由未图示的温度传感器确认了晶圆W的温度为作为设定温度的350℃之后,从第1反应气体喷嘴31和第2反应气体喷嘴32分别喷出BTBAS气体和O3气体,同时从分离气体喷嘴41、42喷出作为分离气体的N2气体。此时,真空容器1的温度利用上述Galden的流通和来自加热器单元7的热辐射而例如被保持成80℃~100℃。
晶圆W利用旋转台2的旋转,交替通过设有第1反应气体喷嘴31的第1处理区域P1、设有第2反应气体喷嘴32的第2处理区域P2,因此吸附BTBAS气体,随后吸附O3气体,BTBAS分子被氧化,氧化硅的分子层被形成1层或多层,这样一来,依次层叠氧化硅的分子层,形成规定的膜厚的氧化硅膜。
此时,从分离气体供给管51也供给作为分离气体的N2气体,从而从中心部区域C(即、突出部5与旋转台2的中心部之间)沿着旋转台2的表面喷出N2气体。在该例子中,在沿着配置有反应气体喷嘴31、32的第2顶面45的下方侧的空间的容器主体12的内周壁,内周壁如上所述那样被切割而变宽,排气口61,62位于该宽的空间的下方,因此第2顶面45的下方侧的空间的压力也比第1顶面44的下方侧的狭窄的空间和上述中心部区域C的各压力低。图7示意性地表示从各部位喷出气体时的气体的流动的状态。从第2反应气体喷嘴32向下方侧喷出、碰到旋转台2的表面(晶圆W的表面和晶圆W的非载置区域的表面这两者)而沿着该表面朝向旋转方向上游侧去的O3气体被从其上游侧流来的N2气体吹回而流入旋转台2的周缘与真空容器1的内周壁之间的排气区域6,由排气口62排出。
而且,从第2反应气体喷嘴32向下方侧喷出、碰到旋转台2的表面而沿着该表面朝向旋转方向下游侧去的O3气体利用从中心部区域C喷出的N2气体的流动和排气口62的吸引作用而欲流向上述排气口62,但一部分欲朝向与下游侧相邻的分离区域D,流入到扇形的凸状部4的下方侧。可是,凸状部4的顶面44的高度和周向的长度在包括各气体的流量等的运转时的工艺参数中被设定为能防止气体进入上述顶面44的下方侧那样的尺寸,如图4B所示,O3气体几乎无法流入到扇形的凸状部4的下方侧或即使稍微流入也不能到达分离气体喷嘴41附近,被从分离气体喷嘴41喷出的N2气体吹回到旋转方向上游侧(处理区域P2侧),与从中心部区域C喷出的N2气体一起从旋转台2的周缘与真空容器1的内周壁之间的间隙经由排气区域6,被排气口62排出。
而且,从第1反应气体喷嘴31向下方侧喷出、沿着旋转台2的表面分别朝向旋转方向上游侧和下游侧去的BTBAS气体完全不会进入与该旋转方向上游侧和下游侧相邻的扇形的凸状部4的下方侧或即使进入也被吹回到第2处理区域P1侧,与从中心部区域C喷出的N2气体一起从旋转台2的周缘和真空容器1的内周壁之间的间隙经由排气区域6而被排气口61排出。即,在各分离区域D,阻止在气氛中流动的作为反应气体的BTBAS气体或O3气体的进入,但被吸附到晶圆上的气体分子就保持原样地通过分离区域、即扇形的凸状部4的低的顶面44的下方,有助于成膜。
而且,第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)欲进入中心部区域C内,如图7和图9所示,分离气体从上述中心部区域C朝着旋转台2的周缘喷出,因此第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)的进入被分离气体阻止,或即使进入了一些也被吹回,能阻止第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)通过该中心部区域C而流入到第2处理区域P2(第1处理区域P1)。
并且,在分离区域D,扇形的凸状部4的周缘部向下方弯曲,弯曲部46和旋转台2的外端面之间的间隙如上所述那样变小而实质上阻止气体的通过,因此能阻止第1处理区域P1的BTBAS气体(第2处理区域P2的O3气体)经由旋转台2的外侧流入第2处理区域P2(第1处理区域P1)。因此第1处理区域P1的气氛和第2处理区域P2的气氛被2个分离区域D完全地分离,BTBAS气体被排气口61排出,O3气体被排气口62排出。结果,两反应气体(在本例中为BTBAS气体和O3气体)无论在气氛中还是在晶圆上都不会混合。另外,在该例子中,旋转台2的下方侧被N2气体吹扫,因此完全不必担心流入排气区域6的气体穿过旋转台2的下方侧,例如BTBAS气体流入O3气体的供给区域。这样,成膜处理结束时,各晶圆通过与搬入动作相反的动作依次被输送臂10搬出。
在此,记载工艺参数的一个例子,在直径为300mm的晶圆W作为被处理基板的情况下,旋转台2的转速例如为1rpm~500rpm,处理压力例如为1067Pa(8Torr),BTBAS气体和O3气体的流量例如分别为100sccm和10000sccm,分离气体喷嘴41、42的N2气体的流量例如为20000sccm,来自真空容器1的中心部的分离气体供给管51的N2气体的流量例如为5000sccm。对1张晶圆供给反应气体的循环数、即晶圆通过各处理区域P1、P2的次数根据目标膜厚而改变,但是多次(例如600次)。
而且,在上述的例子中,在晶圆W的加热温度为350℃的情况下对真空容器1被温度调节用配管82a~82e加热的情况进行了说明,但对用户将晶圆W的加热温度例如设定为600℃而由温度调节用配管82a~82e冷却真空容器的情况进行说明。晶圆的加热温度被设定时,在控制部100的控制下,流体温度调整部8储存的Galden的温度被调节成与该晶圆W的加热温度600℃相对应的90℃。然后,打开阀门V1,泵83工作,温度被调节后的Galden在温度调节用配管82a~82e中流到下游侧。接着,加热器单元7升温,旋转台2被加热,并且受到来自这些加热器单元7的热辐射,真空容器1的温度上升。在真空容器1的顶板11、底面部14和侧壁的各表面流动的Galden冷却这些各部,并且接受从这些顶板11、底面部14和侧壁的热而被加热,并返回到温度调整部8,在此再次被冷却成90℃,并在温度调节用配管82a~82e中流到下游侧。
之后,如上所述那样晶圆被交接到旋转台2上,真空容器1内被抽真空之后,晶圆W的温度由未图示的温度传感器确认成为作为设定温度的600℃,分别从各反应气体喷嘴31、32喷出BTBAS气体、O3气体,并且从分离气体喷嘴41、42喷出N2气体。此时真空容器1的温度如上所述那样由于Galden的流通和来自加热器单元7的热辐射而被保持为例如80℃~100℃。以后,与晶圆W的加热温度为350℃的情况同样地进行成膜处理。
在该成膜装置中设置有:旋转台2,其被设置在真空容器1内,用于载置晶圆W;加热器单元7,其是为了对载置在该旋转台2上的晶圆W进行加热而设置的;喷出BTBAS气体而进行成膜处理的反应气体喷嘴31;将分离气体供给到分离区域D的分离气体喷嘴41、42;温度调节用配管82a~82e,其构成为能加热或冷却上述真空容器1,供温度调节用流体流通。因此,能抑制晶圆的加热温度对真空容器的温度的影响,因此抑制如下情况:在晶圆W的加热温度高的情况下,真空容器1的温度变得过高而其强度降低;在晶圆W的加热温度低的情况下,从反应气体喷嘴31喷出的BTBAS气体的液化。并且抑制如下情况:变得无法正常地进行成膜处理、或形成在晶圆W上的膜的膜质降低。
在该成膜装置中,在真空容器1的顶板11、底面部14、侧壁上分别形成有温度调节用配管82a~82e,但是不限于这样在顶板11、底面部14和侧壁全部都设置温度调节用配管,该配管的配置的布局也不限于上述的例子。不过,在旋转台2上沿其周向配置有晶圆W,因此该成膜装置的顶板11和底面部14与1张1张基板进行成膜处理的单片式成膜装置的顶板和底面部相比变大。作为其结果,来自这些顶板11和底面部14的散热变大,在成膜处理过程中这些顶板11和底面部14的温度容易变高。因此,如上述的实施方式那样在顶板11、底面部14上设置温度调节用配管82a~82e,能在高温下加热晶圆W的情况下,通过冷却这些顶板11和底面部14,能够有效地降低真空容器1的温度。
作为本发明被使用的处理气体,除了上述例子之外,还可以列举出DCS(二氯硅烷)、HCD(Hexachlorodisilane,六氯乙硅烷)、TMA(三甲基铝),3DMAS[三(二甲氨基)硅烷]、TEMAZ(四(二乙基氨基)锆)、TEMHF[四(乙基甲基氨基)铪]、Sr(THD)2[双(四甲基庚二酮酸)锶]、Ti(MPD)(THD)[(甲基戊二酮酸)双(四甲基庚二酮酸)钛]、单氨基硅烷等。
如上所述,该成膜装置用于使固体或液体气化来用作处理气体,防止在真空容器1内液化和固化,因此特别有效。
在该成膜装置中,也可以替代Galden,而使冷却水和珀耳帖元件(Peltier elements)等制冷剂(冷却流体)在温度调节用配管82a~82e中流通,通过与该制冷剂之间的热交换来进行真空容器1的冷却,并且由设置在上述真空容器上的作为加热部件的加热器进行真空容器1的加热。图12是表示如上所述那样设有由电热线构成的加热器84a~84g(为了方便图示,表示为板状)和冷却用配管85a、85b的底面部14。各冷却用配管85a、85b中流通的介质不是Galden而是上述冷却水等制冷剂,其它与如上所述的各温度调节用配管82a、82b同样地构成。而且,流体温度调整部8A构成为与流体温度调整部8同样的公知的冷却装置,包括储存上述制冷剂的储存部、以及通过热交换而用于冷却该储存部所储存的制冷剂的冷却机构。图中附图标记86是电力控制器,接收来自控制部100的控制信号,对供给到各加热器84a~84g的电力进行控制。另外,这样的加热器和冷却用配管不限于设置在真空容器1的底面部14,也可以设置在顶板11或侧壁上。
而且,在真空容器1上设置这样的冷却用配管的情况下,作为加热部件也可以设有背景技术栏所说明的罩覆式加热器,控制冷却用配管的制冷剂的温度,通过罩覆式加热器来防止真空容器1的温度变得过高。
优选在上述分离区域D的顶面44,相对于上述分离气体喷嘴41、42而位于旋转台2的旋转方向的上游侧部位越位于外缘的部位,上述旋转方向的宽度越大。其理由在于,通过旋转台2的旋转而从上游侧朝向分离区域D去的气体的流动越靠近外缘越快。从这方面来看,如上所述那样将凸状部4构成为扇形是上策。
并且,如图13A和图13B中以上述分离气体供给喷嘴41作为代表所示那样,在例如直径300mm的晶圆W作为被处理基板的情况下,优选形成分别位于上述分离气体供给喷嘴41(42)的两侧的狭窄的空间的上述第1顶面44在晶圆W的中心WO通过的部位,沿着旋转台2的旋转方向的宽度尺寸L为50mm以上。为了有效地阻止反应气体从凸状部4的两侧进入上述凸状部4的下方(狭窄的空间),在上述宽度尺寸L较短的情况下,需要与之相对应地缩小第1顶面44和旋转台2之间的距离。而且,将第1顶面44与旋转台2之间的距离设定为一定尺寸时,越离开旋转台2的旋转中心,旋转台2的速度越快,因此为了得到阻止反应气体进入的效果所要求的宽度尺寸L越离开旋转中心越长。
从这样的方面考察时,晶圆W的中心WO通过的部位的上述宽度尺寸L小于50mm时,需要第1顶面44和旋转台2之间的距离相当小,因此为了防止在使旋转台2旋转时旋转台2或晶圆W与顶面44之间的碰撞,因此要求在尽量抑制旋转台2的振动上的施加措施。而且,旋转台2的转速越高,反应气体越容易从凸状部4的上游侧进入上述凸状部4的下方侧,因此使上述宽度尺寸L小于50mm时,必须降低旋转台2的转速,在生产率这方面不是上策。因此优选宽度尺寸L是50mm以上,但即使是50mm以下,也不能说无法得到本发明的效果。即,优选上述宽度尺寸L是晶圆W的直径1/10~1/1,更优选是大约1/6以上。
在此,对于处理区域P1、P2和分离区域D的各布局列举上述实施方式之外的其他的例子。图14是使第2反应气体喷嘴32位于输送口15的旋转台2的旋转方向上游侧的例子,即使是这样的布局,也能得到同样的效果。而且,如上所述,分离区域D也可以是将扇形的凸状部4沿周向分割成2个、并在2个凸状部4之间设置分离气体喷嘴41(42)的构成,图15是表示这样的构成的一个例子的俯视图。这种情况下,扇形的凸状部4与分离气体喷嘴41(42)之间的距离和扇形的凸状部4的大小等被设定为考虑到分离气体的喷出流量和反应气体的喷出流量等而能发挥分离区域D有效的分离作用的程度。
在上述的实施方式中,上述第1处理区域P1和第2处理区域P2相当于其顶面比上述分离区域D的顶面高的区域,但本发明也可以构成为,第1处理区域P1和第2处理区域P2的至少一方与分离区域D同样地在反应气体供给部件的上述旋转方向两侧与上述旋转台2相对设置,具有如下所述的顶面:形成用于阻止气体进入该顶面与上述旋转台2之间的空间,并且比上述分离区域D的上述旋转方向两侧的顶面(第2顶面45)低的顶面、例如与分离区域D中的第1顶面44相同的高度的顶面。图16表示这样的构成的一个例子,在第2处理区域(在该例子中为O3气体的吸附区域)P2中,第2反应气体喷嘴32配置在扇形的凸状部30的下方侧。另外,第2处理区域P2除了设置第2反应气体喷嘴32来替代分离气体喷嘴41(42)之外,与分离区域D完全相同。
本发明为了在分离气体喷嘴41(42)的两侧形成狭窄的空间而需要设置低的顶面(第1顶面)44,然而,如图17所示那样在反应气体喷嘴31(32)的两侧也设置同样的低的顶面,使这些顶面连续的构成、也就是说除了设有分离气体喷嘴41(42)和反应气体喷嘴31(32)的部位之外,作为在与旋转台2相对的区域的整个面设有凸状部4的构成也能得到同样的效果。该构成从别的观点来说,是分离气体喷嘴41(42)的两侧的第1顶面44扩展到反应气体喷嘴31(32)的例子。在这种情况下,分离气体扩散到分离气体喷嘴41(42)的两侧,反应气体扩散到反应气体喷嘴31(32)的两侧,两气体在凸状部4的下方侧(狭窄的空间)汇合,但这些气体从位于分离气体喷嘴31(32)和反应气体喷嘴42(41)之间的排气口61(62)排出。
在以上的实施方式中,旋转台2的旋转轴22位于真空容器1的中心部,对旋转台2的中心部与真空容器1的上表面部之间的空间吹扫分离气体,但本发明也可以如图18所示那样构成。在图18的成膜装置中,真空容器1的中央区域的底面部14向下方侧突出而形成驱动部的收容空间90,并且凹部90a形成在真空容器1的中央区域的上表面上,在真空容器1的中心部,支柱91介于收容空间90的底部与真空容器1的上述凹部90a的上表面之间,防止来自第1反应气体喷嘴31的BTBAS气体和来自第2反应气体喷嘴32的O3气体经由上述中心部而互相混合。
对于使旋转台2旋转的机构,围着支柱91设置旋转套筒92,沿着该旋转套筒92而设置环状的旋转台2。并且,在上述收容空间90中设置由电动机93驱动的驱动齿轮部94,利用该驱动齿轮部94并借助形成在旋转套筒92的下部的外周的齿轮部95使上述旋转套筒92旋转。附图标记96、97和98是轴承部。而且,上述收容空间90的底部与吹扫气体供给管74相连接,并且使用来将吹扫气体供给到上述凹部90a的侧面与旋转套筒92的上端部之间的空间的吹扫气体供给管75与真空容器1的上部相连接。在图18中,用于将吹扫气体供给到上述凹部90a的侧面与旋转套筒92的上端部之间的空间的开口部记载有左右2处,但为了BTBAS气体和O3气体不会经由旋转套筒92的附近区域混合,最好对开口部(吹扫气体供给口)的排列数进行设计。
在图18的实施方式中,从旋转台2侧看来,上述凹部90a的侧面和旋转套筒92的上端部之间的空间相当于分离气体喷出孔,并且,由该分离气体喷出孔、旋转套筒92和支柱91构成位于真空容器1的中心部的中心部区域。在该实施方式中,温度调节用配管81a~81e与图1的实施方式同样地被设置在真空容器1的顶板、侧壁和底面部。
本发明不限于使用两种反应气体,也可以适用于将3种以上的反应气体按顺序供给到基板上的情况。在那种情况下,例如按第1反应气体喷嘴、分离气体喷嘴、第2反应气体喷嘴、分离气体喷嘴、第3反应气体喷嘴和分离气体喷嘴这样的顺序沿真空容器1的周向配置各气体喷嘴,包括各分离气体喷嘴在内的分离区域如上所述的实施方式那样构成即可。
在上述的例子中表示了进行MLD的成膜装置,但是可以将本发明适用于例如进行CVD(Chemical Vapor Deposition)的装置。那种情况下,作为气体供给部件也可以替代使用上述气体喷嘴而在装置的顶板上设置气体簇射头(shower head),将反应气体供给到晶圆W上。
使用了上述成膜装置的基板处理装置如图19所示。在图19中,附图标记101是例如收纳25张晶圆的称为FOUP(前开式晶圆传送盒)的封闭型的输送容器,附图标记102是配置有输送臂103的大气输送室,附图标记104、105是在大气气氛和真空气氛之间切换气氛的加载互锁真空室(预备真空室),附图标记106是配置有2个输送臂107的真空输送室,附图标记108、109是本发明的成膜装置。输送容器101从外部输送到具有未图示的载置台的输入输出部,与大气输送室102连接之后,由未图示的开闭机构打开盖,由输送臂103将晶圆从输送容器101内取出。随后,被搬入加载互锁真空室104(105)内,将上述加载互锁真空室104(105)内从大气气氛切换成真空气氛,之后,晶圆由输送臂107取出,被搬入成膜装置108,109中的一个,进行如上所述的成膜处理。这样通过具有多个(例如2个)的例如5张处理用的本发明的成膜装置,能以高的生产率实施ALD(MLD)。
以上,记述了本发明的最佳实施方式,但本发明不限于该特定的实施方式,在权利要求所记载的本发明的主旨的范围内能进行各种变形、变更。

Claims (11)

1.一种成膜装置,其用于在扁平的真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并执行该供给循环,从而层叠多层反应生成物的层来形成薄膜,其特征在于,
包括:
旋转台,其被设置在上述真空容器内,具有载置基板的基板载置区域;
加热器单元,其设置在上述旋转台和上述真空容器的底面部之间,并与该旋转台和真空容器的底面部之间隔有间隙,该加热器单元通过加热该旋转台来对载置在上述基板载置区域的基板进行加热;
上述真空容器的顶板,其以隔着间隙从上述旋转台的上表面侧覆盖该旋转台的方式设置;
第1反应气体供给部件和第2反应气体供给部件,它们在上述旋转台的周向互相隔开间隔地设置,分别用于将第1反应气体和第2反应气体供给到上述旋转台上的基板载置区域侧的面上,该第1反应气体为使固体原料或液体原料气化而得到的反应气体;
分离气体供给部件,其为了分离被供给第1反应气体的第1处理区域、被供给第2反应气体的第2处理区域的气氛,用于将分离气体供给到在上述周向上位于上述处理区域之间的分离区域;
排气口,其用于对被供给到上述旋转台上的各反应气体和分离气体进行排气;
以及温度调节部件,其设置在上述真空容器的底面部和顶板,能够将该底面部和顶板加热到能将上述反应气体维持在气体状态的温度,并且,能够对受来自上述加热器单元的热量加热的底面部和顶板进行冷却。
2.根据权利要求1所述的成膜装置,其特征在于,
上述温度调节部件包括被设在上述真空容器上的温度调节流体流路。
3.根据权利要求1所述的成膜装置,其特征在于,
上述温度调节部件包括被设在上述真空容器上的冷却流体流路以及被设在上述真空容器内的加热部件。
4.根据权利要求1所述的成膜装置,其特征在于,
上述温度调节部件还被设在真空容器的侧壁上。
5.根据权利要求1所述的成膜装置,其特征在于,
上述分离区域位于分离气体供给部件的上述旋转方向两侧,并具有设置于上述顶板的顶面,该顶面用于在顶面与旋转台之间形成用于使分离气体从上述分离区域流到处理区域侧的狭窄的空间。
6.根据权利要求1所述的成膜装置,其特征在于,
该成膜装置具有中心部区域,其为了分离上述第1处理区域、第2处理区域的气氛而位于真空容器内的中心部,形成有将分离气体喷出到上述旋转台的基板载置面侧的喷出口,
上述反应气体与扩散到上述分离区域的两侧的分离气体和从上述中心部区域喷出的分离气体一起从上述排气口排出。
7.一种成膜方法,其用于在扁平的真空容器内将互相反应的至少两种反应气体按顺序供给到基板的表面上并执行该供给循环,从而层叠多层反应生成物的层来形成薄膜,其特征在于,包括以下工序:
将基板载置在上述真空容器内的旋转台的基板载置区域,使上述旋转台旋转的工序;
从在上述旋转台的周向互相隔开间隔而设置在上述真空容器内的第1反应气体供给部件和第2反应气体供给部件,对于在上述旋转台和上述真空容器的从上述旋转台的上表面侧覆盖该旋转台的方式设置的顶板之间的间隙内形成的第1处理区域和第2处理区域,分别将第1反应气体和第2反应气体供给到上述旋转台的基板载置区域侧的面上的工序;
从设置于在上述旋转台的旋转方向上位于第1反应气体供给部件和第2反应气体供给部件之间的分离区域的分离气体供给部件供给分离气体,分离被供给第1反应气体的第1处理区域和被供给第2反应气体的第2处理区域的气氛的工序,
从排气口对被供给到上述旋转台上的各反应气体和分离气体进行排气的工序;
利用设置在上述旋转台和上述真空容器的底面部之间并与该旋转台和真空容器的底面部之间隔有间隙、通过加热该旋转台来对载置在上述基板载置区域的基板进行加热的加热器单元,对基板进行加热的工序;
以及利用在上述真空容器的底面部和顶板的温度调节部件,将该底面部和顶板加热到能将上述反应气体维持在气体状态的温度,并且,对受来自上述加热器单元的热量加热的底面部和顶板进行冷却的工序,
上述第1反应气体为使固体原料或液体原料气化而得到的反应气体。
8.根据权利要求7所述的成膜方法,其特征在于,
由温度调节部件加热或冷却上述真空容器的工序包括使温度调节流体在设于真空容器的流路中流通的工序。
9.根据权利要求7所述的成膜方法,其特征在于,
由温度调节部件加热或冷却上述真空容器的工序包括使冷却流体在设于真空容器的流路中流通的工序以及由加热部件加热真空容器的工序。
10.根据权利要求7所述的成膜方法,其特征在于,
上述分离区域位于分离气体供给部件的上述旋转方向两侧,并具有设置于上述顶板的顶面,该顶面用于在顶面与旋转台之间形成用于使分离气体从上述分离区域流到处理区域侧的狭窄的空间。
11.根据权利要求7所述的成膜方法,其特征在于,
该成膜方法包括为了分离上述第1处理区域、第2处理区域的气氛而从设在位于真空容器内的中心部的中心部区域的喷出口将分离气体喷出到上述旋转台的基板载置面侧的工序,
上述排气工序用于将上述反应气体、扩散到上述分离区域的两侧的分离气体和从上述中心部区域喷出的分离气体一起从上述排气口排出。
CN200910252478.2A 2008-12-12 2009-12-11 成膜装置和成膜方法 Active CN101748391B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008317514A JP5083193B2 (ja) 2008-12-12 2008-12-12 成膜装置、成膜方法及び記憶媒体
JP2008-317514 2008-12-12

Publications (2)

Publication Number Publication Date
CN101748391A CN101748391A (zh) 2010-06-23
CN101748391B true CN101748391B (zh) 2015-04-22

Family

ID=42240869

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910252478.2A Active CN101748391B (zh) 2008-12-12 2009-12-11 成膜装置和成膜方法

Country Status (5)

Country Link
US (1) US20100151131A1 (zh)
JP (1) JP5083193B2 (zh)
KR (1) KR101558606B1 (zh)
CN (1) CN101748391B (zh)
TW (1) TWI494459B (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
CN103065647B (zh) * 2011-10-19 2015-12-16 中芯国际集成电路制造(上海)有限公司 立体结构的磁隧道结的形成方法及形成设备
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法
CN103668115A (zh) * 2012-09-21 2014-03-26 南昌黄绿照明有限公司 腔壁温度可由生长程序实时设置的气相外延反应管
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6056673B2 (ja) * 2013-06-14 2017-01-11 東京エレクトロン株式会社 ガス処理装置
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US10428425B2 (en) * 2016-01-26 2019-10-01 Tokyo Electron Limited Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
JP6756853B2 (ja) * 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
TWI709203B (zh) * 2018-09-11 2020-11-01 大陸商北京北方華創微電子裝備有限公司 腔室冷卻裝置及半導體加工設備
JP7008602B2 (ja) * 2018-09-27 2022-01-25 東京エレクトロン株式会社 成膜装置および温度制御方法
JP2020141118A (ja) * 2019-02-27 2020-09-03 東京エレクトロン株式会社 基板処理装置、基板処理システム及び載置台を位置合わせする方法
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) * 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
JPS63112A (ja) * 1986-06-19 1988-01-05 Rohm Co Ltd 半導体製造装置
JP3665672B2 (ja) * 1995-11-01 2005-06-29 東京エレクトロン株式会社 成膜装置及び成膜方法
JPH09256153A (ja) * 1996-03-15 1997-09-30 Anelva Corp 基板処理装置
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR20020037695A (ko) * 2000-11-14 2002-05-22 히가시 데쓰로 기판 처리장치 및 기판 처리방법
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
JP2002313781A (ja) * 2001-04-11 2002-10-25 Sumitomo Electric Ind Ltd 基板処理装置
TW559905B (en) * 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
KR100498609B1 (ko) * 2002-05-18 2005-07-01 주식회사 하이닉스반도체 배치형 원자층 증착 장치
US6656284B1 (en) * 2002-06-28 2003-12-02 Jusung Engineering Co., Ltd. Semiconductor device manufacturing apparatus having rotatable gas injector and thin film deposition method using the same
CN100390317C (zh) * 2002-07-10 2008-05-28 东京毅力科创株式会社 成膜装置
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6954585B2 (en) * 2002-12-03 2005-10-11 Tokyo Electron Limited Substrate processing method and apparatus
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP5138212B2 (ja) * 2006-12-25 2013-02-06 東京エレクトロン株式会社 成膜装置
JP2008270589A (ja) * 2007-04-23 2008-11-06 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびその製造装置
WO2009017322A1 (en) * 2007-07-30 2009-02-05 Ips Ltd. Reactor for depositing thin film on wafer
JP5276388B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection

Also Published As

Publication number Publication date
US20100151131A1 (en) 2010-06-17
TW201111547A (en) 2011-04-01
CN101748391A (zh) 2010-06-23
KR20100068199A (ko) 2010-06-22
JP2010141207A (ja) 2010-06-24
JP5083193B2 (ja) 2012-11-28
KR101558606B1 (ko) 2015-10-07
TWI494459B (zh) 2015-08-01

Similar Documents

Publication Publication Date Title
CN101748391B (zh) 成膜装置和成膜方法
CN101660142B (zh) 成膜装置和成膜方法
CN101665921B (zh) 成膜装置、基板处理装置及成膜方法
CN101665927B (zh) 成膜装置、基板处理装置及成膜方法
CN101665922B (zh) 成膜装置、基板处理装置、成膜方法
CN101665924B (zh) 成膜装置及基板处理装置
CN101665926B (zh) 将多种反应气体依次向基板供给的成膜装置
CN102054663B (zh) 基板处理装置和基板处理方法
JP5195174B2 (ja) 成膜装置及び成膜方法
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
CN101736318A (zh) 成膜装置
KR101373946B1 (ko) 성막 장치
CN101748388A (zh) 成膜装置
CN102086515A (zh) 基板处理装置
CN101660141B (zh) 成膜装置及基板处理装置
TW201229295A (en) Film deposition device and film deposition method
CN101665923A (zh) 成膜装置、基板处理装置及成膜方法
TWI791778B (zh) 成膜方法及成膜裝置
CN101665920A (zh) 成膜装置、基板处理装置及旋转台
JP2024027409A (ja) 成膜装置及び成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant