CN101665926A - 将多种反应气体依次向基板供给的成膜装置 - Google Patents

将多种反应气体依次向基板供给的成膜装置 Download PDF

Info

Publication number
CN101665926A
CN101665926A CN200910172125A CN200910172125A CN101665926A CN 101665926 A CN101665926 A CN 101665926A CN 200910172125 A CN200910172125 A CN 200910172125A CN 200910172125 A CN200910172125 A CN 200910172125A CN 101665926 A CN101665926 A CN 101665926A
Authority
CN
China
Prior art keywords
universal stage
reactant gases
gas flow
film deposition
deposition system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910172125A
Other languages
English (en)
Other versions
CN101665926B (zh
Inventor
加藤寿
本间学
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101665926A publication Critical patent/CN101665926A/zh
Application granted granted Critical
Publication of CN101665926B publication Critical patent/CN101665926B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种将多种反应气体依次向基板供给的成膜装置,在真空容器内供给第1及第2反应气体来形成薄膜,其具有:旋转台;第1反应气体供给部及第2反应气体供给部,其分别在以旋转中心为圆心的第1角度位置和第2角度位置沿径向延伸;第1分离气体供给部,其设置在第1角度位置和第2角度位置之间的第3角度位置;第1空间,其在包含第1角度位置的区域具有第1高度;第2空间,其在包含第2角度位置的区域具有第2高度;第3空间,其在包含第3角度位置的区域具有低于第1高度和第2高度的高度;加热装置,其加热第1分离气体。

Description

将多种反应气体依次向基板供给的成膜装置
技术领域
本发明涉及一种成膜装置,具体而言,涉及一种将多种反应气体依次向基板供给的成膜装置。
背景技术
对于半导体制造工艺中的成膜方法,人们公知有如下工艺,即,在真空条件下,使作为基板的半导体晶圆等(以下,称为“晶圆”)的表面上吸附第1反应气体,然后,将供给的气体切换为第2反应气体,通过两种气体的反应,形成一层或多层原子层或分子层,通过多次进行这种循环,层叠这些层,来实现向基板上的成膜。该工艺被称为例如ALD(Atomic LayerDeposition,原子层沉积)或MLD(Molecular LayerDeposition,分子层沉积),能通过循环的次数来高精度地控制膜厚,并且膜质的面内均匀性较好,该工艺是能有效地应付半导体设备薄膜化的手法。
作为一种该成膜方法的优选例,可以列举出一种例如用于栅氧化膜的高电介质膜的成膜。例如,形成氧化硅膜(SiO2膜)时,第1反应气体(原料气体)使用例如双叔丁基氨基硅烷(以下称为“BTBAS”)气体等,第2反应气体(氧化气体)使用臭氧气体等。
对于实施该成膜方法的装置,可考虑在真空容器的上部中央具有气体簇射头的单片式成膜装置,从基板的中央部上方侧供给反应气体,并将未反应的反应气体和反应副生成物从处理容器的底部排出。但是,上述成膜方法中,通过吹扫气体进行的气体置换需要花费较长时间,此外,循环次数也需要例如数百回,因此,存在处理时间长的问题,人们希望有一种能高效处理的成膜装置和成膜方法。
基于这一背景,现已知以下各种将多片基板沿真空容器内的旋转台旋转方向配置在该旋转台上以进行成膜处理的装置。
专利文献1中公开了一例成膜装置,该成膜装置具有分离区域,该分离区域将扁平的圆筒状的真空容器左右隔开,在左侧区域和右侧区域沿着半圆轮廓形成的排气口被设置得能朝上排气,并且,在左侧半圆轮廓和右侧半圆轮廓之间,也就是在真空容器的直径区域,形成有分离区域的分离气体的喷出孔。在右侧半圆区域与和左侧半圆区域形成彼此不同的原料气体的供给区域,通过真空容器内的旋转台的旋转,使工件穿过右侧半圆区域、分离区域和左侧半圆区域,并将两原料气体从排气口排出。此外,供给分离气体的分离区域的顶板比原料气体的供给区域的顶板低。
专利文献2中公开了一例具有使晶圆支承构件水平旋转的结构的成膜装置,其一方面在晶圆支承构件(旋转台)上沿着旋转方向等间距地配置有4片晶圆,另一方面,以与晶圆支承构件相对的方式,沿着晶圆支承构件的旋转方向等间距地配置有第1反应气体喷嘴和第2反应气体喷嘴,并在相邻的两个反应气体喷嘴之间配置吹扫气体喷嘴。各晶圆受晶圆支承构件支承,晶圆的表面位于晶圆支承构件上表面上方,且仅距该晶圆支承构件上表面的距离为晶圆的厚度。此外,文中记载到,各喷嘴被设置得沿晶圆支承部件支承构件的径向延伸,晶圆和喷嘴的距离为0.1mm以上。真空排气在晶圆支承构件外缘和处理容器内壁之间进行。根据该装置,通过在吹扫气体喷嘴下方起到所谓气帘的作用,来防止第1反应气体和第2反应气体混合。
专利文献3公开了一例具有如下结构的成膜装置:其利用分隔壁将真空容器内部沿周向分隔为多个处理室,并且,设置有与分隔壁下端隔有小间隙且可相对与分隔壁旋转的圆形的载置台,在该载置台上配置多个晶圆。
专利文献4公开了一例成膜方法,其将圆形的气体供给板沿周向隔为8块,在其上以彼此间隔90°的方式配置AsH3气供给口、H2气供给口、TMG气供给口及H2气供给口,并在这些气体供给口之间配置排气口,而且,以与该气体供给板相对的方式配置支承晶圆的基座,并使该基座旋转。
此外,专利文献5公开的一例成膜装置的结构为,以排列成“十”字形的四块垂直壁分隔旋转台的上方区域,然后在如此分隔出来四个载置区域内载置晶圆,并沿旋转台的旋转方向交互配置源气体喷射器、反应气体喷射器和吹扫气体喷射器而构成“十”字形的喷射器单元;以使这些喷射器依次位于上述四个载置区域的方式,使喷射器单元水平旋转,并从旋转台周围进行真空排气。
此外,专利文献6(专利文献7、8)所记载的装置用于实施使靶(相当于晶圆)交互吸附多种气体的原子层CVD方法,其使载置晶圆的基座旋转,并从基座上方供给源气体和吹扫气体。在该专利文献第0023~0025段中写到,分隔壁从腔室中心起呈放射状(沿径向)延伸,在分隔壁下侧设置有用于向基座供给反应气体或吹扫气体的气体流出孔,通过从气体流出孔流出惰性气体,从分隔壁起形成气帘。关于排气,在文中第0058段起有所记载,根据其记载内容,源气体和吹扫气体分别经由排气通道30a和30b排出。
但是,如果使用上述专利文献所公开的成膜装置及方法,在真空容器内的旋转台上沿其旋转方向配置多片基板,进行成膜处理,则会产生如下问题。
在使用专利文献1公开的成膜装置及成膜方法时会有如下问题:在分离气体喷出口和反应气体供给区域之间设置朝上的排气口,采用反应气体和分离气体一起都从该排气口排出的手法,因此,吹向工件的反应气体朝上流动,被吸入排气口,伴随微粒的飞扬,容易导致晶圆受到微粒污染。
在使用专利文献2公开的成膜装置及成膜方法时,晶圆支承构件也旋转,仅通过源于吹扫气体喷嘴的气帘作用,则无法避免其两侧的反应气体通过,特别是,无法避免反应气体从旋转方向上游侧向气帘中扩散。此外,还存在从第1反应气体喷嘴喷出的第1反应气体容易经过相当于旋转台的晶圆支承构件的中心部而抵达从第2反应气体喷嘴到第2反应气体扩散区域的问题。这样,一旦第1反应气体和第2反应气体在晶圆上混合,则会有反应生成物会附着在晶圆表面上,不能良好地进行ALD(或者MLD)处理的问题。
在使用专利文献3公开的成膜装置及成膜方法时,工艺气体穿过分隔壁和载置台或分隔壁和晶圆之间的间隙,向相邻的处理室扩散,此外,由于在多个处理室之间设置有排气室,所以,晶圆穿过该排气室时,来自上游侧处理室和下游侧处理室的气体会在该排气室内混合。因此,存在以ALD进行成膜时不能使用该成膜方法的问题。
在使用专利文献4公开的成膜装置和成膜方法时,文中没有公开以何种实际手段实现两种反应气体的分离,基座中心附近的位置会存在两种反应气体混合的情况,这一点自不必说,实际上,在中心附近以外的位置,也会存在两种反应气体经由H2气体供给口的配置区域而混合的问题。此外,在与晶圆的穿过区域相对的面上设置排气口的情况下,会存在因从基座表面卷起微粒等而产生的容易引起晶圆被微粒污染这一致命问题。
在使用专利文献5公开的成膜装置和成膜方法时,在向各载置区域供给源气体或反应气体后,通过吹扫气体喷嘴以吹扫气体置换该载置区域的气氛气体需要花费较长时间,而且,往往会有源气体或反应气体从一个载置区域跨过垂直壁而扩散到与之相邻的载置区域内,使两种气体在载置区域发生反应的问题。
在使用专利文献6(专利文献7、8)公开的成膜装置和成膜方法时,问题在于,不可避免在吹扫气体分隔区域两侧的源气体分隔区域内的源气体在其中混合,出现反应生成物而给晶圆造成微粒污染的问题。
此外,在使用专利文献1、2及5公开的成膜装置和成膜方法时会存在如下问题:在温度高于室温的基板上进行成膜时,以室温导入的分离气体或吹扫气体被吹向基板,基板被分离气体冷却,使基板温度改变,则无法在整个基板上均匀地进行反应气体的吸附、反应,不能形成均质的薄膜。
专利文献1:美国专利公报7,153,542号
专利文献2:日本特开2001-254181号公报
专利文献3:日本特许第3144664号公报
专利文献4:日本特开平4-287912号公报
专利文献5:美国专利公报6,634,314号
专利文献6:日本特开2007-247066号公报
专利文献7:美国专利公开公报2007-218701号
专利文献8:美国专利公开公报2007-218702号
发明内容
本发明提供一种成膜装置,其向所述基板的表面依次供给相互反应的多种反应气体,层叠多层反应生成物层而形成薄膜。
在一个实施例中,在成膜装置的真空容器内,将基板依次暴露于包含第1反应气体和第2反应气体的至少两种反应气体中,进行成膜,该成膜装置具有:真空容器,其具有顶板;旋转台,其以可绕旋转中心旋转的方式设置在所述真空容器内,具有用于载置基板的基板载置部;第1反应气体供给部和第2反应气体供给部,其在以所述旋转中心为圆心的第1角度位置和第2角度位置处沿径向延伸,用于供给所述第1反应气体和所述第2反应气体;第1分离气体供给部,其在位于所述第1角度位置和所述第2角度位置之间的第3角度位置沿径向延伸,用于供给用以将所述第1反应气体和所述第2反应气体分离开的第1分离气体;所述顶板的第1下表面区域,其是包含所述第1角度位置的区域的至少一部分,距所述旋转台的高度为第1高度,从而在所述旋转台上侧形成具有所述第1高度的第1空间;所述顶板的第2下表面区域,其是包含所述第2角度位置的区域的至少一部分,距所述旋转台的高度为第2高度,从而在所述旋转台上侧形成具有所述第2高度的第2空间;所述顶板的第3下表面区域,其是包含所述第3角度位置的区域的至少一部分,距所述旋转台的高度为低于所述第1高度和所述第2高度的第3高度,从而在所述旋转台上侧形成具有所述第3高度的第3空间;加热装置,其对所述第1分离气体进行加热;第2分离气体供给部,其在包含所述旋转中心的中心部区域,供给用以将所述第1反应气体和所述第2反应气体分离开的第2分离气体;排气口,其将所述第1反应气体和第2反应气体,连同向所述第3空间两侧喷出的第1分离气体和从所述中心部区域喷出的所述第2分离气体一起排出。
另一个实施例为一种成膜方法,在真空容器中,在将基板依次暴露于包含第1反应气体和第2反应气体的至少两种反应气体中进行成膜时,载置所述基板的旋转台上侧具有供给第1分离气体的区域,该第1分离气体将所述第1反应气体和第2反应气体分离开,使该供给第1分离气体的区域的从所述旋转台上表面到所述真空容器顶板的高度低于用于供给所述第1反应气体和所述第2反应气体的区域的从所述旋转台上表面到所述顶板的高度,由此在所述旋转台上表面和所述顶板之间形成相对高度较低的空间,供给所述第1分离气体,在所述顶板下侧,在所述旋转台的旋转中心上侧的中心部区域,供给将所述第1反应气体和所述第2反应气体分离开的第2分离气体,通过将所述第1反应气体和所述第2反应气体连同所述第1分离气体和所述第2分离气体一起排气,在分离所述第1反应气体和所述第2反应气体的情况下进行供给的同时形成薄膜,本成膜方法具有:载置工序,在该工序中,在所述真空容器内的所述旋转台上载置基板;旋转工序,在该工序中,使所述旋转台旋转;成膜工序,在该工序中,从所述旋转台下侧对其进行加热,分别从设置在所述旋转台上侧不同位置上的所述第1反应气体供给部和所述第2反应气体供给部,供给所述第1反应气体和所述第2反应气体,从设置在所述第1反应气体供给部和所述第2反应气体供给部之间的第1分离气体供给部,供给被加热过的所述第1分离气体,使所述基板随所述旋转台的旋转而移动,反复进行向所述基板表面供给所述第1反应气体、停止供给所述第1反应气体、向所述基板供给所述第2反应气体和停止供给所述第2反应气体,由此形成薄膜。
根据至少一个实施例,可以得到较高的处理效率,且能防止多种反应气体在基板上方混合,从而进行良好的处理,且基板不会被分离气体冷却,因此可以形成均质的薄膜。
附图说明
图1是示意性地表示第1实施方式的成膜装置的结构的纵剖视图。
图2是示意性地表示第1实施方式的成膜装置的结构的立体图。
图3是示意性地表示第1实施方式的成膜装置的结构的俯剖视图。
图4A和4B是用于说明第1实施方式的成膜装置的图,是表示第1~第3空间的剖视图。
图5是用于说明第1实施方式的成膜装置的图,是表示第1反应气体供给部的立体图。
图6是用于说明第1实施方式的成膜装置的局部的图,是示意性地表示加热器的结构的图。
图7是用于说明第1实施方式的成膜装置的局部的图,是沿图3中A-A线的纵剖视图。
图8A及图8B是用于说明第1实施方式的成膜装置的图,是用于说明第3下表面部的尺寸例的横剖视图和纵剖视图。
图9是用于说明第2分离气体、第3分离气体及第4分离气体流经第1实施方式成膜装置的局部的状态的图,是沿图3中B-B线的纵剖视图。
图10是表示第1实施方式的成膜装置的局部的剖视立体图。
图11是示意性地表示第1实施方式的成膜装置的控制部的图。
图12是用于说明第1实施方式的成膜装置所采用的成膜方法的顺序的工序图。
图13是用于说明第1实施方式的成膜装置所采用的成膜方法的图,是表示第1反应气体、第2反应气体和第1分离气体流动状态的图。
图14是用于说明第1实施方式的第1变形例的成膜装置的图,是示意性地表示加热器的结构的图。
图15是示意性地表示第1实施方式的第2变形例的成膜装置的结构的纵剖视图。
图16是示意性地表示第1实施方式的第3变形例的成膜装置的结构的纵剖视图。
图17是用于说明第1实施方式的第4变形例的成膜装置的图,是表示第3下表面部的顶板的形状的其他例子的纵剖视图。
图18A~18C是用于说明第1实施方式的第5变形例的成膜装置的图,是表示第3下表面部的顶板的下表面形状的其他例子的纵剖视图。
图19A~19C是用于说明第1实施方式的第6变形例的成膜装置的图,是表示第1反应气体供给部的气体喷出孔的形状的其他例子的仰视图。
图19D~19G是用于说明第1实施方式的第6变形例的成膜装置的图,是表示第3下表面部的形状的其他例子的仰视图。
图20是示意性地表示第1实施方式的第7变形例的成膜装置的结构的俯剖视图。
图21是示意性地表示第1实施方式的第8变形例的成膜装置的结构的俯剖视图。
图22是示意性地表示第1实施方式的第9变形例的成膜装置的结构的立体图。
图23是示意性地表示第1实施方式的第10变形例的成膜装置的俯视剖视图。
图24是示意性地表示第1实施方式的第11变形例的成膜装置的纵剖视图。
图25是示意性地表示第2实施方式的基板处理装置的结构的俯视图。
具体实施方式
下面,参照附图说明本发明的最佳实施方式。
(第1实施方式)
参照图1~图13说明第1实施方式的成膜装置及成膜方法。
首先,参照图1~图11说明本实施方式的成膜装置的结构。
图1是示意性地表示本实施方式成膜装置的结构的纵剖视图。图1是沿图3中B-B线的纵剖视图。图2是示意性地表示本实施方式成膜装置的结构的立体图。图3是示意性地表示本实施方式成膜装置的结构的俯剖视图。图4A和4B是用于说明本实施方式的成膜装置的图,是表示第1~第3空间的剖视图。图4A及4B是沿着与旋转台同心的圆剖切旋转台以及比旋转台靠上侧的部分并横向展开后的展开图。图5是用于说明本实施方式的成膜装置的图,是表示第1反应气体供给部的立体图。图6是用于说明本实施方式的成膜装置的局部的图,是示意性地表示加热器的结构的图。图7是用于说明本实施方式的成膜装置的局部的图,是沿图3中A-A线的纵剖视图。图8A及图8B是用于说明本实施方式的成膜装置的图,用于说明第3下表面部的尺寸例的横剖视图和纵剖视图。图9是用于说明第2分离气体、第3分离气体及第4分离气体流经本实施方式成膜装置的局部的状态的图,是沿图3中B-B线的纵剖视图。图10是表示本实施方式的成膜装置的局部的剖视立体图。图11是示意性地表示本实施方式的成膜装置的控制部的图。
如图1~图3所示,本实施方式的成膜装置具有:真空装置1、旋转台2、第1反应气体供给部31、第2反应气体供给部32、第1分离气体供给部41、42及加热器8。
如图1~图3所示,真空容器1俯视形状为大致呈圆形的扁平形状。真空容器1具有顶板11、容器主体12、O型密封圈13和底面部14。
顶板11能与容器主体12分离。顶板11通过容器主体12内部的负压状态经由密封构件例如O型密封圈13被压在容器主体12上,并维持气密状态。此外,在顶板11和容器主体12分离时,可通过未图示的驱动机构向上举起顶板11。
下面,说明真空容器1和收纳在真空容器1内的各部分中的顶板11、旋转台2、设在顶板11下侧且位于旋转台2上侧的部分及相关部分。即,对旋转台2、第1反应气体供给部31、第2反应气体供给部32、第1分离气体供给部41、42、加热器8、顶板11、第2分离气体供给部51进行说明。
如图1所示,旋转台2的回转中心位于真空容器1的中心处。旋转台2具有壳体20、芯部21、旋转轴22、驱动部23、凹部24。
旋转台2的中心部固定于圆筒状的芯部21,芯部21固定于沿铅直方向延伸的旋转轴22的上端。旋转轴22贯穿真空容器1的底面部14,旋转部22下端安装在能使其绕铅直轴线顺时针旋转的驱动部23上。旋转轴22和驱动部23收纳在上侧开口的圆筒状的壳体20内。就该壳体20而言,设在壳体20上表面的凸缘部分和真空容器1的底面部14的下表面之间保持气密地安装,维持壳体20内部气氛和外部气氛之间的气密状态。
如图2、图3所示,凹部24设置在旋转台2的表面部,用于在旋转台2上沿旋转方向(周向)载置多片例如5片作为基板的晶圆。凹部24为圆形的形状。凹部24是对晶圆进行定位以防止晶圆受旋转台2旋转时的离心力作用而飞出的构件。另外,图3中为了方便而图示了仅在一个凹部24内有晶圆W的情况。
如图4A所示,凹部24的尺寸设定如下,凹部24的直径稍大于晶圆的直径,例如大4mm,此外,凹部24的深度和晶圆厚度相等。因此,在将晶圆放入凹部24后,晶圆表面和旋转台2的表面(不载置晶圆的区域)等高。如果晶圆的表面和旋转台2的表面之间的高度差较大,就会在台阶部分(存在高度差部分)产生压力变动,因此,为了使整个面上的膜厚的均匀,优选晶圆的表面和旋转台2的表面等高。晶圆的表面和旋转台2的表面等高指的是受凹部24(基板载置部)载置的晶圆(基板)的表面和旋转台2的表面在同一高度,或者晶圆(基板)的表面的位置比旋转台2表面低,最好根据加工精度,尽可能使二者的表面的高度差接近0,两表面的高度差优选在5mm以内。在凹部24的底面上形成通孔,该通孔供用以支承晶圆的反面以使晶圆升降的例如用图10表示的后述的3根升降销贯穿。
另外,基板载置部不限于凹部,也可以是例如在旋转台2表面上沿晶圆周向排列有多个对晶圆周缘加以导向的导向构件的结构,或者也可以是在旋转台2上设置有静电卡盘等卡盘机构的结构。在旋转台2上设置卡盘机构来吸附晶圆时,通过吸附来载置晶圆的区域是基板载置部。
如图2、图3所示,为了供给第1反应气体及第2反应气体,第1反应气体供给部31、第2反应气体供给部32及两根第1分离气体供给部41、42设置在分别与旋转台2的凹部24的基板载置部相对的位置,从真空容器1的周缘(旋转台2的周缘)的相互不同的位置伸向旋转中心。第1反应气体供给部31、第2反应气体供给部32及两根第1分离气体供给部41、42是沿自身长度方向隔有间隔地开设有用于向下喷出反应气体的喷出孔的喷嘴。
第1反应气体供给部31、第2反应气体供给部32及两根第1分离气体供给部41、42安装在例如真空容器1的侧壁上,构成其基端部的气体导入件31a、32a、41a、42a穿通侧壁。在本实施方式中,一部分如图5所示,气体导入件31a、32a、41a、42a被从真空容器1的侧壁导入,但也可以从环状的突出部53(后述)导入。此时,可以设置有在突出部53外周面和顶板11外表面上开口的L形的导管,并在真空容器1内,使第1反应气体供给部31、第2反应气体供给部32及两根第1分离气体供给部41、42与L形导管一侧的开口连接,在真空容器1外部,使气体导入件31a、32a、41a、42a和L形导管的另一侧开口连接。
如图4A及图4B所示,在第1反应气体供给部31和第2反应气体供给部32上,沿喷嘴长度方向隔有间隔地开设有用于向下喷出反应气体的喷出孔33。在本实施方式中,例如,沿着构成第1反应气体供给部31、第2反应气体供给部32的气体喷嘴的长度方向,以10mm的间隔开设有朝向正下方的例如口径为0.5mm的喷出孔。
如图4A及图4B所示,第1分离气体供给部41、42上,沿喷嘴长度方向隔有间隔地开设有用于向下喷出分离气体的喷出孔40。在本实施方式中,例如沿着构成第1分离气体供给部41、42的气体喷嘴的长度方向,隔10mm的间隔开设有朝向正下方的例如口径为0.5mm的喷出孔。
第1反应气体供给部31、第2反应气体供给部32与设在真空容器1外部的第1反应气体的气体供给源和第2反应气体的气体供给源相连,第1分离气体供给部41、42和设在真空容器1外部的第1分离气体的气体供给源相连。在本实施方式中,第2反应气体供给部32、第1分离气体供给部41、第1反应气体供给部31和第1分离气体供给部42沿顺时针方向依次配置。
如图2、图3所示,加热器8设在真空容器1的外部,与第1分离气体供给部41、42的气体导入件41a、42a相连。加热器8是用于加热第1分离气体和第2分离气体的构件。
如图6所示,加热器8具有:发热器81、加热管82、热敏开关83、温度传感器84、接头85、外部电源86、框体87。
发热器81是电阻加热方式的电阻发热体。发热器81只要是采用电阻加热方式,就并不特别限定,例如可以采用电热管(sheath heater)、碳素加热器(carbon heater)。在本实施方式中,如图6所示,使用电热管。
加热管82是呈螺旋状围绕发热器81的管道。加热管82是在第1分离气体流经其内部的状态下与发热器81进行热交换而加热第1分离气体的构件。加热管82并不特别限定,可使用例如直径为1/8英寸的SUS316管(一种基于日本不锈钢标准的钢管)。
热敏开关83、温度传感器84和外部电源86,是根据温度传感器84所测量到的温度和预先设定温度之间的差值来切换热敏开关83到开(ON)和关(OFF)状态,在开状态下,从外部电源86对发热器81通电进行加热的构件。通过使用这样的加热器8,可加热第1分离气体,能防止在从第1分离气体供给部进行供给时,使旋转台2和载置于旋转台2上的基板的温度下降。
另外,在本实施方式中,加热器8设在真空容器1外部,但只要能向第1分离气体供给部41、42供给被加热的第1分离气体和第2分离气体,就并不限于设置在真空容器1外部的情况,也能采用收纳在真空容器1内部的设计。
此外,在本实施方式中,加热器8与第1分离气体供应部41、42相应而设置有两处,但只要能向第1分离气体供给部41、42供给被加热的第1分离气体和第2分离气体,也可以合起来只设置一处。
在本实施方式中,第1反应气体可以使用例如BTBAS(双叔丁基氨基硅烷)气体。此外,第2反应气体可以使用例如O3(臭氧)气体。此外,第1分离气体可以使用例如N2(氮)气体。其中,第1分离气体不限于N2气体,可以使用Ar等惰性气体,还不限于惰性气体,也可以使用氢气等,只要是不对成膜处理造成影响的气体,对于气体种类并不特别限定。
如图2~图4B所示,顶板11的下表面具有三个区域,即,第1下表面部(第1下表面区域)45,其是与旋转台2上表面间隔距离H1的面;第2下表面部(第2下表面区域)45a,其是与旋转台2上表面间隔距离H2的面;及第3下表面部(第3下表面区域)44,其形成在第1下表面部45和第2下表面部45a之间,是与旋转台2的上表面间隔距离H3的面。此外,在第1下表面部45和第2下表面部45a上具有与各区域旋转中心相邻的突出部53,以及与芯部21相应的旋转中心侧部5。
第1下表面部45、第2下表面部45a及第3下表面部44为包含第1反应气体供给部31、第2反应气体供给部32及第1分离气体供给部41在内的顶板11的下表面的区域。另外,第3下表面部44被第1分离气体供给部41一分为二。
此外,如图2~图4B所示,顶板11下表面上的第1下表面部45、第2下表面部45a及两个第3下表面部44这四个区域与旋转台2之间,分别形成第1空间P1、第2空间P2及两个第3空间D。
如图4A、图4B所示,顶板11的第1下表面部45是包含第1反应气体供应部31在内的顶板11的下表面的区域。如图4A、图4B所示,第2下表面区域45a是包含第2反应气体供应部32在内的顶板11的下表面的区域。如图4A、图4B所示,第3下表面部44是包含第1分离气体供应部41、42在内的顶板11的下表面的区域。此外,具有扇形形状的第3下表面部44在旋转台2顺时针方向、逆时针方向上,从第1分离气体供给部41、42的中心轴线到两缘的距离被设定得相同。
此时,可以将顶板11的第3下表面部44的形状设定为:在第1分离气体供给部41、42的沿旋转台2的旋转方向上游侧,越靠旋转台2周缘的部位宽度越大。这是因为,随着旋转台2的旋转,越靠近旋转台2周缘的部位处,从旋转方向上游侧流向第3下表面部44的气体流速越快。在本实施方式中,以直径为300mm的晶圆W为被处理基板,则第3下表面44的周向长度(与旋转台2成同心圆的圆弧长度),在距离旋转中心140mm的突出部53附近的部位为例如146mm,在凹部24(基板载置部)最外侧的位置为例如502mm。另外,如图4A所示,如果在最外侧位置,位于第1分离气体供给部41(42)两端左右的顶板11的第3下表面部44的周向长度L来看,长度L为246mm。
如图1、图4A所示,包含第1反应气体供给部31在内的顶板11的第1下表面部45被设置为,距旋转台2为第1高度H1。如图1、图4A所示,包含第2反应气体供给部32在内的第2下表面部45a被设置为,距旋转台2为第2高度H2。如图4A所示,包含第1分离气体供给部41在内的第3下表面部44被设置为,距旋转台2为第3高度H3。第3高度H3低于第1高度H1和第2高度H2。此外,第1高度H1和第2高度H2的大小关系并不特别限定,可以是例如H1=H2。因此,在本实施方式中,可以是H3<H1=H2。
即,如图4A所示,第1分离气体供给部41的旋转方向两侧,存在距旋转台2的距离为第3高度H3的顶板11下表面的第3下表面部44,在第3下表面部44的旋转方向的两侧,存在高于第3下表面部44的第1下表面部45和第2下表面部45a。换言之,在第1分离气体供给部41的旋转方向的两侧存在第3空间D,在第3空间D的旋转方向两侧存在第1空间P1和第2空间P2。同样,在第1空间P1的相反侧和第2空间的相反侧之间,也存在第3空间D。
如图7所示,与第3空间D对应的顶板11的周缘部(真空容器1的外缘侧的部位)向旋转台2外端面以L形折曲,形成折曲部46。为了使顶板11能从容器主体12上拆下,在折曲部46的外周面和容器主体12之间留有些许间隙。该折曲部46和第3下表面部44一样,是以防止第1反应气体和第2反应气体进入、混合为目的而设置的,折曲部46内周面和旋转台2外端面的间隙,及折曲部46外周面和容器主体12的间隙尺寸被设定为与第3下表面部44距旋转台2表面的高度H3相同。即,在旋转台2表面侧区域,折曲部46内周面和真空容器1内周壁具有相同的功能。
另外,图3及图4A、图4B表示的是,在比第1下表面部45及第2下表面部45a低、比第1分离气体供给部41、42高的位置,水平剖切真空容器1的顶板11。
下面,对第3空间D的功能也就是分离第1空间P气氛和第2空间P2气氛的作用以及因基板不被分离气体冷却、基板温度不变从而能够形成均质的薄膜的作用进行说明。
第3下表面部44通过和第1分离气体供给部41组合,阻止第1反应气体和第2反应气体进入第3空间D,阻止第1反应气体和第2反应气体混合。即,第3空间D中,不仅阻止第2反应气体沿与旋转台2旋转方向相反方向的进入,还阻止第1反应气体顺着旋转台2的旋转方向的进入。所谓“阻止气体的进入”,是指从第1分离气体供给部41喷出的第1分离气体在第3空间D扩散,吹入与之相邻的第2下表面部45a的下侧空间,也就是第2空间P2,从而,来自与之相邻的第1空间P1和第2空间P2的气体就不会进入。此外,“气体无法进入”,并不仅指气体完全无法从相邻的第1空间P1和第2空间P2进入第3空间D的状态,还指多少进入一些,但两侧分别进入的第1反应气体和第2反应气体不会在第3空间D内混合的状态。只要能得到这样的状态,就可以确保第3空间D的功能,也就是将第1空间P1的气氛和第2空间P2气氛分离的作用。另外,晶圆上吸附的气体能通过第3空间D内,因此“气体的进入”中的气体是指处于气相的气体。
另外如图4A所示,顶板11的第3下表面部44距旋转台2的高度H3可以是例如约0.5mm~10mm,优选为约4mm。在这种情况下,旋转台2的转速例如设定为1rpm~500rpm。为了确保第3下表面部44的分离功能,可对应于旋转台2的转速的使用范围等,根据例如试验等,设置第3下表面部44的大小和第3下表面部44到旋转台2的高度H3。另外,第1分离气体不限于N2,也可使用Ar气体等惰性气体,第1分离气体也不限于惰性气体,也可以是氢气,只要是不响应成膜处理的气体,对于气体的种类并不特别限定。
此外,对于在第1分离气体供给部41(42)的两侧分别形成有狭窄空间的第3下表面部44而言,如在图8A、8B中以第1分离气体供给部41为代表所示的,在例如以直径为300mm的晶圆W为被处理基板的情况下,旋转台2旋转时,晶圆W的中心WO所经过的该第3下表面44上的部分沿旋转台2旋转方向的宽度尺寸优选为50mm以上。为了能有效地阻止反应气体从第3下表面部44的两侧进入到位于第3下表面部44下方的第3空间D(上下方向上的尺寸为比第1高度H1、第2高度H2小的第3高度H3的狭窄空间),在宽度尺寸L较小的情况下,优选与宽度尺寸L相应,减小作为第3下表面部44和旋转台2之间距离的第3高度H3。此外,在要将作为第3下表面部44和旋转台2之间距离的第3高度H3设定为某一尺寸时,则由于离旋转台2旋转中心越远,旋转台2的速度越大,因此,为了得到阻止反应气体的效果,离旋转中心越远,就将所要求的宽度尺寸L设定得越大。从这样的观点来考虑,旋转台2旋转时,晶圆W的中心WO所经过的第3下表面部44上的部分的宽度尺寸L小于50mm时,则需要使作为第3下表面部44和旋转台2之间的距离的第3高度H3相当小,这样,为了防止在旋转台2旋转时,旋转台2或晶圆W和第3下表面部44之间发生碰撞,需要想办法极力地限制旋转台2的振动。此外,旋转台2的转速越大,反应气体就越容易从第3下表面部44上游侧进入第3台面下表面部44下侧,因此,一旦宽度尺寸L小于50mm,就必须降低旋转台2的转速,从效率这点来看并非上策。因此,宽度尺寸L优选为50mm以上。但是,第3下表面部44的尺寸不限于上述尺寸,可根据所使用的工艺参数或晶圆尺寸进行调整。此外,作为狭窄空间的第3空间D,只要其高度足以使分离气体从第3空间D流动到第1(第2)空间P1(P2)即可,如上述说明所明示,狭窄空间(第3空间D)的高度(第3高度)H3除了可根据所使用的工艺参数或晶圆尺寸进行调整之外,还可以根据例如第3下表面部44的面积进行调整。
如图1所示,在第1下表面部45和第2下表面部45a处,顶板11的突出部53位于各区域的旋转中心侧和芯部21外周侧之间,是与旋转台2相对的区域。此外,如图7所示,在两个第3下表面部44处,顶板11的突出部53与各区域的旋转中心侧连续而与之一体形成,突出部53的下表面与第3下表面部44等高。但是,顶板11的突出部53和第3下表面部44未必要一体,也可以是分体的。
顶板11的旋转中心侧部5是位于突出部53旋转中心一侧的区域。在本实施方式中,旋转中心侧部5和突出部53的交界部分可以设置在例如以旋转中心为圆心,半径为140mm的圆周上。
如图1、图7所示,第2分离气体供给部51贯穿真空容器1的顶板11,与真空容器1的中心部相连。第2分离气体供给部51是向作为顶板11和芯部21之间的空间的中心部区域C供给第2分离气体的构件。对第2分离气体并无特别限定,可以使用例如N2气体。
供向中心部区域C的第2分离气体经由突出部53和旋转台2之间的狭小间隙50,被沿着旋转台2的基板载置部侧的表面向旋转台2周缘喷出。由于由突出部53围成的空间内被第2分离气体填满,因此,可以阻止第1反应气体和第2反应气体在第1空间P1和第2空间P2之间经旋转台2的中心部而混合。即,为了分离第1空间P1和第2空间P2的气氛,成膜装置中具有中心部区域C,该中心部区域C由旋转台2的旋转中心部和顶板11区划而成,该中心部区域C中沿着旋转台2的旋转方向形成有喷出口,该喷出口中供给有第2分离气体,并将第2分离气体向旋转台2表面喷出。另外,喷出口相当于突出部53和旋转台2间的狭小间隙50。
另一方面,在旋转台2及载置于旋转台2上的基板穿过用于分离第1反应气体和第2反应气体的第3空间D时,由于第3空间D的从旋转台2到第3下表面部44的高度小于第1空间P1的从旋转台2到第1下表面部45的高度及第2空间P2的从旋转台2到第2下表面部45a的高度,因此,与流经第1空间P1的第1反应气体和流经第2空间P2的第2反应气体相比,从第1分离气体供给部41或42喷出的第1分离气体易于直接吹到旋转台2和载置于旋转台2上的基板上。
因此,在利用后述的加热单元7将旋转台2及基板加热到规定温度的状态下,旋转台2旋转时,在旋转台2和基板穿过第1空间P1或第2空间P2之际,旋转台2和基板的温度基本上不变化,但是,当旋转台2和基板穿过第3空间D时,第1分离气体直接向它们喷出,导致它们暂时被冷却,旋转台2和基板的温度发生变化。由于第1反应气体和第2反应气体的吸附及反应依赖于基板的温度,因此,第1反应气体和第2反应气体的吸附及反应无法在整个基板上均匀进行,不能形成均质的薄膜。
在本发明中,通过使用加热器8,将第1分离气体加热到与被加热单元7加热的旋转台2及基板的温度相近的温度,并从第1分离气体供给部41、42供给被加热后的第1分离气体,从而在使旋转台2和基板穿过第3空间D时,即便直接喷出第1分离气体,旋转台2和基板也不会冷却,可以防止旋转台2及基板的温度变动。由此,第1反应气体及第2反应气体的吸附及反应可在整个基板上均匀进行,可形成均质的薄膜。
接下来,对收纳在真空容器1中的各部分中,位于旋转台2外周面侧和旋转台2下侧、且位于底面部14上侧的部分进行说明。即,对容器主体12和排气空间6进行说明。
如图7所示,容器主体12的内周壁在第3空间D处形成为与折曲部46外周面接近的垂直面。另一方面,在第3空间D以外的部位,如图1所示,所具有的构造为,例如在整个底面部14上,从与旋转台2外端面相对的部位起以纵剖面形状为矩形的方式剖切而向外侧挖空。该挖空部分就是排气空间6。
如图1及图3所示,排气空间6的底部设置有例如两个排气口61、62。排气口61、62分别经由排气管63与作为真空排气机构的例如共用的真空泵64相连。此外,排气口61和真空泵64之间,在排气管63上设置有压力调整机构65。压力调整机构65可以在每个排气口61、62上都设置,也可以设置共用的压力调整机构65。排气口61、62设置于俯视角度观察时的第3空间D的旋转方向两侧,以切实地起到分离第3空间D的作用,专门用于第1反应气体排气和第2反应气体排气。在本实施方式中,一侧的排气口61设置在第1反应气体供给部31和从旋转方向下游侧与第1反应气体供给部31相邻的第3空间D之间;另一侧的排气口62设置在第2反应气体供给部32和从旋转方向下游侧与第2反应气体供给部32相邻的第3空间D之间。
排气口的设置数量不限于2个,也可以例如在包含第1分离气体供给部42的第3空间D和从旋转方向下游侧与第3空间D相邻的第2反应气体供给部32之间再设置一个排气口,而设置3个排气口,或者还可以设置4个以上的排气口。在本例子中,可通过将排气口61、62设置在真空容器1底面部14上且比旋转台2低的位置上,以便从真空容器1内周壁和旋转台2周缘之间的间隙排气,但排气口61、62不限于设置在真空容器1的底面部14上,也可以设置在真空容器1的侧壁上。此外,在排气口61、62设在真空容器1侧壁上时,排气口61、62也可以设置在比旋转台2高的位置。由于这样设置排气口61、62,使得旋转台2上的气体向旋转台2外侧流动,因此,与从面向旋转台2的顶板面排气的情况相比,本实施例从抑制微粒卷起的观点来看更为有利。
接下来,对收纳在真空容器1的各部分中,位于旋转台2下侧的直到真空容器1的底面部14的部分进行说明。即,对加热单元(加热部)7、盖板构件71、底面部14、第3分离气体供给部72、第4分离气体供给部73进行说明。
如图1及图5所示,加热单元7设置在旋转台2和真空容器1的底面部14之间的空间。加热单元7是经旋转台2将旋转台2上的晶圆加热到由制程程序决定的温度的部件。加热单元7除了可设置在旋转台2下侧,也可设置在旋转台2的上侧,还可以设置在上下两侧。此外,加热单元7不限于使用电阻发热体,也可以使用红外线灯。另外,加热单元7的下半部分上,可以设置有用于将加热单元7产生的热中的向下产生的热向上反射而提升热效率的反射镜(反射板)。
被加热单元7加热的旋转台2的温度可由埋入真空容器底面部14的热电偶测量出来。通过热电偶测量的温度的值被送至控制部100,由控制部100进行控制,由加热单元7将旋转台2的温度保持在规定温度。
设置盖板构件71是用于在旋转台2周缘侧和下侧区划旋转台2下侧空间和排气空间6。盖板构件71被形成为围绕整个加热单元7整周。盖板构件71上缘向外侧折曲而形成为凸缘状,缩小折曲面和旋转台2下表面之间的间隙,从而可防止第1反应气体、第2反应气体进入盖板71内周侧而混合。
在比配置有加热单元7的空间更靠旋转中心侧的部位上,底面部14以相隔较小间隙的方式与旋转台2的下表面的中心部附近及芯部21靠近配置。底面部14上,在贯穿底面部14的旋转部22的通孔处,通孔的内周面和旋转部22间的间隙较小。此外,通孔与壳体20连通。
第3分离气体供给部72设置在壳体20上。第3分离气体供给部72是用于将第3分离气体供给到狭窄空间内的构件。对第3分离气体并无特别限定,可使用例如N2气体。
在真空容器1的底面部14上,第4分离气体供给部73设置在加热单元7下侧位置的沿旋转方向的多个位置。第4分离气体供给部73是用于向配置加热单元7的空间内供给第4分离气体的构件。对第4分离气体并无特别限定,可以使用例如N2气体。
在图9中以箭头表示第3分离气体和第4分离气体的流向,如图9所示,通过设置第3分离气体供给部72和第4分离气体供给部73,向从壳体20内到加热单元7配置空间的空间供给例如N2气体,N2气体被从旋转台2和盖板构件71之间的间隙通过排气空间6由排气口61、62排出。由此,能阻止第1反应气体和第2反应气体从第1空间P1和第2空间P2中的一个空间经由旋转台2下方流向另一个空间,因此,第3分离气体具有用作分离气体的功能。此外,由于可以阻止第1反应气体和第2反应气体从第1空间P1和第2空间P2进入到旋转台2下方的配置加热单元7的空间内,所以,第4分离气体还具有防止第1反应气体和第2反应气体被加热单元7吸附的作用。
接下来,对设在真空容器1外部的部分和用于向该设置在外部的部分进行输送的部分进行说明。
如图2、图3及图10所示,真空容器1的侧壁上形成有用于在外部的输送臂10和旋转台2之间交接晶圆的输送口15,输送口15由未图示的闸阀来开闭。旋转台2的用作基板载置部的凹部24在输送口15的位置,和输送臂10之间交接晶圆W,因此,在旋转台2下侧与交接位置相应的部位上,设置有贯穿凹部24、用于从晶圆背面将其举起的移动用升降销16这样的升降机构。
此外,如图1、图3所示,本实施方式的成膜装置设置有用于控制装置整体动作的由计算机构成的控制部100。如图11所示,控制部100中设置有:工艺控制器100a,其具有CPU,用于控制成膜装置的各部分;用户接口部100b;及存储部100c。
用户接口部100b具有:键盘,其用于工程管理者管理成膜装置而进行命令的输入操作;显示器,其用于可视化显示成膜装置的工作状况;等。
存储部100c内存储有用于在工艺控制器100a的控制下进行成膜装置实施的各种处理的控制程序(软件)和处理条件数据等制程程序。此外,可根据需要,根据来自用户接口部100b的指示等,从存储部100c读出任意的制程程序,使工艺控制器100a实施该制程程序,由此,在工艺控制器100a的控制下,以成膜装置实施想要的处理。此外,作为控制程序和处理条件数据等制程程序,可以将存储在可由计算机读取的程序存储媒介(例如硬盘、光盘、磁光盘、存储卡、软盘等)中的数据在工艺控制器100a中进行安装并加以利用,或是,经由例如专用线缆从其它装置实时传送而加以在线利用。
接下来,使用图10、图12及图13对使用本实施方式的成膜装置的成膜方法进行说明。
图12是用于说明使用本实施方式成膜装置的成膜方法的顺序的工序图。此外,图13是用于说明用于本实施方式的成膜装置的成膜方法的图,是表示第1反应气体、第2反应气体和第1分离气体流动状态的图。图13与图3相同,表示在比第1下表面部45和第2下表面部45a低、比第1分离气体供给部41、42高的位置水平剖切真空容器1的顶板11。
如图12所示,本实施方式的成膜方法包括:载置工序,在该工序中,在真空容器内的旋转台上载置基板;旋转工序,使旋转台旋转;成膜工序,从旋转台下侧对其进行加热,从第1反应气体供给部和第2反应气体供给部分别供给第1反应气体和第2反应气体,从第1分离气体供给部供给被加热过的第1分离气体,使基板随着旋转台2的旋转移动,反复进行向基板表面供给第1反应气体、停止第1反应气体供给、向基板供给第2反应气体、停止第2反应气体供给,由此形成薄膜;搬出工序,在该工序中,停止第1反应气体、第2反应气体及第1分离气体的供给,停止基板的加热,停止各分离气体、各保护气体的供给,停止旋转台的旋转,通过输送臂搬出基板。
首先进行载置工序。如图12的步骤S11所示,载置工序是在真空容器内的旋转台上载置基板的工序。
具体而言,如图10所示,打开闸阀,通过输送臂10经输送口15从外部向旋转台2的凹部24交接晶圆W。如图10所示,该交接是在凹部24停在对着输送口15的位置时,通过升降销16经凹部24底面的通孔从真空容器底部侧的升降来进行的。间歇地使旋转台旋转,进行这样的晶圆W的交接,在旋转台2的五个凹部24内分别载置晶圆W。
接下来,进行旋转工序,如图12的步骤S12所示,旋转工序是使旋转台2旋转的工序。
接下来,进行成膜工序。如图12的步骤S13~步骤S17所示,成膜工序包括:从第2分离气体供给部51、第3分离气体供给部72及第4分离气体供给部73分别供给第2分离气体、第3分离气体及第4分离气体的工序(S13);通过加热单元加热基板的工序(S14);从第1分离气体供给部供给被加热过的第1分离气体的工序(S15);从第1反应气体供给部31和第2反应气体供给部32分别供给第1反应气体和第2反应气体的工序(S16);使基板随着旋转台2的旋转移动,反复进行向基板表面供给第1反应气体、停止第1反应气体供给、向基板供给第2反应气体、停止第2反应气体供给,由此来形成薄膜的工序(S17)。
首先,通过真空泵64将真空容器1内抽成预先设定的压力,并从第2分离气体供给部51、第3分离气体供给部72及第4分离气体供给部73分别供给第2分离气体、第3分离气体及第4分离气体(S13)。
接下来,通过加热单元加热基板W(S14)。在本工序中,在将晶圆W载置于旋转台2上后,通过加热单元7加热到300℃。但也可以进行预先以加热单元7将旋转台2加热到例如300℃,然后将晶圆W载置于旋转台2来加热晶圆W的工序。
接下来,从第1分离气体供给部供给被加热过的第1分离气体(S15)。供给以加热器8加热过的第1分离气体,而使基板W的温度稳定于设定温度,通过温度传感器对此进行确认。在此情况下,可通过从旋转台2下侧以辐射温度计确认的方法进行确认。
接下来,从第1反应气体供给部31及第2反应气体供给部32分别供给第1反应气体及第2反应气体(S16)。使第1反应气体供给部31和第2反应气体供给部32分别喷出BTBAS气体和O3气体。此时,可一边以温度传感器进行计测,一边使基板W温度稳定于设定温度。此外,也可一边从旋转台2下侧以辐射温度计进行计测,一边使基板W温度稳定于设定温度。
另外,S13、S14、S15、S16并不限于按该顺序进行,也可以改变顺序开始,还可以同时开始。例如,还可以以如下顺序进行:即,在使第1反应气体供给部31及第2反应气体供给部32分别喷出BTBAS气体和O3气体的同时,从第1分离气体供给部41、42喷出作为第1分离气体的N2气体。
这样,通过进行步骤S13~S16的工序,使基板W随着旋转台2的旋转移动,反复进行向基板表面供给第1反应气体、停止第1反应气体供给、向基板供给第2反应气体、停止第2反应气体供给的步骤,从而形成薄膜(S17)。
通过旋转台2的旋转,晶圆W交替穿过设有第1反应气体供给部31的第1空间P1和设有第2反应气体供给部32的第2空间P2,因此,晶圆W先吸附BTBAS气体,再吸附O3气体,BTBAS分子被氧化而形成一层或多层氧化硅分子层,从而依次层叠氧化硅分子层,形成规定膜厚的硅氧化膜。
此时,还从第2分离气体供给部51供给作为分离气体的N2气体,从而,从中心部区域C起,也就是说从突出部53和旋转台2中心部之间起,沿着旋转台2表面喷出N2气体。在本例中,在配置有第1反应气体供给部31及第2反应气体供给部32的第1下表面部45及第2下表面部45a的下侧,在沿着该下侧空间的真空容器1的内周壁上,如上所述那样,该内周壁挖空而空间变大,而排气口61、62位于该变大的空间的下侧,由此,与第3下表面部44下侧的狭窄空间和所述中心部区域C的压力相比,第1下表面部45和第2下表面部45a下侧空间的压力更低。该“与第3下表面部44下侧的空间和所述中心部区域C的压力相比,第1下表面部45和第2下表面部45a下侧空间的压力更低”,是因为第3下表面部44下侧的狭窄空间是以通过第3高度H3来维持配置有第1(第2)反应气体供给部31(32)的空间或第1(第2)空间P1(P2)和狭窄的空间之间的压力差的方式而被形成的。
从各部位喷出气体时的气体流动状态被示意性地表示在图13中。O3气体被从第2反应气体供给部32向下喷出,吹到旋转台2表面(载置在凹部24内的晶圆W的表面、未载置晶圆W的凹部24表面以及旋转台2上除凹部24以外的表面)上,被沿着旋转台2的表面吹向旋转台2旋转方向上游侧时,该O3气体被自旋转台2旋转方向上游侧流来的N2气体推回,穿过旋转台2的周缘和真空容器11内周壁之间的间隙,流入排气空间6,由排气口62排出。
此外,O3气体被从第2反应气体供给部32向下喷出、吹到旋转台2表面上、并被沿着旋转台2的表面吹向旋转台2旋转方向下游侧时,该O3气体受到从中心部区域C喷出的N2气体的流动和排气口62的吸引作用,向着该排气口62流动,但是,其一部分也会向着与下游侧相邻的第3空间D流动,流入扇形的第3下表面部44下方侧。此外,在包含各气体流量等在内的运转时的工艺参数中,该第3下表面部44的高度和沿旋转方向的长度被设定为可防止气体进入第3下表面部44下侧的尺寸,因此,在图4B中也有所示,O3气体基本流不到扇形的第3下表面部44下方侧,或者,即便有少量流到第3下表面部44下方侧,其也不会到达第1分离气体供给部41附近,而是被从第1分离气体供给部41喷出的N2气体推回旋转方向上游侧,也就是推回第2空间P2侧,并和从中心部区域C喷出的N2气体一起,穿过旋转台2周缘和真空容器11内周壁之间的间隙,流入排气空间6,被从排气口62排出。
此外,BTBAS气体从第1反应气体供给部31吹向下侧,沿着旋转台2表面分别向旋转方向上游侧和下游侧流动,该BTBAS气体完全不会进入与其旋转方向上游侧、下游侧相邻的扇形的第3下表面部44下侧,或者即便进入也会被推向第1空间P1侧,并和从中心部区域C喷出的N2气体一起,经排气空间6由排气口61排出。即,各第3空间D中,虽阻止在气氛中流动的作为反应气体的BTBAS气体或O3气体进入其中,但是,允许吸附在晶圆上的气体分子直接穿过分离区域,也就是直接穿过扇形的第3下表面部44下侧,以供成膜。
此外,即便第1空间P1的BTBAS气体和第2空间P2的O3气体要进入中心部区域C内,也会如图9、图13所示,从中心部区域C向旋转台2的周缘喷出第2分离气体,因此,BTBAS气体和O3气体被第2分离气体阻止而无法进入中心部区域C,或者,即使有少量进入中心部区域C,也会被退回,所以,能阻止BTBAS气体和O3气体穿过该中心部区域C而流入第2空间P2和第1空间P1。
此外,第3空间D中,顶板11的扇形周缘部向下折曲,折曲部46和旋转台2外端面之间的间隙像已说明的那样较窄,实质上能阻止气体的通过,可阻止第1空间P1的BTBAS气体(第2空间P2的O3气体)经旋转台2外侧流入第2空间(第1空间P1)。因此,通过两个第3空间D将第1空间P1的气氛和第2空间P2的气氛完全隔开,BTBAS气体由排气口61排气,O3气体由排气口62排气。由此,第1反应气体BTBAS气体和第2反应气体O3气体在整个气氛中,不会在晶圆上混合。另外,在本例中,作为第2分离气体的N2气体从旋转台2下侧供给,因此,流入排气空间6的气体钻过旋转台2的下侧,完全没有例如作为第1反应气体的BTBAS气体流入作为第2反应气体的O3气体的供给区域的可能。
在成膜处理后,进行搬出工序。如图12的步骤S18~步骤S20所示,搬出工序包括:停止供给第1反应气体、第2反应气体及第1分离气体的工序(S18);停止加热基板,停止供给第2分离气体、第3分离气体及第4分离气体,停止旋转台2的旋转的工序(19);通过输送臂10经输送口15搬出基板的工序(S20)。
在这里,如果记载一组处理参数的例子,则可以是:在以直径300mm的晶圆W为被处理基板的情况下,旋转台2的转速为例如1rpm~500rpm,工艺压力为例如1067Pa(8Torr),晶圆W的加热温度为例如350℃,BTBAS气体的流量和O3气体流量分别为例如100sccm和10000sccm,从分离气体喷嘴41、42送出的N2气体的流量为例如20000sccm,从真空容器1中心部的第2分离气体供给部51送出的N2气体的流量为例如5000sccm。此外,对一片晶圆所供给反应气体的次数,也就是晶圆穿过第1空间P1和第2空间P2的次数根据目标膜厚改变,所经过的多次例如为600次。
根据本实施方式,沿旋转台2的旋转方向配置多片晶圆W,使旋转台2旋转,使旋转台2依次穿过第1空间P1和第2空间P2,从而进行所谓ALD(或MLD),因此,可高效地进行成膜处理。此外,在旋转方向上,在第1空间P1和第2空间P2之间设置有具有低顶面的第3空间D,并从被旋转台2的旋转中心部和真空容器1区划出来的中心部区域C向旋转台2的周缘喷出分离气体,使反应气体和向第3空间D两侧扩散的分离气体、从中心部区域喷出的分离气体一起,经由旋转台2周缘和真空容器1内周壁间的间隙排出,因此,可以防止两反应气体的混合,由此,可进行良好的成膜处理,并能完全防止或极力抑制在旋转台2上生成反应生成物,并能抑制产生微粒。另外,也可以采用在旋转台2上载置一个晶圆W的结构。
可使用的处理气体,除上述例子外,可以举出DCS(二氯硅烷)、HCD(六氯乙硅烷)、TMA(三甲基铝)、3DMAS(三(二甲基氨基)硅烷)、TEMAZ【(四乙基甲基氨基)锆】、TEMAH【(四乙基甲基氨基)铪】、Sr(THD)2【(双四甲基甲基庚二酮酸)锶】、Ti(MPD)(THD)2【(甲基庚二酮双四甲基庚二酮酸)钛】、单氨基硅烷等。
至此,根据本实施方式的成膜装置,不仅效率高,还能防止多种气体在基板上混合,从而可进行良好的处理,基板亦不会被分离气体冷却,因而,可以形成均质的薄膜。
另外,所示的是在本实施方式的成膜装置中使用两种反应气体的例子,但本发明并不限于使用两种反应气体,也可以适用于将三种以上的反应气体依次供给到基板上的情况。例如,在以第1反应气体、第2反应气体和第3反应气体这3种气体用作反应气体的情况下,可以以第1反应气体供给部、第1分离气体供给部、第2反应气体供给部、第1分离气体供给部、第3反应气体供给部、第1分离气体供给部的顺序,沿真空容器1的周向配置各气体供给部,可以将它们配置为形成包含各气体供给部的顶板11的下表面的区域。
(第1实施方式的第1变形例)
接下来,参照图14,对第1实施方式的第1变形例的成膜装置进行说明。
图14是用于说明本变形例的成膜装置的图,是示意性地表示加热器的构造的图。但是,在下文中,对于先前说明过的部分标注相同的附图标记,并省略它们的说明(以下的变形例、实施例也是如此)。
本变形例的成膜装置在对第1分离气体和第2分离气体进行加热的加热器的加热方式采用高频感应加热这一点,和第1实施方式的成膜装置不同。
第1实施方式中加热器的加热方式是使用电热管或碳素加热器的电阻加热方式,参照图14来看,与之不同,本变形例中,加热器8a的加热方式是高频感应加热。
如图14所示,加热器8a具有:发热器81a、加热管82a、热敏开关83a、温度传感器84a、接头85a、外部电源86a、框体87a。
发热器81a是高频感应加热方式的线圈。本变形例中,对发热器8a并不特别限定,其可以使用由铜线构成的线圈。
加热管82a是管道,其设置在呈螺旋状围绕发热器81a的具有导电性和导热性的金属中。加热管82a是在其内部流有第1分离气体的状态下,被发热器81a感应加热,和第1分离气体进行热交换,以加热第1分离气体的构件。加热管82a并不特别限定,可以使用例如蜂窝构造的SUS不锈钢等。
热敏开关83a、温度传感器84a和外部电源86a是根据温度传感器84a所测得的温度和预设温度的差值,来切换热敏开关83a的开(ON)和关(OFF)状态,在开状态下从外部电源86a对发热器81a通电进行感应加热的构件。此外,外部电源86a是交流电源。
通过使用这样的加热器8a,可加热第1分离气体,能防止在从第1分离气体供给部进行供给时,使旋转台2和载置于旋转台2上的基板的温度下降。
另外,在变形例中,加热器8a设在真空容器1的外部,但只要能向第1分离气体供给部41、42供给被加热的第1分离气体和第2分离气体,就不限于设置在真空容器1外部的情况,也能采用收纳在真空容器1内部的设计。
此外,在本变形例中,加热器8a与第1分离气体供应部41、42相应而设置有两处,但只要能向第1分离气体供给部41、42供给被加热的第1分离气体和第2分离气体,也可以合起来只设置一处。
(第1实施方式的第2变形例)
接下来,参照图15,对第1实施方式的第2变形例的成膜装置进行说明。
图15是示意性地表示本变形例的成膜装置的纵剖视图。
本变形例的成膜装置中,以辐射温度计测量旋转台的温度,在这一点上,本变形例和第1实施方式的成膜装置不同。
第1实施方式中,通过在旋转台附近,在真空容器内埋入与旋转台分开设置的热电偶来测量旋转台的温度,参照图15来看,与之不同,本变形例使用辐射温度计91来测量旋转台2的温度。
真空容器1的底面部14上,设置有透明的窗92,从而可在真空容器1下侧接收从真空容器1内的旋转台2产生的红外线。此外,在加热单元7的与设在底面部14上的窗92相对应的位置上,设置有允许从旋转台2产生的红外线穿过的通孔93。此外,在真空容器1的外部,在与窗92对应的位置上,设置有接收旋转台2产生的红外线来测量温度的辐射温度计91。
通过使用辐射温度计91测量旋转台2的温度,与使用热电偶测量旋转台2的温度相比,能更准确地测量温度变化。因此,可以准确地监视将加热过的第1分离气体在第3下表面部44处吹给旋转台2时的温度,可以有效地增强利用加热过的第1分离气体来防止旋转台2温度下降的效果。
此外,可将由辐射温度计91测量的旋转台2的温度数据送入控制部100,由控制部100控制加热器8的输出状况。由此,可以进行控制,向旋转台2喷出第1分离气体,却完全不使旋转台2温度发生变动,因此,可以进一步增强使用加热过的第1分离气体以防止旋转台2温度下降的效果。
(第1实施方式的第3变形例)
接下来,参照图16,对第1实施方式的第3变形例的成膜装置进行说明。
图16是示意性地表示本变形例的成膜装置的纵剖视图。
本变形例的成膜装置中,旋转台由石英构成,在这一点上,本变形例与第1实施方式的第2变形例的成膜装置不同。
第1实施方式的第2变形例中,旋转台由碳构成,参照图16来看,与此不同,本变形例中,旋转台2由石英构成。
真空容器1的底面部14设有窗92,在加热单元7上设有通孔93,在真空容器1的外部设置有辐射温度计91,这一点上,本变形例与第1实施方式的第2变形例相同。
但是,在本变形例中,旋转台2由石英构成。由于石英允许红外线穿透,因此,通过使用辐射温度计,可以从旋转台2的下侧直接测量基板的温度。因而,可以准确地监视将加热过的第1分离气体在第3下表面部44处吹给旋转台2时基板的温度,可以增强利用加热过的第1分离气体来防止旋转台2温度下降的效果。
此外,可将由辐射温度计91测得的基板的温度数据送入控制部100,由控制部100控制加热器8的输出状况。由此,可以进行控制,向基板喷出第1分离气体,却完全不使基板温度发生变动,因此,可以进一步增强利用加热过的第1分离气体来防止基板温度下降的效果。
另外,在本变形例中,如果旋转台2的材质不会使以基板为代表的真空容器1内的各构件受到污染,其材质就不特别限于石英,可以使用红外线可透过的其他材质。
(第1实施方式的第4变形例)
接下来,参照图17,对第1实施方式的第4变形例的成膜装置进行说明。
图17是用于说明本变形例的成膜装置的图,是表示第3下表面部的顶板形状的其它例子的纵剖视图。
本变形例的成膜装置中,第3空间D的顶板11内部,沿旋转台2径向形成有第1分离气体的流通腔47,在这一点上,本变形例与第1实施方式的成膜装置不同。
第1实施方式中,在与第1分离气体供给部对应的部分上形成有槽,使得第3下表面部配置在第1分离气体供给部的两侧,参照图17来看,与之不同,本变形例中,在第3空间D的真空容器1的顶板11内部,沿旋转台2的径向形成有第1分离气体的流通腔47,在流通腔47的底部沿纵长方向开设有多个气体喷出孔40。
因此,在流通腔47以外,没有必要再设置第1分离气体供给部,不仅可得到与第1实施方式相同的效果,还可减少构件数量。
(第1实施方式的第5变形例)
接下来,参照图18A~图18C,对第1实施方式的第5变形例的成膜装置进行说明。
图18A~图18C是用于说明本变形例的成膜装置的图,是表示第3下表面部的顶板下表面形状的其它例子的纵剖视图。
本变形例的成膜装置中,第3空间D的第3下表面部为曲面,在这点上,本变形例和第1实施方式的成膜装置不同。
在第1实施方式中,第1分离气体供给部两侧的第3下表面部是平面,与之不同,参照图18A~18C来看,本变形例中,第1分离气体供给部41两侧的第3下表面部44为曲面。
只要第3下表面部44能分离第1反应气体和第2反应气体,就不限于第1实施方式那样的平面的情况,例如可以是图18A所示的凹面、图18B所示的凸面或图18C所示的波浪形状。例如,在图18A所示的凹面的情况下,可以降低第3下表面部44的和第1下表面部45或第2下表面部45a相邻的端部处,从旋转台2到第3下表面部44的高度,因此,可以高效地阻止第1反应气体和第2反应气体进入第3下表面部44。此外,例如在图18B所示的凸面的情况下,在与凸面顶点相对应的第3下表面部44处,从旋转台2到第3下表面部44的高度可以降低,因此,可以高效地阻止第1反应气体和第2反应气体进入第3下表面部44。另外,例如在图18C所示的波浪形状的情况下,由于设置了多个图18B所示的凸面的顶点,因此相应地,可以高效地阻止第1反应气体和第2反应气体进入第3下表面部44。
另外,第3下表面部44是顶板11的下表面,但也可以是将独立于顶板11的其它构件的下表面作成上述形状并安装在顶板11上的结构。
(第1实施方式的第6变形例)
接下来,参照图19A~图19G,对第1实施方式的第6变形例的成膜装置进行说明。
图19A~图19C是用于说明本变形例的成膜装置的图,是表示第1反应气体供给部的气体喷出孔的形状的其它例子的仰视图。此外,图19D~图19G是用于说明第1实施方式的第6变形例的成膜装置的图,是第3下表面部的形状的其它例子的仰视图。另外,图19A~19C中,图示第3下表面部44和喷出孔33的配置位置。
本变形例的成膜装置中,形成于第1分离气体供给部的喷出孔并不是以直线状从旋转台2的周缘部配置到旋转中心,在这一点上,本变形例和第1实施方式的成膜装置不同。
在第1实施方式中,形成于第1分离气体供给部的喷出孔33以排列为直线状的方式从旋转台的周缘配置到旋转中心,与此不同,参照图19A~19C来看,本变形例中,形成于第1分离气体供给部的喷出孔33并非以排列为直线状的方式从旋转台2的周缘配置到旋转中心。
喷出孔33只要能均匀地对基板供给第1分离气体,就并不限于要像第1实施方式那样以排列为直线状的方式从旋转台2的周缘配置到旋转中心,而可以以以下方式配置。
如图19A所示,由相对于旋转台2径向倾斜的呈矩形形状的窄缝构成的多个喷出孔33,在旋转台2径向上隔有规定间隔配置。此外,如图19B所示,多个呈圆形形状的喷出孔33以波浪形配置。此外,如图19C所示,由多个呈圆弧形状的窄缝构成的喷出孔33以与旋转台2的旋转中心同心的方式配置。
此外,第3下表面部33也可以是中空的,还可以是向其中空的内部导入第1分离气体的结构。在这种情况下,可以如图19A、图19B、图19C所示的方式配置多个气体喷出孔33。
此外,在本变形例中,第3下表面部44为大致扇形的上表面形状,但是,第3下表面部44也可以具有如图19D所示的长方形或正方形的上表面形状。此外,第3下表面部44也可以如图19E所示那样,上表面整体为扇形,并具有弯曲为凹状的侧面44Sc。此外,第3下表面部44也可以如图19F所示上表面整体为扇形,并具有弯曲为凸状的侧面44Sv。此外,如图19G所示,也可以是第3下表面部44的靠旋转台2(图1)旋转方向上游侧的部分具有凹状的侧面44Sc,第3下表面部44的靠旋转台2(图1)旋转方向下游侧的部分具有平面状的侧面44Sf。另外,从图19D到图19G,虚线是表示在第3下表面部44上形成的槽部43(图4A、图4B)。在这种情况下,收纳在槽部43中的第1分离气体供给部41、42(图2)从真空容器1的中央部,例如从突出部53(图1)伸出。
通过这样配置喷出孔33,能够在第3下表面部44处更为均匀地供给第1分离气体,因此,可以更有效地阻止第1反应气体和第2反应气体进入第3下表面部44。
(第1实施方式的第7变形例)
接下来,参照图20,对第1实施方式的第7变形例的成膜装置进行说明。
图20是示意性地表示本变形例的成膜装置的结构的俯剖视图。此外,图20是拆开真空容器1的顶板11的状态的俯视图。
本变形例的成膜装置中,第2反应气体供给部配置在比输送口更靠旋转台旋转方向上游侧,在这一点上,本变形例和第1实施方式的成膜装置不同。
第1实施方式中,第2反应气体供给部设置在比输送口更靠旋转台旋转方向下游侧,与此不同,参照图20来看,本变形例中,第2反应气体供给部32设置在比输送口15更靠旋转台2的旋转方向上游侧。
这样的布局,不仅可以更有效地分离第1反应气体和第2反应气体,还可以阻止第1分离气体进入第1下表面部45和第2下表面部45a,因此,在第1下表面部45和第2下表面部45a处,能更有效地向晶圆供给第1反应气体和第2反应气体。
(第1实施方式的第8变形例)
接下来,参照图21,对第1实施方式的第8变形例的成膜装置进行说明。
图21是示意性地表示本变形例的成膜装置的结构的俯剖视图。图21表示的是在比第1下表面部45和第2下表面部45a低且比第1分离气体供给部41、42高的位置处,水平地剖切真空容器1的顶板11。
本变形例的成膜装置中,将第3下表面部沿周向分隔为两部分,并在其间设置第1分离气体供给部,在这一点上,本变形例与第1实施方式的成膜装置不同。
第1实施方式中,整个第3下表面部这部分,从旋转台到顶板下表面的高度相等,与此不同,参照图21来看,本变形例具有:第3下表面部44a,其含有第1分离气体供给部41、42,且距旋转台2的高度比第3高度H3高;第3下表面部44b,其与第3下表面部44a相邻,且距旋转台的高度为第3高度H3。
通过设置这样的区域,不仅可高效地分离第1反应气体和第2反应气体,还能阻止第1分离气体进入第1下表面部45和第2下表面部45a,因此,在第1下表面部45和第2下表面部45a处,能更有效地向晶圆供给第1反应气体和第2反应气体。
另外,对于第3下表面部44b和第1分离气体供给部41、42的距离、及第3下表面部44b的形状和大小,可考虑第1反应气体、第2反应气体及第1分离气体的喷出流量等,进行最佳地设计。
(第1实施方式的第9变形例)
接下来,参照图22,对第1实施方式的第9变形例的成膜装置进行说明。
图22是示意性地表示本变形例的成膜装置的结构的立体图。
本变形例的成膜装置中,具有第6下表面部和第7下表面部来代替第2下表面部,在这点上,本变形例和第1实施方式的成膜装置不同。
第1实施方式中,整个第2下表面部这部分,从旋转台到真空容器顶板下表面的高度相同,与此不同,参照图22来看,本变形例中具有第6下表面部45b和第7下表面部45a来代替第2下表面部,该第6下表面部45b包含第2反应气体供给部32,且距旋转台2的高度低于第2高度H2;第7下表面部45a与第6下表面部45b相邻,且距旋转台2的高度为第2高度H2。
因此,第6下表面部45b上,除了所设置的是第2反应气体供给部32而非第1分离气体供给部41或42之外,其它完全与第3下表面部44相同。
这样,通过设置第6下表面部45b,不仅能高效地分离第1反应气体和第2反应气体,还能阻止第1分离气体和第1反应气体进入第6下表面部45b,因此,可在第6下表面部45b处,更有效地向晶圆供给第2反应气体。
另外,第6下表面部45b也可以是采用和图19A~图19C所示例子的中空的第3下表面部44相同的结构。
此外,在本变形例中,具有第6下表面部和第7下表面部来代替第2下表面部,但还可以具有如下第4下表面部和第5下表面部来代替第1下表面部,第4下表面部包含第1反应气体供给部,且距旋转台的高度低于第1高度H1;第5下表面部与第4下表面部相邻,且距旋转台的高度为第1高度H1。通过设置第4下表面部,不仅可以高效地分离第1反应气体和第2反应气体,还能阻止第1分离气体和第1反应气体进入第4下表面部,因此,在第4下表面部处,可高效地向晶圆供给第1反应气体。
(第1实施方式的第10变形例)
接下来,参照图23,对第1实施方式的第10变形例的成膜装置进行说明。
图23是示意性地表示本变形例的成膜装置的结构的俯剖视图。此外,图23是拆开真空容器顶板的状态下的俯视图。
本变形例的成膜装置中,第1反应气体供给部和第2反应气体供给部两侧还设置有低的顶部,在这一点上,本变形例与第1实施方式的成膜装置不同。
第1实施方式中,设置有比第1下表面部和第2下表面部低的作为顶面的第3下表面部,用以在第1分离气体供给部的两侧形成狭窄的空间,与此不同,参照图23来看,本变形例的结构为,第1反应气体供给部31和第2反应气体供给部32的两侧还设置有和第3下表面部同样作为较低的顶面的第3下表面部44c~44f,这些第3下表面部44c~44f相连续。
如图23所示,在设置有第1分离气体供给部41(42)、第1反应气体供给部31及第2反应气体供给部32的区域以外,在整个与旋转台2相对的区域上都设置有第3下表面部。从其他的角度来看,该结构为,第1分离气体供给部41(42)两侧的第3下表面部44扩张到第1及第2反应气体供给部31、32处的例子。在这种情况下,第1分离气体向第1分离气体供给部41(42)的两侧扩散,第1反应气体和第2反应气体分别向第1反应气体供给部31和第2反应气体供给部32两侧扩散,相邻的两种气体在第3下表面部44c~44f的下侧,也就是在第3下表面部44c~44f和旋转台2之间的空间(狭窄的空间)内汇合,这些气体从位于第1(第2)反应气体供给部31(32)和第1分离气体供给部42(41)之间的排气口61(62)排出,这样,本变形例可以得到和第1实施方式相同的效果。
另外,第3下表面部44c~44f也可以通过组合图19A~图19C中任意一幅图所示的中空的下表面部来构成,可以不使用第1反应气体供给部31、第2反应气体供给部32、第1分离气体供给部41、42,而将第1反应气体、第2反应气体和分离气体从对应的中空的第3下表面部44c~44f的喷出孔33分别喷出气体。
(第1实施方式的第11变形例)
接下来,参照图24,对第1实施方式的第11变形例的成膜装置进行说明。
图24是示意性地表示本变形例的成膜装置的结构的纵剖视图。
本变形例的成膜装置中,在真空容器的中心部、真空容器底面部和顶板之间设有支柱来防止反应气体混合,在这点上,本变形例和第1实施方式的成膜装置不同。
第1实施方式中,旋转台的转轴设在真空容器的中心部,在旋转台的中心部和顶板之间的空间吹送分离气体进行吹扫,与此不同,参照图24来看,本变形例中,在真空容器1的中央区域的上表面上形成有凹部80a,在真空容器1的中心部,在收纳空间80的底部和凹部80a的上表面之间设置有支柱81。
如图24所示,真空容器1的中央区域的底面部14向下侧突出,形成驱动部的收纳空间80,并在真空容器1的中央区域的上表面上形成凹部80a,在真空容器1的中心部,在收纳空间80的底部和凹部80a的上表面之间插入支柱81,由此,防止来自第1反应气体供给部31的BTBAS气体和来自第2反应气体供给部32的O3气体通过中心部混合。
对于使旋转台2旋转的机构,设置有围绕支柱81的旋转套筒82,环状的旋转台2沿着该旋转套筒82设置。此外,收纳空间80设有受电机83驱动的驱动齿轮部84。此外,通过该驱动齿轮部84,使旋转套筒82旋转。附图标记86、87和88表示轴承部。此外,收纳空间80的底部连接有供给第3分离气体的第3分离气体供给部72,在凹部80a的侧面和旋转套筒82上端部之间的空间,在真空容器1的上部连接有用于供给第2分离气体的第2分离气体供给部51。图24中,在凹部80a的侧面和旋转套筒82上端部之间的空间,表示有左右两个用于供给第2分离气体的开口部51a,但是,优选以不使BTBAS气体和O3气体经由旋转套筒82附近区域混合为目的来设计开口部51a(第2分离气体供给部51)的配置数量。
此外,在图24的实施方式中,从旋转台2侧来看,凹部80a的侧面和旋转套筒82的上端部之间的空间,相当于分离气体喷出孔,并通过该分离气体喷出孔、旋转套筒82和支柱81构成位于真空容器1中心部的中心部区域C。
(第2实施方式)
接下来,参照图25,说明第2实施方式的基板处理装置。
图25是示意性地表示本实施方式的基板处理装置的结构的俯视图。
如图25所示,本实施方式的基板处理装置具有:输送容器101、大气输送室102、输送臂103、加载互锁真空室(对应于技术方案中所述的预备真空室)104、105、真空输送室106、输送臂107、成膜装置108、109。
输送容器101是收纳例如25片晶圆、被称为前开式晶圆传送盒的密闭型输送容器。大气输送室102是配置输送臂103的大气输送室。加载互锁真空室104、105能在大气气氛和真空气氛间进行切换。真空输送室106是配置有双叉的输送臂107的真空输送室。成膜装置108、109是第1实施方式的成膜装置。
输送容器101被从外部输送到设置有未图示的载置台的搬入搬出口。在设置了输送容器101后,通过未图示的开闭机构打开大气输送室102的盖,通过输送臂103从输送容器101内取出晶圆。从输送容器101内取出的晶圆被搬入加载互锁真空室104或105内。接下来,将加载互锁真空室104或105内部从大气气氛切换到真空气氛。接下来,通过输送臂107将晶圆从加载互锁真空室104或105取出,搬入成膜装置108或109。然后,在成膜装置108或109中,通过上述的成膜方法,进行成膜处理。
本实施方式中,可以通过具有多个例如两个第1实施方式的用于处理例如五片晶圆的成膜装置,高效地进行ALD或MLD的成膜处理。
此外,在本实施方式中,由于使用第1实施方式的成膜装置108、109,所以,在成膜装置中,可通过使用加热器,加热第1分离气体,在从第1分离气体供给部向基板供给第1分离气体时,基板不会被分离气体冷却,基板温度不会变动,可以形成均质的薄膜。
至此,记述了本发明的优选的实施方式,但本发明并不限于上述特定的实施方式,本发明可以在权利要求书中记载的本发明主旨范围内进行各种变形、变更。
本申请要求向日本特许厅申请的2008-227027号申请的优先权,参照引述其全部内容。

Claims (32)

1.一种成膜装置,在真空容器内,将基板依次暴露于包含第1反应气体和第2反应气体的至少两种反应气体中,进行成膜,其特征在于,具有:
真空容器,其具有顶板;
旋转台,其以能绕旋转中心旋转的方式设置在所述真空容器内,具有用于载置基板的基板载置部;
第1反应气体供给部和第2反应气体供给部,其分别在以所述旋转中心为圆心的第1角度位置和第2角度位置处沿径向延伸,以供给所述第1反应气体和所述第2反应气体;
第1分离气体供给部,其在位于所述第1角度位置和所述第2角度位置之间的第3角度位置处沿径向延伸,以供给用以将所述第1反应气体和所述第2反应气体分离开的第1分离气体;
所述顶板的第1下表面区域,其是包含所述第1角度位置的区域的至少一部分,距所述旋转台的高度为第1高度,从而在所述旋转台上侧形成具有所述第1高度的第1空间;
所述顶板的第2下表面区域,其是包含所述第2角度位置的区域的至少一部分,距所述旋转台的高度为第2高度,从而在所述旋转台上侧形成具有所述第2高度的第2空间;
所述顶板的第3下表面区域,其是包含所述第3角度位置的区域的至少一部分,距所述旋转台的高度为低于所述第1高度和所述第2高度的第3高度,从而在所述旋转台上侧形成具有所述第3高度的第3空间;
加热装置,其对所述第1分离气体进行加热;
第2分离气体供给部,其在包含所述旋转中心的中心部区域,供给用以将所述第1反应气体和所述第2反应气体分离开的第2分离气体;
排气口,其将所述第1反应气体和第2反应气体,连同向所述第3空间两侧喷出的第1分离气体和从所述中心部区域喷出的所述第2分离气体一起排出。
2.根据权利要求1所述的成膜装置,其特征在于,所述加热装置设置在所述真空容器的外部。
3.根据权利要求1所述的成膜装置,其特征在于,所述加热装置通过电阻加热或高频感应加热进行加热。
4.根据权利要求1所述的成膜装置,其特征在于,具有设置在所述旋转台下侧的辐射温度计。
5.根据权利要求1所述的成膜装置,其特征在于,所述旋转台是透明体。
6.根据权利要求5所述的成膜装置,其特征在于,所述旋转台由石英制成。
7.根据权利要求1所述的成膜装置,其特征在于,还具有:第3分离气体供给部,其向所述旋转台的所述旋转中心的下侧,供给将所述第1反应气体和所述第2反应气体分离开的第3分离气体。
8.根据权利要求1所述的成膜装置,其特征在于,还具有:第4分离气体供给部,其向所述真空容器的底面和所述旋转台之间,供给将所述第1反应气体和第2反应气体分离开的第4分离气体。
9.根据权利要求1所述的成膜装置,其特征在于,还具有:支柱,其在所述真空容器的中心部,设置在所述顶板的下表面和所述真空容器的底面之间;旋转套筒,其围住所述支柱,能绕铅直轴线旋转,
所述旋转套筒是所述旋转台的旋转轴。
10.根据权利要求1所述的成膜装置,其特征在于,还具有:所述顶板的第4下表面区域,其是包含所述第1角度位置的区域的至少一部分,距所述旋转台的高度低于所述第1高度,
所述第4下表面区域与所述第1下表面区域相邻。
11.根据权利要求1所述的成膜装置,其特征在于,还具有:所述顶板的第5下表面区域,其是包含所述第2角度位置的区域的至少一部分,距所述旋转台的高度低于所述第2高度,
所述第5下表面区域与所述第2下表面区域相邻。
12.根据权利要求1所述的成膜装置,其特征在于,载置于所述基板载置部上的所述基板的表面和所述旋转台的表面等高,或者与所述旋转台的所述表面相比,所述基板的所述表面位置较低。
13.根据权利要求1所述的成膜装置,其特征在于,用于向所述第1反应气体供给部、所述第2反应气体供给部和所述第1分离气体供给部分别导入气体的气体导入件设置在所述旋转台的旋转中心侧或周缘侧。
14.根据权利要求1所述的成膜装置,其特征在于,在所述第1分离气体供给部上,相对于所述旋转中心沿着径向配置有喷出孔。
15.根据权利要求14所述的成膜装置,其特征在于,所述第3下表面区域包含两个区域,这两个区域之间隔着所述喷出孔列,
当所述旋转台旋转时,在所述两个区域中每个区域上,所述基板载置部上所载置的所述基板中心通过的部分,在所述旋转台的旋转方向上的宽度尺寸为50mm以上。
16.根据权利要求1所述的成膜装置,其特征在于,所述第3下表面区域的所述顶板下表面为平面或曲面。
17.根据权利要求1所述的成膜装置,其特征在于,还具有:第1排气口和第2排气口,其均位于所述真空容器的底面的周缘,且分别设置在所述第1空间和所述第2空间的附近。
18.根据权利要求1所述的成膜装置,其特征在于,所述第3空间的压力高于所述第1空间的压力和第2空间的压力。
19.根据权利要求1所述的成膜装置,其特征在于,在所述旋转台下,还具有加热所述旋转台的加热部。
20.根据权利要求1所述的成膜装置,其特征在于,还具有:能通过闸阀打开、关闭的输送口,该输送口设置在所述真空容器的侧表面,以便从所述真空容器外搬入所述基板或向所述真空容器外搬出所述基板。
21.根据权利要求1所述的成膜装置,其特征在于,所述第3下表面区域具有从所述旋转台的所述中心起,越靠周缘,在周向上的宽度越大的形状。
22.根据权利要求1所述的成膜装置,其特征在于,所述第3下表面区域俯视来看具有扇形形状。
23.一种基板处理装置,其特征在于,具有:
权利要求1所述的成膜装置;
真空输送室,其与所述成膜装置保持气密性连接,在内部设有基板输送部;
预备真空室,其与所述真空输送室保持气密性连接,其气氛可在真空气氛和大气气氛间进行切换。
24.一种成膜方法,在真空容器中,在将基板依次暴露于包含第1反应气体和第2反应气体的至少两种反应气体中而在基板上形成薄膜时,载置所述基板的旋转台上侧具有供给第1分离气体的区域,该第1分离气体将所述第1反应气体和第2反应气体分离开,使该供给第1分离气体的区域的从所述旋转台上表面到所述真空容器顶板的高度低于用于供给所述第1反应气体和所述第2反应气体的区域的从所述旋转台上表面到所述真空容器顶板的高度,由此在所述旋转台上表面和所述顶板之间形成相对高度较低的空间,供给所述第1分离气体,
在所述顶板下表面,在所述旋转台的旋转中心上侧的中心部区域,供给将所述第1反应气体和所述第2反应气体分离开的第2分离气体,
通过将所述第1反应气体和所述第2反应气体连同所述第1分离气体和所述第2分离气体一起排气,在分离所述第1反应气体和所述第2反应气体的情况下进行供给的同时形成薄膜,其特征在于,具有:
载置工序,在该工序中,在所述真空容器内的所述旋转台上载置基板;
旋转工序,在该工序中,使所述旋转台旋转;
成膜工序,在该工序中,从所述旋转台下侧对其进行加热,分别从设置在所述旋转台上的不同位置上的所述第1反应气体供给部和所述第2反应气体供给部,供给所述第1反应气体和所述第2反应气体,从设置在所述第1反应气体供给部和所述第2反应气体供给部之间的第1分离气体供给部,供给被加热过的所述第1分离气体,使所述基板随所述旋转台的旋转而移动,反复进行向所述基板表面供给所述第1反应气体、停止供给所述第1反应气体、向所述基板供给所述第2反应气体和停止供给所述第2反应气体,由此形成薄膜。
25.根据权利要求24所述的成膜方法,其特征在于,边使用辐射温度计,从所述旋转台下侧测量所述旋转台或所述基板的温度,边形成薄膜。
26.根据权利要求24所述的成膜方法,其特征在于,所述旋转台由透明体制成。
27.根据权利要求26所述的成膜方法,其特征在于,所述旋转台由石英制成。
28.根据权利要求24所述的成膜方法,其特征在于,使所述旋转台上侧的供给所述第1反应气体的局部区域,也就是包含所述第1反应气体供给部的部分的从所述旋转台上表面到所述真空容器的所述顶板的高度,低于供给所述第1反应气体的区域以外的部分的从所述旋转台上表面到所述真空容器的所述顶板的高度,
由此供给所述第1反应气体。
29.根据权利要求24所述的成膜方法,其特征在于,使所述旋转台上侧的供给所述第2反应气体的局部区域,也就是包含所述第2反应气体供给部的部分的从所述旋转台上表面到所述真空容器的所述顶板的高度,低于供给所述第2反应气体的区域以外的部分的从所述旋转台上表面到所述真空容器的所述顶板的高度,
由此供给所述第2反应气体。
30.根据权利要求24所述的成膜方法,其特征在于,在所述旋转台上设有凹部,使由所述旋转台载置的所述基板的表面和所述旋转台表面等高或低于所述旋转台的所述表面。
31.根据权利要求24所述的成膜方法,其特征在于,边加热所述旋转台,边进行所述成膜工序。
32.根据权利要求24所述的成膜方法,其特征在于,使所述真空容器边通过专门排出所述第1反应气体的第1排气口和专门排出第2反应气体的第2排气口进行排气,边进行所述成膜工序。
CN2009101721251A 2008-09-04 2009-09-04 将多种反应气体依次向基板供给的成膜装置 Active CN101665926B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008227027 2008-09-04
JP2008227027A JP5276387B2 (ja) 2008-09-04 2008-09-04 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2008-227027 2008-09-04

Publications (2)

Publication Number Publication Date
CN101665926A true CN101665926A (zh) 2010-03-10
CN101665926B CN101665926B (zh) 2013-04-24

Family

ID=41725832

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101721251A Active CN101665926B (zh) 2008-09-04 2009-09-04 将多种反应气体依次向基板供给的成膜装置

Country Status (5)

Country Link
US (1) US20100055317A1 (zh)
JP (1) JP5276387B2 (zh)
KR (1) KR101536779B1 (zh)
CN (1) CN101665926B (zh)
TW (1) TWI456681B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103526183A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜装置和成膜方法
CN106733856A (zh) * 2017-01-18 2017-05-31 浙江台兴机电科技有限公司 一种电机外壳清洗系统及其清洗机
CN112513324A (zh) * 2018-08-09 2021-03-16 东京毅力科创株式会社 成膜装置和成膜方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
EP2441860A1 (en) * 2010-10-13 2012-04-18 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition on a surface
JP5589878B2 (ja) * 2011-02-09 2014-09-17 東京エレクトロン株式会社 成膜装置
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101395206B1 (ko) * 2012-11-30 2014-05-15 세메스 주식회사 기판 처리 장치 및 방법
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP2014192372A (ja) * 2013-03-27 2014-10-06 Tokyo Electron Ltd マイクロ波加熱処理装置
JP6524753B2 (ja) * 2015-03-30 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
JP6760833B2 (ja) * 2016-12-20 2020-09-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP7446650B1 (ja) 2023-06-05 2024-03-11 株式会社シー・ヴィ・リサーチ 原子層堆積装置及び原子層堆積方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001254181A (ja) * 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法
US20060086461A1 (en) * 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus and etching method
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854266A (en) * 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US5186756A (en) * 1990-01-29 1993-02-16 At&T Bell Laboratories MOCVD method and apparatus
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
JP3024940B2 (ja) * 1992-06-24 2000-03-27 アネルバ株式会社 基板処理方法及びcvd処理方法
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
JP2762022B2 (ja) * 1993-08-25 1998-06-04 日本エー・エス・エム株式会社 Cvd装置に使用する回転機構、およびこの機構を利用して被処理体の温度を制御する方法
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
WO1999045167A1 (en) * 1998-03-06 1999-09-10 Asm America, Inc. Method of depositing silicon with high step coverage
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
JP2002134425A (ja) * 2000-10-30 2002-05-10 Shin Etsu Handotai Co Ltd 気相成長方法
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
JP3616366B2 (ja) * 2001-10-23 2005-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2003156858A (ja) * 2001-11-22 2003-05-30 Tokyo Electron Ltd 基板処理方法及び基板処理システム
JP4059694B2 (ja) * 2002-03-27 2008-03-12 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP4150356B2 (ja) * 2004-05-13 2008-09-17 東京エレクトロン株式会社 成膜装置及び成膜方法
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US20080257260A9 (en) * 2005-09-30 2008-10-23 Applied Materials, Inc. Batch wafer handling system
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US20080029123A1 (en) * 2006-08-02 2008-02-07 Brian Aegerter Sonic and chemical wafer processor
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001254181A (ja) * 2000-01-06 2001-09-18 Tokyo Electron Ltd 成膜装置および成膜方法
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device
US20060086461A1 (en) * 2004-10-21 2006-04-27 Nec Electronics Corporation Etching apparatus and etching method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103526183A (zh) * 2012-07-06 2014-01-22 东京毅力科创株式会社 成膜装置和成膜方法
CN106733856A (zh) * 2017-01-18 2017-05-31 浙江台兴机电科技有限公司 一种电机外壳清洗系统及其清洗机
CN112513324A (zh) * 2018-08-09 2021-03-16 东京毅力科创株式会社 成膜装置和成膜方法

Also Published As

Publication number Publication date
JP2010059498A (ja) 2010-03-18
US20100055317A1 (en) 2010-03-04
CN101665926B (zh) 2013-04-24
JP5276387B2 (ja) 2013-08-28
KR20100028495A (ko) 2010-03-12
KR101536779B1 (ko) 2015-07-14
TWI456681B (zh) 2014-10-11
TW201027654A (en) 2010-07-16

Similar Documents

Publication Publication Date Title
CN101665926B (zh) 将多种反应气体依次向基板供给的成膜装置
CN101665924B (zh) 成膜装置及基板处理装置
CN101748387B (zh) 成膜装置
CN101660142B (zh) 成膜装置和成膜方法
CN101665921B (zh) 成膜装置、基板处理装置及成膜方法
CN101689500B (zh) 成膜装置和成膜方法
CN101665927B (zh) 成膜装置、基板处理装置及成膜方法
CN101748391B (zh) 成膜装置和成膜方法
CN101665922B (zh) 成膜装置、基板处理装置、成膜方法
CN101772833B (zh) 气体供给装置
CN101826446B (zh) 成膜装置和成膜方法
JP5458179B2 (ja) ガス噴射装置及びこれを用いた基板処理装置
KR101240110B1 (ko) 가스 공급 장치, 처리 장치, 처리 방법, 및 기억 매체
CN101736318A (zh) 成膜装置
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
TWI532874B (zh) 成膜裝置
CN102094187A (zh) 成膜装置
CN102433547B (zh) 成膜装置和成膜方法
CN101748388A (zh) 成膜装置
JP6573559B2 (ja) 気化原料供給装置及びこれを用いた基板処理装置
CN102134709A (zh) 成膜装置
CN101660140A (zh) 成膜装置及成膜方法、基板处理装置
CN102383110A (zh) 成膜装置
CN101665925A (zh) 成膜装置、成膜方法
CN102134710A (zh) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant