CN101587856B - 改善刻蚀工艺中围墙与刻面问题的方法 - Google Patents

改善刻蚀工艺中围墙与刻面问题的方法 Download PDF

Info

Publication number
CN101587856B
CN101587856B CN2008100376832A CN200810037683A CN101587856B CN 101587856 B CN101587856 B CN 101587856B CN 2008100376832 A CN2008100376832 A CN 2008100376832A CN 200810037683 A CN200810037683 A CN 200810037683A CN 101587856 B CN101587856 B CN 101587856B
Authority
CN
China
Prior art keywords
layer
mist
etching
mentioned
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008100376832A
Other languages
English (en)
Other versions
CN101587856A (zh
Inventor
周鸣
沈满华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN2008100376832A priority Critical patent/CN101587856B/zh
Publication of CN101587856A publication Critical patent/CN101587856A/zh
Application granted granted Critical
Publication of CN101587856B publication Critical patent/CN101587856B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明揭露了一种改善刻蚀工艺中围墙与刻面问题的方法,其利用对介质层与中间停蚀层具有高选择性的刻蚀气体进行沟槽的刻蚀,避免了中间停蚀层于刻蚀过程中的损坏,降低了围墙或刻面的出现几率。具体包括如下步骤:于芯片叠层中刻蚀出一通孔,其中该通孔止于阻挡层;在通孔中淀积栓塞层;利用第一混合气体刻蚀部分第二介质层;利用第二混合气体继续刻蚀上述部分第二介质层直至中间停蚀层,其中第一混合气体与第二混合气体对第二介质层与中间停蚀层的刻蚀具有高选择性。

Description

改善刻蚀工艺中围墙与刻面问题的方法
技术领域
本发明涉及一种刻蚀方法,特别是涉及一种于带有中间停蚀层的介质层的刻蚀工艺中改善围墙与刻面问题的方法。
背景技术
半导体制造工艺中,于带有中间停蚀层(middle stop layer)的介质层的刻蚀工艺中,抗反射涂层(ARC)过高或过低往往会引起围墙(Fence)与刻面(Facet)问题。如图1与图2所示,其中,图1为带有中间停蚀层的芯片叠层。其包括阻挡层10、介质层20、中间停蚀层30、介质层40以及掩膜层50,而掩膜层上涂覆有光刻胶60。继续参考图2,其为现有技术中介质层中通孔与沟槽结构的刻蚀流程图,其中由于保留的抗反射涂层过高,而引起了围墙问题。如图,首先,在图1中光刻胶的保护下,对掩膜层50进行刻蚀,而后去除光刻胶,对介质层40、中间停蚀层30以及介质层20进行刻蚀,芯片叠层中形成通孔V(如图2中(1)所示);接着,淀积抗反射涂层70(如图2中(2)所示);而后去除部分抗反射涂层70,形成如图2中(3)所示的栓塞71;而后去除掩膜层50、部分介质层40以及栓塞71,形成所需的通孔和沟槽结构(如图2中(4)所示)。而介质层20、40主要由硅的氧化物(如SiO2)构成,中间停蚀层30往往由硅的碳化物或者氮化物构成(如SiC或者Si3N4)。现有工艺的工艺参数如下:
-DARC:120mT/900/300/200Ar/50CF4/8O2/3O2 TG Edge/40s
-ME:120mT/900/300/200Ar/80CF4/2O2/3O2 TG Edge/128s
-OE:30mT/300/300/10C4F8/300O2/12s
其中DARC为掩膜层刻蚀的工艺参数;ME和OE对应介质层的刻蚀工艺参数。
在现有工艺参数下,介质层以及中间停蚀层的刻蚀速率如下:介质层为3000埃/分钟,中间停蚀层为300埃/分钟,可见刻蚀过程很难真正的做到于中间停蚀层而停止,导致中间停蚀层被损坏,形成如图2中所示的围墙100。
既然保留的栓塞71过高,所得的通孔和沟槽结构具有围墙100,而不能满足要求。那么保留较低的栓塞72,如图3所示,其中前面的步骤与图2相同。在保留了较低的栓塞72的情况下(如图3中(3)所示),由于中间停蚀层30的损坏,形成了如图3中(4)所示的刻面200,同样不能满足要求。
故,如何解决刻蚀工艺中围墙与刻面的问题实为一重要课题。
发明内容
本发明的目的在于提供一种刻蚀方法,以改善刻蚀工艺中围墙与刻面的问题。
为此,本发明提供一种刻蚀方法,用于在一芯片叠层中刻蚀出通孔或沟槽结构,其中该芯片叠层包括阻挡层、位于阻挡层之上的第一介质层、第二介质层与位于两介质层之间的中间停蚀层,该刻蚀方法包括:于上述芯片叠层中刻蚀出一通孔,其中该通孔止于上述阻挡层;在上述通孔中淀积栓塞层;利用第一混合气体刻蚀部分第二介质层;利用第二混合气体继续刻蚀上述部分第二介质层直至中间停蚀层,其中上述第一混合气体与第二混合气体对上述第二介质层与中间停蚀层的刻蚀具有高选择性。
进一步的,上述第一混合气体与第二混合气体选择刻蚀上述第二介质层的同时,对上述中间停蚀层的刻蚀速率为0埃/分钟。
进一步的,上述第一混合气体与第二混合气体对上述第二介质层的刻蚀速率为2800埃/分钟。
进一步的,上述第一混合气体和第二混合气体包括氩气(Ar)、八氟环丁烷(C4F8)、氧气(O2)和一氧化碳(CO)。
进一步的,上述第一混合气体的比率为:
Ar为600标准毫升/分;
C4F8为13标准毫升/分;
O2为2.5标准毫升/分;
CO为150标准毫升/分。
进一步的,上述第二混合气体的比率为:
Ar为600标准毫升/分;
C4F8为13标准毫升/分;
O2为6标准毫升/分;
CO为150标准毫升/分。
综上所述,本发明所提供的刻蚀方法于介质层刻蚀过程中,选用对介质层与中间停蚀层具有高选择性的刻蚀气体进行沟槽的刻蚀。从而避免了中间停蚀层于刻蚀过程中的损坏,降低了由于中间停蚀层损坏所导致的围墙或刻面的出现几率。
附图说明
图1为一种带有中间停蚀层的芯片叠层的截面示意图;
图2为现有技术中介质层中一种通孔与沟槽结构的刻蚀流程图;
图3为现有技术中介质层中另一种通孔与沟槽结构的刻蚀流程图;
图4为本发明一实施例所提供的一种通孔与沟槽结构的刻蚀流程图。
具体实施方式
为使本发明的目的、特征更明显易懂,下面结合附图对本发明的具体实施方式作进一步的说明。
请参考图4,其为本发明一实施例所提供的一种通孔与沟槽结构的刻蚀流程图。其同样应用于如图1所示的芯片叠层结构中,该芯片叠层包括阻挡层10、位于阻挡层10之上的第一介质层20、第二介质层40与位于两介质层之间的中间停蚀层30。该刻蚀过程包括:
步骤(1):于芯片叠层中刻蚀出通孔V,其中该通孔止于阻挡层10;
步骤(2):在通孔V中淀积栓塞层73;
步骤(3):利用第一混合气体刻蚀部分第二介质层40;
步骤(4):利用第二混合气体继续刻蚀上述部分第二介质层40直至中间停蚀层30。
其中第一混合气体与第二混合气体对第一介质层20、第二介质层40与中间停蚀层30的刻蚀具有高选择性。如此便形成如图所示的通孔与沟槽结构S,其避免了先前技术中的围墙与刻面的形成,达到了沟槽的刻蚀要求。
其中上述栓塞层73的形成与先前技术相同,是通过淀积抗反射涂层(ARC)后进行刻蚀而形成的。以上方法应用于图3所示流程,同样具有避免围墙与刻面形成的效果。
以下通过具体的刻蚀工艺参数来加以说明,具体参数如下:
-DARC:120mT/900/300/200Ar/50CF4/8O2/3O2TG Edge/40s
-ME:100mT/1800-1200W/600Ar/13C4F8/2.5O2/150CO/150s
-OE:100mT/1800-1200W/600Ar/13C4F8/6O2/150CO/15s
其中DARC为掩膜层50刻蚀的工艺参数;ME和OE对应介质层的刻蚀工艺参数。以下对ME和OE的参数加以详细说明。
在步骤(3),利用第一混合气体刻蚀部分第二介质层40的过程中,该刻蚀工艺参数的含义为:
压力:100mT;
源功率:1800~1200W;
气体比率:Ar为600sccm,C4F8为13sccm,O2为2.5sccm,CO为150sccm,
其中sccm为气体流量单位,含义为标准状态毫升/分;
刻蚀时间:150s。
相应地,在步骤(4)中,利用第二混合气体继续刻蚀上述部分第二介质层40直至中间停蚀层30,刻蚀工艺参数的含义为:
压力:100mT;
源功率:1800~1200W;
气体比率:Ar为600sccm,C4F8为13sccm,O2为6ccm,CO为150sccm。
刻蚀时间:15s。
以上第一混合气体与第二混合气体对两介质层与中间停蚀层的刻蚀具有高选择性,其中对于由硅的氧化物所组成的介质层,其刻蚀速率为2800埃/分钟,而对于由硅的氮化物所组成的中间停蚀层,其刻蚀速率为0埃/分钟。
可见,本发明实施例所提供的刻蚀方法,利用对介质层与中间停蚀层具有高选择性的刻蚀气体进行沟槽的刻蚀,避免了中间停蚀层于刻蚀过程中的损坏,降低了由于中间停蚀层损坏所导致的围墙或刻面的出现几率。
以上仅为举例,并非用以限定本发明,本发明所保护的范围当以权利要求书为准。

Claims (4)

1.一种刻蚀方法,用于在一芯片叠层中刻蚀出通孔或沟槽结构,其中该芯片叠层包括阻挡层、位于阻挡层之上的第一介质层、第二介质层与位于两介质层之间的中间停蚀层,其特征是,该刻蚀方法包括:
于上述芯片叠层中刻蚀出一通孔,其中该通孔止于上述阻挡层;
在上述通孔中淀积栓塞层;
利用第一混合气体刻蚀部分第二介质层;
利用第二混合气体继续刻蚀上述部分第二介质层直至中间停蚀层,
其中上述第一混合气体与第二混合气体对上述第二介质层与中间停蚀层的刻蚀具有高选择性;
其中所述第一混合气体和第二混合气体包括氩气(Ar)、八氟环丁烷(C4F8)、氧气(O2)和一氧化碳(CO),所述第一混合气体的比率为:Ar为600标准毫升/分,C4F8为13标准毫升/分,O2为2.5标准毫升/分,CO为150标准毫升/分,所述第二混合气体的比率为:Ar为600标准毫升/分;C4F8为13标准毫升/分;O2为6标准毫升/分;CO为150标准毫升/分。
2.根据权利要求1所述的刻蚀方法,其特征是,其中上述第一混合气体与第二混合气体选择刻蚀上述第二介质层的同时,对上述中间停蚀层的刻蚀速率为0埃/分钟。
3.根据权利要求2所述的刻蚀方法,其特征是,其中上述第一混合气体与第二混合气体对上述第二介质层的刻蚀速率为2800埃/分钟。
4.根据权利要求1所述的刻蚀方法,其特征是,还包括:
去除部分上述栓塞层。
CN2008100376832A 2008-05-20 2008-05-20 改善刻蚀工艺中围墙与刻面问题的方法 Expired - Fee Related CN101587856B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2008100376832A CN101587856B (zh) 2008-05-20 2008-05-20 改善刻蚀工艺中围墙与刻面问题的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2008100376832A CN101587856B (zh) 2008-05-20 2008-05-20 改善刻蚀工艺中围墙与刻面问题的方法

Publications (2)

Publication Number Publication Date
CN101587856A CN101587856A (zh) 2009-11-25
CN101587856B true CN101587856B (zh) 2010-12-22

Family

ID=41372019

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008100376832A Expired - Fee Related CN101587856B (zh) 2008-05-20 2008-05-20 改善刻蚀工艺中围墙与刻面问题的方法

Country Status (1)

Country Link
CN (1) CN101587856B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106531685B (zh) * 2015-09-15 2019-07-23 无锡华润上华科技有限公司 层间介质层及其层内接触孔的刻蚀方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1420530A (zh) * 2001-11-21 2003-05-28 联华电子股份有限公司 一种氧掺杂硅碳化合物蚀刻停止层
JP2005159063A (ja) * 2003-11-27 2005-06-16 Renesas Technology Corp 半導体装置の製造方法
CN1661791A (zh) * 2004-02-25 2005-08-31 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN1677659A (zh) * 2004-03-30 2005-10-05 恩益禧电子股份有限公司 穿通电极、设有穿通电极的隔片及其制造方法
WO2007109464A3 (en) * 2006-03-22 2007-12-27 Applied Materials Inc Methods for etching a bottom anti-reflective coating layer in dual damascene application

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1420530A (zh) * 2001-11-21 2003-05-28 联华电子股份有限公司 一种氧掺杂硅碳化合物蚀刻停止层
JP2005159063A (ja) * 2003-11-27 2005-06-16 Renesas Technology Corp 半導体装置の製造方法
CN1661791A (zh) * 2004-02-25 2005-08-31 台湾积体电路制造股份有限公司 半导体装置及其制造方法
CN1677659A (zh) * 2004-03-30 2005-10-05 恩益禧电子股份有限公司 穿通电极、设有穿通电极的隔片及其制造方法
WO2007109464A3 (en) * 2006-03-22 2007-12-27 Applied Materials Inc Methods for etching a bottom anti-reflective coating layer in dual damascene application

Also Published As

Publication number Publication date
CN101587856A (zh) 2009-11-25

Similar Documents

Publication Publication Date Title
US9991116B1 (en) Method for forming high aspect ratio patterning structure
KR101691717B1 (ko) 다중 막층을 갖는 스페이서를 형성하기 위한 에칭 방법
US5672242A (en) High selectivity nitride to oxide etch process
WO2010042552A3 (en) Selective etching of silicon nitride
CN100388435C (zh) 形成半导体结构的方法以及半导体结构
CN101777491B (zh) 开启接触孔的方法
CN102478763A (zh) 光刻方法
CN103050434B (zh) 硅通孔的刻蚀方法
CN101587856B (zh) 改善刻蚀工艺中围墙与刻面问题的方法
JPH1197414A (ja) 酸化シリコン系絶縁膜のプラズマエッチング方法
CN101447426A (zh) 等离子体蚀刻方法
KR20020096678A (ko) 듀얼 다마신 배선 형성방법
CN102709167A (zh) 侧墙结构的制作方法
KR101037485B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20080233490A1 (en) Mask rework method
CN102412133B (zh) 一种rf ldmos栅极金属硅化物形成的工艺方法
JPH10135193A (ja) 感光膜のエッチング方法
CN101958277B (zh) 金属布线沟槽的形成方法
CN111799180B (zh) 半导体器件及其形成方法
GB2362028A (en) A silicon nitride sidewall spacer
CN1889246A (zh) Sti的填充方法
CN102082090A (zh) 自对准硅化物膜的蚀刻方法
US20020132478A1 (en) Method for selectively etching silicon and/or metal silicides
KR100728993B1 (ko) 반도체 소자의 제조방법
JPH1116886A (ja) エッチング方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101222

Termination date: 20190520