CN101546726B - 利用浅沟槽绝缘方法绝缘半导体器件的方法 - Google Patents

利用浅沟槽绝缘方法绝缘半导体器件的方法 Download PDF

Info

Publication number
CN101546726B
CN101546726B CN2009101284635A CN200910128463A CN101546726B CN 101546726 B CN101546726 B CN 101546726B CN 2009101284635 A CN2009101284635 A CN 2009101284635A CN 200910128463 A CN200910128463 A CN 200910128463A CN 101546726 B CN101546726 B CN 101546726B
Authority
CN
China
Prior art keywords
oxide layer
groove
substrate
layer
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009101284635A
Other languages
English (en)
Other versions
CN101546726A (zh
Inventor
安尚太
辛东善
宋锡杓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN101546726A publication Critical patent/CN101546726A/zh
Application granted granted Critical
Publication of CN101546726B publication Critical patent/CN101546726B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

本发明涉及制造绝缘半导体器件的方法。该方法包括下列步骤:形成一图案化的垫氮化层,以在衬底上打开至少一个绝缘区域;通过刻蚀裸露的衬底形成第一和第二沟槽;通过执行原子层沉积(ALD)方法沉积第一氧化层来填充第一沟槽;刻蚀第一氧化层填充到宽沟槽的部分;通过执行沉积方法沉积第二氧化层。

Description

利用浅沟槽绝缘方法绝缘半导体器件的方法
本申请是申请日为2004年6月9日,发明名称为“利用浅沟槽绝缘方法绝缘半导体器件的方法”的中国专利申请200410047922.4的分案申请。
技术领域
本发明涉及形成半导体器件的方法,尤其涉及用浅沟槽绝缘方法在半导体衬底上绝缘半导体器件的方法。
背景技术
众所周知,在制作半导体集成电路器件的过程中,器件绝缘技术一直用于诸如晶体管和电容器的单个器件的电绝缘。在该器件绝缘技术的各种各样的方法中,普遍采用硅局部氧化(LOCOS)方法和浅沟槽绝缘(STI)方法。
LOCOS方法在硅衬底的有源区形成基于氮化物层的掩膜图案,并用该掩膜图案作为掩膜热氧化硅衬底。虽然LOCOS方法是如上所述的简单氧化过程,但LOCOS方法是不利的,在热氧化期间,因为横向氧化,氧化层形成在大的区域内,并在氧化层和硅衬底间的界面上出现鸟嘴现象。尤其是,鸟嘴现象是导致栅极氧化层退化且有源区减少的原因。
因为这些缺点,限制了将LOCOS方法应用到高集成度的器件上。由于这一限制,更广泛地采用STI方法。特定地,该STI方法通过在衬底上形成浅沟槽然后将氧化层掩埋到该沟槽上形成器件绝缘区域。该STI方法的这一系列步骤使得有可能解决上述和LOCOS方法相关的问题。因此,将STI方法用于在高度集成器件,如,具有256兆字节的动态随机存储器件(DRAM)。
图1所示为采用传统STI方法在填充沟槽的氧化层沉积后得到的半导体器件的横截面图。
如图所示,垫氧化层11和氮化物层12形成在衬底10上,通过执行使用器件绝缘掩膜的刻蚀工艺形成沟槽。随后,氧化层13沉积到该沟槽上并进行化学机械抛光(CMP)处理。之后,去除氮化层12和垫氧化层11。
在上面的STI方法中,通常使用化学气相沉积(CVD)法沉积氧化层13。然而,在微沟槽间隙填充的情形中,氧化层13通过高密度等离子体(HDP)-CVD方法沉积。
典型地,半导体器件中的器件绝缘区域,即,场氧化区域被限定在一大的区域和一相对浅的区域内。尤其是,在有几个千兆字节的DRAM存储器件中,需要将一单元阵列区域中的器件绝缘区域限定在一浅的区域内,而在边缘电路区域内的器件绝缘区域必须限定在宽的区域内。
然而,在一千兆字节大小的DRAM存储器件中,该器件具有由大约0.25μm深小于0.1μm宽的限定的沟槽,如果使用HDP-CVD方法将氧化层填充到微沟槽,会在这一微沟槽内形成孔隙。图1示出上面解释的孔隙,用参考符号A指示。
在2001年7月6号公开的题目为“形成沟槽型半导体器件的绝缘层的方法”的韩国专利第2001-0058498号中,其全部内容在此引入作为参考,用于沟槽掩埋的氧化层通过执行原子层沉积(ALD)方法被沉积到沟槽中,该方法具有优异的台阶覆盖性质。
图2所示为另一传统方法横截面图,该方法将用于沟槽掩埋的氧化层沉积到沟槽中。
如图所示,一垫氧化层21和一氮化物层22形成在衬底上,使用器件绝缘掩膜执行刻蚀工艺形成沟槽。然后,第一氧化层23以这一厚度形成去填充微沟槽。这时,第一氧化层23采用ALD方法形成。第二氧化层24通过执行HDP-CVD方法被顺序沉积。这时,沉积第二氧化层24,直到完全填充该宽沟槽。
在ALD方法后顺序执行HDP-CVD方法的原因是因为HDP-CVD方法和ALD方法比较具有产量上的优点,ALD方法要求沟槽掩埋的沉积时间长。
然而,即使在这一传统方法中,因为由第一氧化层23的ALD沉积造成的宽沟槽在宽度上变窄,因此图2指示为B的孔隙在HDP-CVD方法进行中可能产生在该宽沟槽内。
发明内容
因此,本发明目的是提供使用能避免在微沟槽和宽沟槽产生孔隙的浅沟槽绝缘方法绝缘半导体器件的方法。
根据本发明的一个方面,提供了通过形成沟槽来绝缘衬底上器件的方法,包括下列步骤:形成一被组成图案的垫氮化物层图案,以在衬底上开启至少一个绝缘区域;通过刻蚀该裸露的衬底形成第一沟槽和第二沟槽;通过执行ALD方法沉积第一氧化层来填充该第一沟槽;刻蚀第一氧化层填充到宽沟槽的部分;通过执行沉积方法沉积第二氧化层。
本发明还涉及以下方面:
1、通过形成沟槽来绝缘衬底上器件的方法,包括下列步骤:形成一图案化的垫氮化层,以在衬底上打开至少一个绝缘区域;通过刻蚀裸露的衬底形成第一和第二沟槽;通过执行原子层沉积(ALD)方法沉积第一氧化层,以填充第一沟槽;刻蚀第一氧化层填充到第二沟槽的部分;通过执行沉积方法沉积第二氧化层。
2、如项目1所述的方法,其特征在于:沉积方法具有高于ALD方法的沉积速率,由此用第二氧化层完全填充第二沟槽。
3、如项目1所述的方法,其特征在于:进一步包括下列步骤:在沉积第一氧化层前,在衬底上形成刻蚀阻挡层。
4、如项目3所述的方法,其特征在于:刻蚀阻挡层是线性氮化层。
5、如项目3所述的方法,其特征在于:通过执行低压化学气相沉积(LP-CVD)方法形成刻蚀阻挡层,直至达到大约
Figure G2009101284635D00041
到大约的厚度。
6、如项目4所述的方法,其特征在于:通过执行LP-CVD方法形成刻蚀阻挡层,直至达到大约
Figure G2009101284635D00043
到大约
Figure G2009101284635D00044
的厚度。
7、如项目1所述的方法,其特征在于:在沉积第一氧化层之前,为了将第一氧化层的沉积厚度减至最小,通过热氧化工艺在衬底上形成第三氧化层。
8、如项目1所述的方法,其特征在于:在沉积第一氧化层的步骤,使用吡啶和氨之一作为催化剂来降低反应激活能级。
9、如项目1所述的方法,其特征在于:通过重复执行交替给反应室提供硅源气体和氧源气体的循环形成第一氧化层,所述硅源气体选自一SixCly组,这里表示硅原子比率的x在大约1到大约4之间,而表示氯化物原子比率的y在大约1到大约8之间。
10、如项目1所述的方法,其特征在于:沉积第一氧化层后,执行一热工艺来密化所述第一氧化层。
11、如项目2所述的方法,其特征在于:通过执行高密度等离子体(HDP)-CVD方法、气氛压力(AP)-CVD方法和分-气氛(SA)-CVD方法之一,沉积第二氧化层。
附图说明
通过下述优选实施例结合附图的描述,本发明的上述及其它目的与特征将会变得更加明显,其中:
图1所示为采用传统STI方法在填充沟槽的氧化层沉积后得到的半导体器件的横截面图;
图2所示为采用另一传统STI方法在填充沟槽的氧化层沉积后得到的半导体器件的横截面图;
图3A到3G所示为半导体器件的横截面图,用于描述本发明的一优选实施例的形成STI结构的方法。
具体实施方式
下面,参考附图详细地描述本发明的一优选实施例。
图3A到3G是半导体器件的横截面图,用于描述本发明的一优选实施例的形成STI结构的方法。
参见图3A,一垫氧化层31形成在衬底30上,厚度从约到约
Figure G2009101284635D00052
然后,一氮化物层32沉积在垫氧化层31上,厚度约从
Figure G2009101284635D00053
到约
Figure G2009101284635D00054
下面,通过执行使用器件绝缘掩膜的刻蚀工艺图案化(pattern)氮化物层32和垫氧化层31,这样得到图案化的氮化物层32A和图案化的垫氧化层31A。然后,衬底30的裸露部分通过干刻蚀法形成沟槽。同时,衬底30的裸露部分被刻蚀成具有约
Figure G2009101284635D00055
到约的厚度。如图3B所示,有形成在单元区域的微沟槽33A和形成在边缘电路区域的宽沟槽33B。
然后,通过执行ALD方法沉积第一氧化层34,以便第一氧化层34填充微沟槽33A。第一氧化层34以这样的厚度形成,该厚度大于设计规则要求的厚度的大约一半,这样第一氧化层34完全填充单元区域的微沟槽。
优选地,第一氧化层34的厚度是从约
Figure G2009101284635D00057
到约
Figure G2009101284635D00058
再者,通过重复交替给反应室提供硅源气体和诸如水(H2O)和过氧化氢(H2O2)的氧源气体的循环形成第一氧化层34,其中硅源气体选自诸如四氯化硅(SiCl4)和六氯化二硅(Si2C16)的一SixCly组。
在此,表示硅原子比率的下标x在大约1到大约4之间,而表示氯化物原子比率的下标y在大约1到大约8之间。特别是,第一氧化层34优选地在大约20℃到大约400℃之间的温度沉积。进而,有可能施加吡啶(C5H5N)和氨(NH3)之一作为催化剂来降低沉积第一氧化层34期间的反应激活能量。
为了密化的目的,优选的是对第一氧化层34采用热处理。该热处理通常在大约500℃到大约1200℃的温度下在选自一气体组的气氛中进行超过5分钟,该气体组由氢气(H2),氧气(O2),氮气(N2),臭氧(O3)和一氧化二氮(N2O)以及氢气(H2)和氧气(O2)的混合气组成。然而,这一优选实施例中的热处理采用在大于大约600℃的温度进行约超过5秒种的快速热处理(RTP)。
同时,为了消除由形成微沟槽33A和宽沟槽33B的刻蚀工艺引起的衬底30的损伤部分并改善界面特性,有可能在沉积第一氧化层34之前执行横向氧化工艺。也可以形成一线性氧化层和/或线性氮化层。这时,第一氧化层34被刻蚀时该线性氮化层作为刻蚀阻挡层。
参见图3D,在单元阵列区域中微沟槽33A形成在其中的区域被掩埋,而在边缘电路区域中宽沟槽33B形成在其中的区域打开着。然后,形成在这一打开区域的第一氧化层34通过采用湿法和/或干法刻蚀工艺被刻蚀,这样得到一图案化的第一氧化层34A。
参见图3E,通过执行使用硅烷作为(SiH4)反应气体的HDP-CVD方法,第二氧化层35形成在上面形成的结构的整个表面。第二氧化层35具有大于微沟槽33A和宽沟槽33B的每一深度的厚度。即,第二氧化层35的的厚度大于大约
Figure G2009101284635D00061
如果不使用HDP-CV氧化层,也可能采用未掺杂硅玻璃(USG)层,该层通过执行使用正硅酸乙酯(TEOS)为反应气体的气氛压力(AP)-CVD方法和分-气氛(SA)-CVD方法沉积。
参见图3F,使用图案化的氮化层32A作为CMP阻止层,对示于图3E的第二氧化层35和图案化的第一氧化层34A执行CMP工艺。CMP工艺平整第一氧化层34A和第二氧化层35,直到图案化的氮化层32A的顶表面被暴露,这样分别提供一平整的第一氧化层34B和平整的第二氧化层35A。
参见图3G,通过湿刻蚀工艺去除裸露的图案化的氮化层32A和图案化的垫氧化层31A,然后执行其它典型的后续工艺来完成器件绝缘工艺。
基于上述的优选实施例,通过阻止用于沟槽掩埋的第一和第二氧化层中孔隙的产生,有可能改善器件的可靠性。再者,沟槽深度的增加和宽度的减小导致器件集成规模上的改善。进而,使用通过不用等离子体的ALD方法形成在单元阵列区域中的绝缘层,即,第一氧化层,使得可能将对衬底的损伤减至最小,这样提高了刷新特性。
本申请包含了和韩国专利申请第KR2003-0098428号相关的主题,第KR2003-0098428号申请在2003年12月29日提交给韩国专利局,在此引入其全部内容作为参考。
虽然结合较佳实施例对本发明进行了描述,但显而易见的是,本领域的技术人员可以在不脱离下述权利要求所定义的本发明精神和范围的情况下,做出各种变化和修改。

Claims (9)

1.通过形成沟槽来绝缘衬底上器件的方法,包括下列步骤:
形成一图案化的垫氮化层,以在衬底上打开至少一个绝缘区域;
通过刻蚀裸露的衬底形成第一和第二沟槽;
通过执行原子层沉积(ALD)方法沉积第一氧化层,以填充第一沟槽和第二沟槽,其中使用吡啶催化剂来降低反应激活能级;
刻蚀第一氧化层填充到第二沟槽的整个部分;
通过执行具有高于ALD方法的沉积速率的沉积方法沉积第二氧化层,由此用第二氧化层完全填充第二沟槽,
其中所述第一沟槽的整个部分填充有第一氧化物层并且所述第二沟槽的整个部分填充有第二氧化物层。
2.如权利要求1所述的方法,其特征在于:进一步包括下列步骤:
在沉积第一氧化层前,在衬底上形成刻蚀阻挡层。
3.如权利要求2所述的方法,其特征在于:刻蚀阻挡层是线性氮化层。
4.如权利要求2所述的方法,其特征在于:通过执行低压化学气相沉积(LP-CVD)方法形成刻蚀阻挡层,直至达到大约到大约
Figure FSB00000630243400012
的厚度。
5.如权利要求3所述的方法,其特征在于:通过执行LP-CVD方法形成刻蚀阻挡层,直至达到大约
Figure FSB00000630243400013
到大约
Figure FSB00000630243400014
的厚度。
6.如权利要求1所述的方法,其特征在于:在沉积第一氧化层之前,为了将第一氧化层的沉积厚度减至最小,通过热氧化工艺在衬底上形成第三氧化层。
7.如权利要求1所述的方法,其特征在于:通过重复执行交替给反应室提供硅源气体和氧源气体的循环形成第一氧化层,所述硅源气体选自一SixCly组,这里表示硅原子比率的x在大约1到大约4之间,而表示氯化物原子比率的y在大约1到大约8之间。
8.如权利要求1所述的方法,其特征在于:沉积第一氧化层后,执行一热工艺来密化所述第一氧化层。
9.如权利要求1所述的方法,其特征在于:通过执行高密度等离子体(HDP)-CVD方法、气氛压力(AP)-CVD方法和分-气氛(SA)-CVD方法之一,沉积第二氧化层。
CN2009101284635A 2003-12-29 2004-06-09 利用浅沟槽绝缘方法绝缘半导体器件的方法 Expired - Fee Related CN101546726B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020030098428 2003-12-29
KR10-2003-0098428 2003-12-29
KR1020030098428A KR100545697B1 (ko) 2003-12-29 2003-12-29 반도체소자의 트렌치 소자분리 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100479224A Division CN1638086A (zh) 2003-12-29 2004-06-09 利用浅沟槽绝缘方法绝缘半导体器件的方法

Publications (2)

Publication Number Publication Date
CN101546726A CN101546726A (zh) 2009-09-30
CN101546726B true CN101546726B (zh) 2012-05-30

Family

ID=34698622

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009101284635A Expired - Fee Related CN101546726B (zh) 2003-12-29 2004-06-09 利用浅沟槽绝缘方法绝缘半导体器件的方法
CNA2004100479224A Pending CN1638086A (zh) 2003-12-29 2004-06-09 利用浅沟槽绝缘方法绝缘半导体器件的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2004100479224A Pending CN1638086A (zh) 2003-12-29 2004-06-09 利用浅沟槽绝缘方法绝缘半导体器件的方法

Country Status (3)

Country Link
US (1) US7166519B2 (zh)
KR (1) KR100545697B1 (zh)
CN (2) CN101546726B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8053327B2 (en) * 2006-12-21 2011-11-08 Globalfoundries Singapore Pte. Ltd. Method of manufacture of an integrated circuit system with self-aligned isolation structures
US8367515B2 (en) * 2008-10-06 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid shallow trench isolation for high-k metal gate device improvement
KR101491726B1 (ko) * 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
CN102994974A (zh) * 2011-09-09 2013-03-27 上海华虹Nec电子有限公司 一种厚氧化薄膜的制作方法
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10971391B2 (en) * 2018-06-13 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap fill
US11120997B2 (en) * 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010058498A (ko) * 1999-12-30 2001-07-06 박종섭 반도체 소자의 트렌치형 소자분리막 형성방법
KR100428805B1 (ko) * 2001-08-09 2004-04-28 삼성전자주식회사 트렌치 소자분리 구조체 및 그 형성 방법
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape

Also Published As

Publication number Publication date
KR100545697B1 (ko) 2006-01-24
KR20050067445A (ko) 2005-07-04
CN1638086A (zh) 2005-07-13
US20050142795A1 (en) 2005-06-30
US7166519B2 (en) 2007-01-23
CN101546726A (zh) 2009-09-30

Similar Documents

Publication Publication Date Title
CN101546726B (zh) 利用浅沟槽绝缘方法绝缘半导体器件的方法
US6383951B1 (en) Low dielectric constant material for integrated circuit fabrication
KR100621888B1 (ko) 소자 분리막 형성 방법 및 이를 이용 핀형 전계 효과트랜지스터의 제조방법
US8603892B2 (en) Method of manufacturing a semiconductor device
KR101831936B1 (ko) 박막 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US6949447B2 (en) Method for fabricating isolation layer in semiconductor device
KR101374335B1 (ko) 국부적으로 두꺼운 유전막을 갖는 리세스 채널트랜지스터의 제조방법 및 관련된 소자
US20010006839A1 (en) Method for manufacturing shallow trench isolation in semiconductor device
KR20070040590A (ko) 반도체 메모리 소자 및 그 제조방법
KR20120026313A (ko) 비휘발성 메모리 소자 및 그 제조 방법
KR100748559B1 (ko) 플래시 메모리 장치 및 그 제조 방법
TWI434349B (zh) 半導體裝置、無縫填隙之方法與淺溝槽隔離結構之製法
CN101231967A (zh) 半导体元件的浅沟槽隔离层及其制作方法
US20080268612A1 (en) Method of forming isolation layer in semiconductor device
KR20010008775A (ko) 얕은 트렌치 소자분리 방법
US20110012226A1 (en) Semiconductor device and method for manufacturing the same
US6727160B1 (en) Method of forming a shallow trench isolation structure
US6013559A (en) Method of forming trench isolation
US20070148927A1 (en) Isolation structure of semiconductor device and method for forming the same
CN1319151C (zh) 半导体器件的制造方法
JP2953447B2 (ja) 溝分離型半導体装置の製造方法
CN101246842A (zh) 半导体集成电路工艺中形成浅沟槽隔离区域的方法
KR20020092682A (ko) 반도체 장치의 절연막 형성 방법
KR100484251B1 (ko) 반도체 소자의 제조방법
KR100571486B1 (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120530

Termination date: 20140609