CN101490857A - 形成半导体器件的方法及其结构 - Google Patents

形成半导体器件的方法及其结构 Download PDF

Info

Publication number
CN101490857A
CN101490857A CNA2007800247074A CN200780024707A CN101490857A CN 101490857 A CN101490857 A CN 101490857A CN A2007800247074 A CNA2007800247074 A CN A2007800247074A CN 200780024707 A CN200780024707 A CN 200780024707A CN 101490857 A CN101490857 A CN 101490857A
Authority
CN
China
Prior art keywords
fin
germanium
galvanic electrode
semiconductor device
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800247074A
Other languages
English (en)
Other versions
CN101490857B (zh
Inventor
M·奥罗斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of CN101490857A publication Critical patent/CN101490857A/zh
Application granted granted Critical
Publication of CN101490857B publication Critical patent/CN101490857B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/01Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate comprising only passive thin-film or thick-film elements formed on a common insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一种非平面半导体器件(10)提供硅鳍片(42)。将锗源(例如,24、26、28、30、32)提供给鳍片(42)。一些实施例可以使用沉积提供锗;一些实施例可以使用离子注入(30)提供锗;也可使用其它方法提供锗。然后氧化鳍片(42)以在鳍片(36)中形成硅锗沟道区。在一些实施例中,将整个鳍片(42)从硅转换成硅锗。例如,可以使用一个或多个鳍片(36)以形成非平面半导体器件,例如,FINFET、MIGFET、三栅极晶体管或多栅极晶体管。

Description

形成半导体器件的方法及其结构
技术领域
本发明通常涉及半导体器件,尤其涉及形成半导体器件的方法。
相关技术
在一些硅基半导体器件中使用硅锗材料可以明显改善器件的性能。例如,硅锗材料可以用于增加晶体管沟道区中的空穴和电子迁移率。期望得到使用硅锗材料形成器件的改进方法。
附图说明
本发明通过实例的方式示例但不限制于附图,其中相同的附图标记表示相似的元件,其中:
图1是根据一个实施例在其制造阶段期间部分晶片的截面图;
图2是根据一个实施例在其制造阶段期间部分晶片的截面图;
图3是根据一个实施例在其制造阶段期间部分晶片的截面图;
图4是根据可选的实施例在其制造阶段期间图2的部分晶片的截面图;
图5是根据一个实施例在其制造阶段期间图4的部分晶片的截面图;
图6是根据一个可选的实施例在其制造阶段期间图2的部分晶片的截面图;
图7是根据一个可选的实施例在其制造阶段期间图5的部分晶片的截面图;
图8是根据一个实施例在其制造阶段期间图7的部分晶片的截面图;
图9是根据一个实施例在其制造阶段期间图3、4、5或6的部分晶片的截面图;
图10是根据一个实施例在其制造阶段期间图2的部分晶片的顶视图;
图11是根据一个实施例在其制造阶段期间图3、4、5或6的部分晶片的顶视图;
图12是根据一个实施例在其制造阶段期间图6的部分晶片的顶视图;
图13是根据一个实施例在其制造阶段期间图8的部分晶片的顶视图;
图14是根据一个实施例在其制造阶段期间图9的部分晶片的顶视图;和
图15是根据可选的实施例在其制造阶段期间图3、4、5或6的部分晶片的截面图。
熟练的技术人员意识到,为了简化和清楚示出了图中的元件且不必按比例绘制。例如,可以相对于其它元件放大图中一些元件的尺寸,以有助于改善对本发明实施例的理解。
具体实施方式
图1是根据一个实施例在其制造阶段期间部分晶片10的截面图。在一个实施例中,晶片10包括衬底18、位于衬底18上的绝缘层16、位于层16上的单晶半导体层14、和位于层14上的绝缘层12。在一个实施例中,衬底18是单晶半导体材料,例如硅。可选的实施例可以使用其它材料用于衬底18,例如,蓝宝石、玻璃、或任何其它适当衬底材料或组合或材料。在一个实施例中,绝缘层16是包括二氧化硅的掩埋氧化物层(通常称为“BOX”)。可选实施例可以使用任何适当的介电材料用于层16,例如氮化硅、金属氧化物(例如氧化铪)、或任意多层适当材料。在一个实施例中,绝缘层12包括氮化硅的帽盖层。可选实施例可以使用任何适当的介电材料用于层12,例如金属氧化物(例如氧化铪)、或任意多层适当材料。另外,可选实施例可以包括介于层14和16之间的氧化物衬垫层(未示出)。可选实施例可以不使用绝缘层12。
图2是根据一个实施例在图案化层12和14之后图1的部分晶片10的截面图。层14的图案化部分用附图标记22表示,层12的图案化部分用附图标记20表示。在一个实施例中,结构42(由材料22形成的)是、MIGFET(多重独立栅极场效应晶体管)、三-栅极(三个非独立栅极)器件、或多栅极(多个非独立栅极)器件、FINFET(鳍片场效应晶体管)的鳍片的一部分。在可选实施例中,结构22可以是不同类型器件的鳍片的一部分。FINFET、MIGFET、三栅极和多栅极就是使用鳍片的非平面器件的三个实例。另外,虽然示例的实施例仅示出了一个鳍片,但可选实施例在同一器件中可以使用任意数目的鳍片。
图10是根据一个实施例在其制造阶段期间图2的部分晶片的顶视图。图10示例了如何将鳍片42(由材料22组成的)耦合至第一电流电极44(由材料22组成的)和第二电流电极46(由材料22组成的)。虽然鳍片42已示出为具有近似矩形形状,第一电流电极已示出为具有近似圆形形状,第二电流电极已示出为具有近似圆形形状,但是可选实施例可以使用任何希望的形状或者几何图形的结构42、44和46。
注意,相同的附图标记42、44和46用于图10-13以表示鳍片42、第一电流电极44、和第二电流电极46,全部用作器件10的相同功能目的。
图3是根据一个实施例在其制造阶段期间图2的部分晶片10的截面图。图3示例了在选择性沉积半导体材料24之后的晶片10。在一个实施例中,半导体材料24包括硅锗。可选实施例可以沉积任何希望的具有必要性质的半导体材料。在一个实施例中,可能的优选实施例,半导体材料24可以是单晶体。在可选实施例中,半导体材料24可以是多晶的或非晶的。
图4是根据可选实施例在其制造阶段期间图2的部分晶片的截面图。图4示例了在非选择性沉积半导体材料26之后的晶片10。在一个实施例中,半导体材料26包括硅锗。可选实施例可以沉积任何希望的具有必要性质的半导体材料。在一个实施例中,可能的优选实施例,与半导体材料22接触的半导体材料26的部分可以是单晶。在可选实施例中,半导体材料26的所有或各个部分可以是多晶的或者非晶的。
图5是根据一个实施例在其制造阶段期间图4的部分晶片10的截面图。图5示例了在各向异性蚀刻层26之后的图4的晶片10,留下了位于叠层22、20侧壁上的间隔物28。可以使用任何适当蚀刻,例如等离子体蚀刻。
图11是根据一个实施例在其制造阶段期间图3、4、5或6的部分晶片的顶视图。图11示例了如何将鳍片42(由材料22组成的)耦合至第一电流电极44(由材料22组成的)和第二电流电极46(由材料22组成的)。虽然鳍片42已示出为具有近似矩形形状,第一电流电极已示出为具有近似圆形形状,第二电流电极已示出为具有近似圆形形状,但是可选实施例可以使用任何希望的形状或者几何图形的结构42、44和46。
注意在一个实施例中,图11不同于图10之处在于接触图11中的材料22地形成了半导体材料24(见图3)、半导体材料26(见图4)、或半导体材料28(见图5)。
图6是根据可选实施例在其制造阶段期间图2的部分晶片的截面图。图6示例了在晶片10的离子注入30之后的图2的晶片10。在一个实施例中,离子注入种类(species)包括锗。可选实施例可以注入任何希望的具有必要特性的半导体种类。在一些实施例中,锗原子剂量可以在5×10e14到5×10e15的范围。在可选实施例中,锗原子剂量可以在5×10e13到5×10e16的范围。可选实施例可以使用任何希望的锗原子剂量。在一个实施例中,离子注入角可以在30度到10度的范围(从垂直于主晶片表面测量的)。在可选实施例中,离子注入角可以在45度到10的范围(也从垂直于主晶片表面测量)。在一个实施例中,离子注入能量可以在从5keV到80keV的范围。在可选实施例中,该注入能量可以在从1keV到120keV的范围。可选实施例可以使用任何希望的离子注入能量。
图7是根据一个可选实施例在其制造阶段期间图5的部分晶片的截面图。图7示例了在氧化期间使间隔物28氧化改变为氧化硅部分34之后的图5的晶片10。注意,在示例性实施例中,氧化不仅会改变间隔物28,还可以改变材料22的一部分。在这种氧化步骤期间,来自间隔物28的锗原子被注入到材料22的其余部分中,将原始硅材料22转换为硅锗材料36。注意,氧化鳍片使包括硅锗的沟道区形成鳍片36。在示例性实施例中,一旦移除了氧化物,沟道区就会包括所有的鳍片36(见图8)。可选实施例可以仅形成部分鳍片36的沟道区。例如,可以使用广泛的各种方法进行氧化,例如,湿式或蒸汽氧化、盐酸环境中的氧化、或任何其它适当的氧化工艺。注意,氧化步骤会导致鳍片36有效地变薄,超过处理设备能够允许的光刻能力的厚度。对于一些实施例,较薄的鳍片36可以产生已改善性能特性的完全耗尽的器件。
注意,也可以以如图7所示的相同或类似的方式氧化图3和6示例的晶片10的实施例。然而,注意区域34的顶部将与图3和6示例的实施例的层20的底部基本齐平。
注意,可以以如图7所示的相同或类似的方式氧化图4示例的晶片10的实施例。然而,注意可以将整个层26改变为氧化硅。
图8是根据一个实施例在其制造阶段期间图7的部分晶片的截面图。图8示例了在移除氧化硅34之后的图7的晶片。注意,对于一些实施例,如果层16是氧化硅,则用于移除氧化硅34的蚀刻处理也会引起层16顶部部分的侵蚀。在一些实施例中,由于穿过整个鳍片沟道的栅长度的更好均匀性,这种侵蚀是期望的。
图9是根据一个实施例在其制造阶段期间图3、4、5或6的部分晶片的截面图。图9示例了一个完成的器件10,也就是FINFET晶体管,其可以使用图8的结构。在器件10的示例性实施例中,在叠层36和20上布置了栅介质层38。在示例性实施例中,栅介质层38可以通过PVD(物理气相沉积)、ALD(原子层沉积)或CVD(化学气相沉积)沉积。对于PVD、ALD和CVD,例如,可以沉积各种介质,例如,像氧化铪、氧化锆、氧化钽的金属氧化物,或者包括包含硅的氧化物和/或氮化物的任何适当氧化物的任意组合。可选地,通过利用常规的栅极氧化处理可以仅在36的侧壁上生长介质层38。仍参考图9,栅电极可以通过CVD或PVD沉积,且可以包括任何适当的栅极材料,例如,多晶硅、金属、金属硅化物、或任何适当材料的组合。注意,使用任何已知和适当的技术可以进行从图8到图9所需要的处理。
图12是根据一个实施例在其制造阶段期间图6的部分晶片的顶视图。注意,32示例了注入分布的深度。可选实施例可以使用不同的注入分布。
图13是根据一个实施例在其制造阶段期间图8的部分晶片的顶视图。注意,氧化处理已将区域36转换成了硅锗,而区域48和50保持硅材料。第一电流电极44包括材料48(例如,硅)和围绕48的部分36(例如,硅锗)。第二电流电极46包括材料50(例如,硅)和围绕50的部分36(例如,硅锗)。在本发明的一个实施例中,鳍片(在第一电流电极44和第二电流电极46之间的部分36)与围绕区域48的外部层或区域36连续(contiguous),并且还与围绕区域50的外部层或区域36连续。
图14是根据一个实施例在其制造阶段期间图9的部分晶片的顶视图。注意,氧化处理已将区域36转换为硅锗,而区域48和50保持硅材料。第一电流电极44包括材料48(例如,硅)和围绕48的部分36(例如,硅锗)。第二电流电极46包括材料50(例如,硅)和围绕50的部分36(例如,硅锗)。注意,层38是介电层,40是栅电极。
图15是根据可选实施例在其制造阶段期间图3、4、5或6的部分晶片的截面图。图15示例了一个完成的器件10,也就是MIGFET晶体管,其可以使用图8的结构。在器件10的示例性实施例中,栅介质层38布置在叠层36和20上方。在示例性实施例中,栅介质层38可以通过PVD(物理气相沉积)、ALD(原子层沉积)或CVD(化学气相沉积)沉积。对于PVD、ALD和CVD,例如,可以沉积各种介质,例如,像氧化铪、氧化锆、氧化钽的金属氧化物,或者包括含硅的氧化物和/或氮化物的任何适当氧化物的任意组合。可选地,通过利用常规的栅极氧化处理,可以仅在36的侧壁上生长介质层38。
仍参考图15,例如栅电极可以通过CVD或PVD沉积,且可以包括任何适当材料,例如,多晶硅、金属、金属硅化物、或适当材料的任何组合。在一个实施例中,通过在栅电极40上使用CMP(化学机械抛光)处理,可以形成栅电极40的独立栅电极部分140和142。可选实施例可以使用任何其它希望的和适当的处理以形成独立的栅电极部分140和142。注意,通过使用CMP,移除位于层20之上的栅电极40的部分,产生了两个电性独立的栅电极部分140和142。使用任何已知和适当的技术可以进行从图8到图15所需要的处理。
在前面的说明中,已参考具体实施例描述了本发明。然而,本领域的普通技术人员意识到,在不偏离如下面权利要求中所阐明的本发明的范围的情况下,可以进行各种变更和改变。因此,说明书和图仅认为是示例性的而不是限制性的,且所有这种变更意指包括在本发明的范围内。
参考具体实施例,上面描述了权益、其它优势和问题的解决方法。然而,可以使任何权益、优势、或问题的解决方法产生或变得更加明显的权益、优势、问题的解决方法、和任何要素不解释为任何或所有权利要求的关键的、需要的、或基本的特征或要素。如这里使用的,术语“包括”、“包括的”或它的任何其它变形都意指覆盖非专有的包括,因此包括元件列表的工艺、方法、部件或设备不仅包括那些要素还可以包括关于这种工艺、方法、部件或设备的没有明确列出的或固有的其它要素。
关于支持权利要求的说明书的附加文本
1.一种形成非平面半导体器件的方法,包括:
形成非平面半导体器件的鳍片,其中该鳍片包括硅;
提供锗源给所述鳍片;
氧化所述鳍片以在所述鳍片中形成包括硅锗的沟道区;和
完成所述非平面半导体器件的形成。
2.如声明1所述的方法,其中提供锗源给鳍片的所述步骤包括:
将锗注入所述鳍片。
3.如声明2所述的方法,其中将锗注入所述鳍片的所述步骤包括:
使用在5×10e13到5×10e16范围的锗原子剂量。
4.如声明2所述的方法,其中将锗注入鳍片的所述步骤包括:
使用在1keV到120keV范围内的离子注入能量。
5.如声明1所述的方法,其中提供锗源给鳍片的所述步骤包括:
在所述鳍片的侧壁上形成硅锗层。
6.如声明5所述的方法,其中在鳍片的侧壁上形成硅锗层的所述步骤包括:
选择性地沉积硅锗。
7.如声明5所述的方法,其中在鳍片的侧壁上形成硅锗层的所述步骤包括:
非选择性地沉积硅锗。
8.如声明1所述的方法,还包括:
在形成鳍片的所述步骤之后和在提供锗源的所述步骤之前,形成位于所述鳍片之上的氮化硅层。
9.如声明1所述的方法,还包括:
在氧化鳍片的所述步骤之后,蚀刻在氧化步骤期间形成的二氧化硅的至少一部分。
10.如声明1所述的方法,其中沟道区包括全部鳍片。
11.如声明1所述的方法,其中非平面半导体器件包括FINFET。
12.如声明1所述的方法,其中非平面半导体器件包括MIGFET。
13.如声明1所述的方法,其中非平面半导体器件包括三-栅极晶体管。
14.一种形成非平面半导体器件的方法,包括:
形成非平面半导体器件的鳍片、第一电流电极和第二电流电极,其中所述鳍片、第一电流电极和第二电流电极每个都包括硅;
提供锗源给所述鳍片、第一电流电极和第二电流电极;
氧化以在整个鳍片分布锗,从而形成第一电流电极的内部区域和外部区域,和形成第二电流电极的内部区域和外部区域,其中第一电极的外部区域包括锗而第一电极的内部区域不包括锗,且其中第二电流电极的外部区域包括锗而第二电极的内部区域不包括锗;和
完成所述非平面半导体器件的形成。
15.如声明14所述的方法,还包括:
移除在所述氧化步骤期间形成的氧化物的至少一部分。
16.如声明14所述的方法,其中非平面半导体器件包括FINFET。
17.如声明14所述的方法,其中非平面半导体器件包括MIGFET。
18.一种非平面半导体器件,包括:
其中形成沟道区的鳍片,所述鳍片包括硅锗;
耦合至所述鳍片的第一电流电极,所述第一电流电极包括第一区域和第二区域,其中第一区域包括硅锗,第二区域包括硅而不包括锗;和
耦合至所述鳍片的第二电流电极,所述第二电流电极包括第一区域和第二区域,其中第一区域包括硅锗,第二区域包括硅而不包括锗。
19.如声明18所述的非平面半导体器件,其中鳍片、第一电流电极的第一区域和第二电流电极的第一区域是连续的。
20.如声明18所述的非平面半导体器件,其中第一电流电极的第一区域形成在第一电流电极的第二区域的外壁上,以及其中第二电流电极的第一区域形成在第二电流电极的第二区域的外壁上。

Claims (20)

1.一种形成非平面半导体器件的方法,包括:
形成非平面半导体器件的鳍片,其中该鳍片包括硅;
提供锗源给所述鳍片;
氧化所述鳍片以在所述鳍片中形成包括硅锗的沟道区;和
完成所述非平面半导体器件的形成。
2.如权利要求1所述的方法,其中提供锗源给鳍片的所述步骤包括:
将锗注入所述鳍片。
3.如权利要求2所述的方法,其中将锗注入所述鳍片的所述步骤包括:
使用在5×10e13到5×10e16范围的锗原子剂量。
4.如权利要求2所述的方法,其中将锗注入鳍片的所述步骤包括:
使用在1keV到120keV范围内的离子注入能量。
5.如权利要求1所述的方法,其中提供锗源给鳍片的所述步骤包括:
在所述鳍片的侧壁上形成硅锗层。
6.如权利要求5所述的方法,其中在鳍片的侧壁上形成硅锗层的所述步骤包括:
选择性地沉积硅锗。
7.如权利要求5所述的方法,其中在鳍片的侧壁上形成硅锗层的所述步骤包括:
非选择性地沉积硅锗。
8.如权利要求1所述的方法,还包括:
在形成鳍片的所述步骤之后和在提供锗源的所述步骤之前,形成位于所述鳍片之上的氮化硅层。
9.如权利要求1所述的方法,还包括:
在氧化鳍片的所述步骤之后,蚀刻在氧化步骤期间形成的二氧化硅的至少一部分。
10.如权利要求1所述的方法,其中沟道区包括全部鳍片。
11.如权利要求1所述的方法,其中非平面半导体器件包括FINFET。
12.如权利要求1所述的方法,其中非平面半导体器件包括MIGFET。
13.如权利要求1所述的方法,其中非平面半导体器件包括三-栅极晶体管。
14.一种形成非平面半导体器件的方法,包括:
形成非平面半导体器件的鳍片、第一电流电极和第二电流电极,其中所述鳍片、第一电流电极和第二电流电极每个都包括硅;
提供锗源给所述鳍片、第一电流电极和第二电流电极;
氧化以在整个鳍片分布锗,从而形成第一电流电极的内部区域和外部区域,和形成第二电流电极的内部区域和外部区域,其中第一电极的外部区域包括锗而第一电极的内部区域不包括锗,且其中第二电流电极的外部区域包括锗而第二电极的内部区域不包括锗;和
完成所述非平面半导体器件的形成。
15.如权利要求14所述的方法,还包括:
移除在所述氧化步骤期间形成的氧化物的至少一部分。
16.如权利要求14所述的方法,其中非平面半导体器件包括FINFET。
17.如权利要求14所述的方法,其中非平面半导体器件包括MIGFET。
18.一种非平面半导体器件,包括:
其中形成沟道区的鳍片,所述鳍片包括硅锗;
耦合至所述鳍片的第一电流电极,所述第一电流电极包括第一区域和第二区域,其中第一区域包括硅锗,第二区域包括硅而不包括锗;和
耦合至所述鳍片的第二电流电极,所述第二电流电极包括第一区域和第二区域,其中第一区域包括硅锗,第二区域包括硅而不包括锗。
19.如权利要求18所述的非平面半导体器件,其中鳍片、第一电流电极的第一区域和第二电流电极的第一区域是连续的。
20.如权利要求18所述的非平面半导体器件,其中第一电流电极的第一区域形成在第一电流电极的第二区域的外壁上,以及其中第二电流电极的第一区域形成在第二电流电极的第二区域的外壁上。
CN2007800247074A 2006-06-30 2007-04-24 形成半导体器件的方法及其结构 Expired - Fee Related CN101490857B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/428,038 US7629220B2 (en) 2006-06-30 2006-06-30 Method for forming a semiconductor device and structure thereof
US11/428,038 2006-06-30
PCT/US2007/067291 WO2008005612A1 (en) 2006-06-30 2007-04-24 Method for forming a semiconductor device and structure thereof

Publications (2)

Publication Number Publication Date
CN101490857A true CN101490857A (zh) 2009-07-22
CN101490857B CN101490857B (zh) 2010-12-01

Family

ID=38877184

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800247074A Expired - Fee Related CN101490857B (zh) 2006-06-30 2007-04-24 形成半导体器件的方法及其结构

Country Status (5)

Country Link
US (2) US7629220B2 (zh)
KR (1) KR101310434B1 (zh)
CN (1) CN101490857B (zh)
TW (1) TW200802616A (zh)
WO (1) WO2008005612A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446747A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 形成侧墙以及pmos晶体管的方法
CN103165455A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
CN103730367A (zh) * 2012-10-16 2014-04-16 中国科学院微电子研究所 半导体器件制造方法
CN104681445A (zh) * 2013-11-27 2015-06-03 中芯国际集成电路制造(上海)有限公司 制作FinFET的方法
CN105745757A (zh) * 2013-11-22 2016-07-06 高通股份有限公司 硅锗FinFET形成
CN107437544A (zh) * 2016-05-27 2017-12-05 中芯国际集成电路制造(上海)有限公司 半导体器件制作方法、半导体器件及电子装置
CN108172548A (zh) * 2011-12-21 2018-06-15 英特尔公司 用于形成金属氧化物半导体器件结构的鳍的方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2500766A1 (en) 2005-03-14 2006-09-14 National Research Council Of Canada Method and apparatus for the continuous production and functionalization of single-walled carbon nanotubes using a high frequency induction plasma torch
KR100868100B1 (ko) * 2007-03-05 2008-11-11 삼성전자주식회사 반도체 소자 제조 방법 및 이에 따라 제조된 반도체 소자
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US7993999B2 (en) * 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
KR101119136B1 (ko) * 2010-01-07 2012-03-20 주식회사 하이닉스반도체 반도체 소자 및 그 제조방법
JP2013069864A (ja) * 2011-09-22 2013-04-18 Canon Inc 検出装置及び検出システム
US8865560B2 (en) * 2012-03-02 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with LDD extensions
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
CN103117227B (zh) 2013-02-05 2015-11-25 华为技术有限公司 多栅鳍式场效应管的制备方法
US9299840B2 (en) 2013-03-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9018054B2 (en) 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
US9142650B2 (en) 2013-09-18 2015-09-22 Taiwan Semiconductor Manufacturing Company Limited Tilt implantation for forming FinFETs
US20150097217A1 (en) * 2013-10-03 2015-04-09 International Business Machines Corporation Semiconductor attenuated fins
US9257556B2 (en) * 2014-01-03 2016-02-09 Qualcomm Incorporated Silicon germanium FinFET formation by Ge condensation
US9553174B2 (en) 2014-03-28 2017-01-24 Applied Materials, Inc. Conversion process utilized for manufacturing advanced 3D features for semiconductor device applications
CN105097513B (zh) * 2014-04-24 2019-09-03 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法、半导体器件和电子装置
US9536900B2 (en) 2014-05-22 2017-01-03 Globalfoundries Inc. Forming fins of different semiconductor materials on the same substrate
US9390925B1 (en) * 2014-12-17 2016-07-12 GlobalFoundries, Inc. Silicon—germanium (SiGe) fin formation
US9472573B2 (en) 2014-12-30 2016-10-18 International Business Machines Corporation Silicon-germanium fin formation
US9859423B2 (en) * 2014-12-31 2018-01-02 Stmicroelectronics, Inc. Hetero-channel FinFET
US9954107B2 (en) 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US9583572B2 (en) 2015-06-25 2017-02-28 International Business Machines Corporation FinFET devices having silicon germanium channel fin structures with uniform thickness
KR102434914B1 (ko) 2016-01-15 2022-08-23 삼성전자주식회사 반도체 소자의 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9773875B1 (en) 2016-07-20 2017-09-26 International Business Machines Corporation Fabrication of silicon-germanium fin structure having silicon-rich outer surface
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US10361130B2 (en) * 2017-04-26 2019-07-23 International Business Machines Corporation Dual channel silicon/silicon germanium complementary metal oxide semiconductor performance with interface engineering
KR102099896B1 (ko) * 2018-03-30 2020-04-13 아주대학교산학협력단 터널링 전계 효과 트랜지스터 및 이의 제조 방법
US10505019B1 (en) * 2018-05-15 2019-12-10 International Business Machines Corporation Vertical field effect transistors with self aligned source/drain junctions

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6369438B1 (en) * 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6326667B1 (en) * 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
GB9925213D0 (en) * 1999-10-25 1999-12-22 Univ Cambridge Tech Magnetic logic elements
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
US7073115B2 (en) * 2001-12-28 2006-07-04 Network Appliance, Inc. Correcting multiple block data loss in a storage array using a combination of a single diagonal parity group and multiple row parity groups
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
EP1437764A1 (en) * 2003-01-10 2004-07-14 S.O.I. Tec Silicon on Insulator Technologies S.A. A compliant substrate for a heteroepitaxy, a heteroepitaxial structure and a method for fabricating a compliant substrate
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US7029980B2 (en) * 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
US6936516B1 (en) 2004-01-12 2005-08-30 Advanced Micro Devices, Inc. Replacement gate strained silicon finFET process
US7056773B2 (en) * 2004-04-28 2006-06-06 International Business Machines Corporation Backgated FinFET having different oxide thicknesses
US7163903B2 (en) 2004-04-30 2007-01-16 Freescale Semiconductor, Inc. Method for making a semiconductor structure using silicon germanium
US7163868B2 (en) * 2004-06-08 2007-01-16 Chunghwa Picture Tubes, Ltd. Method for forming a lightly doped drain in a thin film transistor
US7141115B2 (en) 2004-09-02 2006-11-28 International Business Machines Corporation Method of producing silicon-germanium-on-insulator material using unstrained Ge-containing source layers
US7265004B2 (en) * 2005-11-14 2007-09-04 Freescale Semiconductor, Inc. Electronic devices including a semiconductor layer and a process for forming the same

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446747A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 形成侧墙以及pmos晶体管的方法
CN103165455A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
CN103165455B (zh) * 2011-12-13 2015-09-09 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
CN108172548A (zh) * 2011-12-21 2018-06-15 英特尔公司 用于形成金属氧化物半导体器件结构的鳍的方法
CN108172548B (zh) * 2011-12-21 2023-08-15 英特尔公司 用于形成金属氧化物半导体器件结构的鳍的方法
CN103730367A (zh) * 2012-10-16 2014-04-16 中国科学院微电子研究所 半导体器件制造方法
WO2014059564A1 (zh) * 2012-10-16 2014-04-24 中国科学院微电子研究所 半导体器件制造方法
CN103730367B (zh) * 2012-10-16 2017-05-03 中国科学院微电子研究所 半导体器件制造方法
CN105745757A (zh) * 2013-11-22 2016-07-06 高通股份有限公司 硅锗FinFET形成
CN104681445A (zh) * 2013-11-27 2015-06-03 中芯国际集成电路制造(上海)有限公司 制作FinFET的方法
CN104681445B (zh) * 2013-11-27 2017-11-10 中芯国际集成电路制造(上海)有限公司 制作FinFET的方法
CN107437544A (zh) * 2016-05-27 2017-12-05 中芯国际集成电路制造(上海)有限公司 半导体器件制作方法、半导体器件及电子装置

Also Published As

Publication number Publication date
US20100044762A1 (en) 2010-02-25
US7629220B2 (en) 2009-12-08
WO2008005612A1 (en) 2008-01-10
KR20090031525A (ko) 2009-03-26
CN101490857B (zh) 2010-12-01
TW200802616A (en) 2008-01-01
US20080003725A1 (en) 2008-01-03
KR101310434B1 (ko) 2013-09-24

Similar Documents

Publication Publication Date Title
CN101490857B (zh) 形成半导体器件的方法及其结构
KR101729439B1 (ko) 매립된 절연체층을 가진 finfet 및 그 형성 방법
CN103325831B (zh) 用于FinFET的源极/漏极轮廓
KR101802715B1 (ko) 반도체 디바이스의 제조 방법
KR101703096B1 (ko) 반도체 장치의 제조방법
CN103295904B (zh) 具有LDD延伸的FinFET设计
US9006087B2 (en) Diode structure and method for wire-last nanomesh technologies
US9202699B2 (en) Capping dielectric structure for transistor gates
US8455859B2 (en) Strained structure of semiconductor device
US10177143B2 (en) FinFET device and method for fabricating the same
WO2009012053A2 (en) Isolated tri-gate transistor fabricated on bulk substrate
KR20150020984A (ko) Finfet들 및 그 형성 방법
US10170634B2 (en) Wire-last gate-all-around nanowire FET
US20150093861A1 (en) Method for the formation of cmos transistors
US10199392B2 (en) FinFET device having a partially dielectric isolated fin structure
US10468412B2 (en) Formation of a semiconductor device with selective nitride grown on conductor
US20120100684A1 (en) Method of fabricating semiconductor device
US10164097B2 (en) Semiconductor device and manufacturing method thereof
US8815693B2 (en) FinFET device formation
US9960275B1 (en) Method of fabricating air-gap spacer for N7/N5 finFET and beyond
US6657261B2 (en) Ground-plane device with back oxide topography
CN104217948B (zh) 半导体制造方法
CN104347413B (zh) 一种制作FinFET半导体器件的方法
CN103187286A (zh) 鳍式场效应晶体管的制作方法
CN102956486B (zh) 半导体器件结构及其制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Texas in the United States

Patentee after: NXP America Co Ltd

Address before: Texas in the United States

Patentee before: Fisical Semiconductor Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101201

Termination date: 20210424