CN101440482A - 薄膜形成装置及其使用方法 - Google Patents

薄膜形成装置及其使用方法 Download PDF

Info

Publication number
CN101440482A
CN101440482A CNA2008101799425A CN200810179942A CN101440482A CN 101440482 A CN101440482 A CN 101440482A CN A2008101799425 A CNA2008101799425 A CN A2008101799425A CN 200810179942 A CN200810179942 A CN 200810179942A CN 101440482 A CN101440482 A CN 101440482A
Authority
CN
China
Prior art keywords
reaction chamber
gas
film forming
film
plasma body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101799425A
Other languages
English (en)
Other versions
CN101440482B (zh
Inventor
野寺伸武
佐藤润
松永正信
长谷部一秀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101440482A publication Critical patent/CN101440482A/zh
Application granted granted Critical
Publication of CN101440482B publication Critical patent/CN101440482B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种薄膜形成装置及其使用方法,用来在反应室内在被处理基板上形成薄膜的半导体处理用的成膜装置的使用方法,用来除去堆积到从供给用来成膜的成膜气体的成膜气体供给系统,通过所述反应室,直到排气系统的气体路径的规定区域上的副生成物膜,在所述反应室内没有装入所述被处理基板的状态下,通过交替反复进行蚀刻工序和排气工序进行清洁处理,在蚀刻工序中,在向所述规定区域供给蚀刻所述副生成物膜的清洁气体并活性化的同时,蚀刻所述副生成物膜,在排气工序中,停止所述清洁气体的供给,通过所述排气系统对所述规定区域存在的空间进行排气。

Description

薄膜形成装置及其使用方法
技术领域
本发明涉及在半导体晶片等被处理基板上形成氮化硅膜等薄膜的半导体处理用成膜装置及其使用方法。其中,所谓半导体处理是指在如晶片或LCD(Liquid Crystal Display;液晶显示器)等FPD(Flat PanelDisplay;平板显示器)用的玻璃基板等被处理基板上按照规定的图形形成半导体层、绝缘层、导电层等,从而用来在该被处理基板上制造含有半导体器件、或者与半导体器件连接的布线、电极等的构造物而实施的各种处理。
背景技术
在半导体器件的制造工序中,通过CVD(Chemical VaporDeposition;化学汽相沉积)等处理,进行在被处理基板例如半导体晶片上形成氮化硅膜、氧化硅膜等薄膜的处理。这种成膜处理例如如下所述在半导体晶片上形成薄膜。
首先,通过加热器将热处理装置的反应管(反应室)内部加热到规定的负载温度,然后将已经装入有多片半导体晶片的晶片舟装入到热处理装置的反应管内。接下来,在通过加热器将反应管内部加热到规定的处理温度的同时,从排气口排出反应管内的气体,将反应管内部减压到规定的压力。
接下来,在维持反应管内为规定的温度和压力的同时(继续排气的同时),从气体供给线路向反应管内供给成膜气体。例如,在CVD中,向反应管内供给成膜气体时,成膜气体发生热反应,生成反应生成物。反应生成物堆积在半导体晶片的表面上,在半导体晶片的表面上形成薄膜。
通过成膜处理形成的反应生成物不仅堆积在半导体晶片的表面上,例如还作为副生成物膜堆积(附着)在反应管的内面或各种夹具等上。在副生成物膜附着在反应管内等的状态下继续进行成膜处理时,由于构成反应管等的石英与副生成物膜的热膨胀率不同而产生的应力使石英和副生成物膜部分地剥离。由此产生颗粒,从而造成制造的半导体器件的生产率降低,或者使处理装置的部件劣化。
因此,在进行了多次成膜处理后,进行反应管内的清洁。在该清洁中,向通过加热器加热到规定温度的反应管内供给清洁气体,例如氟和含有卤酸性气体的混合气体。附着在反应管的内表面等上的副生成物膜被清洁气体干蚀刻,从而被除去(例如参照日本特开平3—293726号公报)。但是,如后所述,本发明人等发现,包括过去的这种成膜装置的清洁处理的使用方法在与吞吐能力和颗粒的产生相关的装置的特性方面仍有改善的余地。
发明内容
本发明的目的是提供一种能够提高与吞吐能力和颗粒的产生等相关的装置的特性的半导体处理用的成膜装置及其使用方法,
本发明的第一方面是一种用来在反应室内在被处理基板上形成薄膜的半导体处理用的成膜装置的使用方法,用来除去堆积到从供给用来成膜的成膜气体的成膜气体供给系统,通过所述反应室,直到排气系统的气体路径的规定区域上的副生成物膜,在所述反应室内没有装入所述被处理基板的状态下,通过交替反复进行蚀刻工序和排气工序来进行清洁处理,其中,在蚀刻工序中,在向所述规定区域供给蚀刻所述副生成物膜的清洁气体并活性化的同时,蚀刻所述副生成物膜,在排气工序中,停止所述清洁气体的供给,通过所述排气系统对所述规定区域存在的空间进行排气。
本发明的第二方面是半导体处理用的成膜装置的使用方法,所述成膜装置包括:反应室,构成为按照上下设置间隔地叠层的状态装入多个被处理基板;支撑部件,在所述反应室内支撑所述被处理基板;排气系统,对所述反应室内进行排气;加热器,设置在所述反应室的周围,用来对所述被处理基板进行加热;第一成膜气体供给系统,向所述反应室供给包含硅烷系气体的第一成膜气体;第二成膜气体供给系统,向所述反应室供给包含氮化气体的第二成膜气体;等离子体生成部,安装在所述反应室的外侧,形成与所述反应室内的处理空间连通的等离子体形成空间;通过所述等离子体生成部向所述处理空间供给所述第二成膜气体的装置;和清洁气体供给系统,供给清洁气体,该清洁气体含有对通过所述第一和第二成膜气体的反应生成的并且附着在所述等离子体生成部上的副生成物膜进行蚀刻的氟气,所述使用方法包括:进行成膜处理的工序,该工序通过CVD在装入到所述反应室内的所述被处理基板上形成氮化硅膜,其中,向所述反应室内供给所述第一成膜气体,在所述等离子体生成部激励的同时向所述反应室内供给所述第二成膜气体;接着进行清洁处理的工序,该工序是为了除去堆积在所述等离子体生成部内的副生成物膜,在所述反应室内没有装入所述被处理基板的状态下进行,所述清洁处理交替多次反复进行:在向所述等离子体生成部内供给所述清洁气体进行活性化的同时蚀刻所述副生成物膜的蚀刻工序;和停止所述清洁气体的供给,通过所述排气系统对所述等离子体生成部内进行排气的排气工序。
本发明的第三方面是半导体处理用的成膜装置,包括:反应室,构成为按照上下设置间隔地叠层的状态装入多个被处理基板;支撑部件,在所述反应室内支撑所述被处理基板;排气系统,对所述反应室内进行排气;加热器,设置在所述反应室的周围,用来对所述被处理基板进行加热;第一成膜气体供给系统,向所述反应室供给包含硅烷系气体的第一成膜气体;第二成膜气体供给系统,向所述反应室供给包含氮化气体的第二成膜气体;等离子体生成部,安装在所述反应室的外侧,形成与所述反应室内的处理空间连通的等离子体形成空间;通过所述等离子体生成部向所述处理空间供给所述第二成膜气体的装置;清洁气体供给系统,供给清洁气体,该清洁气体含有对通过所述第一和第二成膜气体的反应生成的并且附着在所述等离子体生成部上的副生成物膜进行蚀刻的氟气;和控制单元,对所述装置的动作进行控制,将所述控制单元预先设定为执行下面的方法,所述方法包括:进行成膜处理的工序,该工序通过CVD在装入到所述反应室内的所述被处理基板上形成氮化硅膜;其中,向所述反应室内供给所述第一成膜气体;在所述等离子体生成部中激励的同时向所述反应室内供给所述第二成膜气体;接着进行清洁处理的工序,该工序是为了除去堆积在所述等离子体生成部内的副生成物膜,在所述反应室内没有装入所述被处理基板的状态下进行,所述清洁处理交替多次反复进行:在向所述等离子体生成部内供给所述清洁气体进行活性化的同时蚀刻所述副生成物膜的蚀刻工序;和停止所述清洁气体的供给,通过所述排气系统对所述等离子体生成部内进行排气的排气工序。
附图说明
图1是表示根据本发明实施方式的成膜装置(立式CVD装置)的剖面图。
图2是表示图1中示出的装置的一部分的横截面图。
图3是表示图1中示出的装置的控制单元的结构的图。
图4是表示根据本发明的实施方式的成膜处理和清洁处理的方法的时序图。
图5是说明在清洁处理中反应管内的气流的图。
图6是表示根据上述实施方式的变形例的成膜装置(立式CVD装置)的剖面图。
具体实施方式
本发明人等在开发本发明的过程中,对包括半导体处理用的成膜装置中的反应管内的清洁处理的过去装置的使用方法所存在的问题进行了研究。其结果是,本发明人等获得了如下所述的见识。
即,在这种成膜装置中,存在着从供给用来成膜的成膜气体的成膜气体供给系统,通过反应室,直到排气系统的气体路径中,附着副生成物膜的问题。但是,副生成物膜附着的量随路径内的位置不同而不同。此外,附着的副生成物膜(反应生成物)的组成也随位置不同而产生一些差异。例如,在具有与反应室连接的对成膜气体进行等离子体化的等离子体生成部的成膜装置中,在直接向反应室内供给含有硅烷系气体的第一成膜气体,另一方面,通过等离子体生成部向反应室内供给含有氮化气体的第二成膜气体的情况下,在等离子体生成部的出口附近容易附着上与本来的氮化硅膜具有一些组成差异的副生成物膜。
在如上述的日本特开平3—293726号公报所公开的包括清洁处理的过去的成膜装置的使用方法中,进行装置的管理时没有考虑如上所述的问题。关于这一点,在装置的管理中包括对副生成物膜容易附着的部位选择性地进行局部清洁处理时,可以得到如下所述的优点。即,通过局部清洁处理能够使对整个反应室的内部进行整体清洁处理的周期变长。因此,如果能够用短的时间高效率地进行局部清洁处理,就可以提高装置的吞吐能力(处理效率)。此外,局部清洁处理能够根据对象部位的副生成物膜设定清洁气体的组成和处理条件等。由此可以可靠地除去该副生成物膜,结果是可以抑制颗粒的产生。
下面,参照附图说明基于这样的认识构成的本发明的实施方式。再有,在下面的说明中,对于具有大致相同的功能和结构的构成要素赋予相同的符号,仅在必要的情况下进行重复说明。
图1是表示根据本发明的实施方式的成膜装置(立式CVD装置)的剖面图。图2是表示图1图示的装置的一部分的横截面图。该成膜装置使用MLD(Molecular Layer Deposition;分子层沉积)法,构成为在多个晶片W上形成氮化硅膜的批量式的立式处理装置。
如图1所示,成膜装置1具有长度方向朝垂直方向的有炉顶的大致圆筒状的反应管(反应室)2。反应管2由耐热及耐腐蚀性优异的材料,例如石英形成。
在反应管2的下端附近的侧面上形成用来对反应管2内的气体进行排气的排气口3。排气口3通过气密的排气管4连接排气部GE。在排气部GE中设置阀、真空排气泵(在图1中未图示,在图3中用符号127表示)等压力调整机构。排气部GE在排出反应管2内的气氛(氛围气体)的同时,还可以将反应管2内的气氛设定为规定的压力(真空度)。
在反应管2的下方设置有盖体5。盖体5由耐热及耐腐蚀性优异的材料,例如石英形成。盖体5具有通过后述的舟升降机(在图1中未图示,在图3中用符号128表示)可上下运动的结构。通过舟升降机使盖体5上升时,反应管2的下方侧(炉口部分)被闭锁。通过舟升降机使盖体5下降时,反应管2的下方侧(炉口部分)被开口。
在盖体5的上方设置有例如由石英形成的晶片舟6。晶片舟6具有在垂直方向上隔着规定的间隔可容置多片半导体晶片W的结构。再有,在盖体5的上部设置有用来防止从反应管2的炉口部分到反应管2内的温度降低的保温筒。此外,也可以设置可转动地载置收容有半导体晶片W的晶片舟6的转台,在其上载置晶片舟6。在该情况下,容易将容置在晶片舟6上的半导体晶片W控制为均匀的温度。
在反应管2的周围设置有隔热罩71以包围反应管2,在隔热罩71的内面安装例如由电阻发热体构成的加热器7。该加热器7使反应管2的内部升温(加热)到规定的温度,结果是半导体晶片W被加热到规定的温度。
在反应管2的下端附近的侧面上插入将处理气体(例如成膜气体、清洁气体、不活泼性气体(稀释用、吹扫用、或者压力控制用))导入到反应管2内的气体分散喷嘴8、9以及气体喷嘴16。气体分散喷嘴8、9以及气体喷嘴16通过质量流量控制器(MFC)等(未图示)与处理气体供给部GS连接。处理气体供给部GS包括如下所述的用来调制成膜气体、清洁气体的反应性气体的各个气体源、和作为不活泼性气体使用的氮(N2)气的气体源。
即,在本实施方式中,为了通过CVD在半导体晶片W上形成氮化硅膜(产物膜),例如使用含有硅烷系气体的第一成膜气体和含有氮化气体的第二成膜气体。其中,作为硅烷系气体,使用二氯硅烷(DCS:SiH2Cl2)气体,作为氮化气体,使用氨气(NH3)。在第一和第二成膜气体中根据需要混合适当量的载运气体(N2气体等稀释气体),但是下面为了容易说明,不提及载运气体。
作为清洁气体,使用对以氮化硅为主成分(是指50%以上)的副生成物膜进行蚀刻的、卤酸性气体或卤素气体与氢气的混合气体。其中,作为清洁气体使用氟(F2)气和作为稀释气体的氮气的混合气体。
气体分散喷嘴8与NH3气体、F2气体以及N2气体的气体源连接,气体分散喷嘴9与DCS气体以及N2气体的气体源连接,气体喷嘴16与N2气体的气体源连接。各个气体分散喷嘴8、9由向内侧贯通反应管2的侧壁,向上弯曲延伸的石英管构成(参照图1)。在各个气体分散喷嘴8、9中隔着规定的间隔形成有多个气体喷射孔,沿着其长度方向(上下方向)并且覆盖晶片舟6上的全部晶片W。气体喷射孔分别在水平方向上大致均匀地供给对应的处理气体,以便对晶片舟6上的多个晶片W形成平行的气流。另一方面,不活泼性气体专用的气体喷嘴16由贯通反应管2的侧壁设置的短的气体喷嘴构成。
在反应管2的侧壁的一部分上沿其高度方向设置有等离子体生成部10。等离子体生成部10具有沿着上下方向将反应管2的侧壁切去预定宽度形成的上下细长的开口10b。用与反应管2的外壁气密地熔接接合的石英制的盖10a覆盖开口10b。盖10a成剖面凹部形状,以向反应管2的外侧突出,并且具有上下细长的形状。
通过该结构,形成从反应管2的侧壁突出并且一侧向反应管2内开口的等离子体生成部10。即,等离子体生成部10的内部空间与反应管2内的处理空间连通。开口10b形成为上下方向非常长,从而能够在高度方向上覆盖保持在晶片舟6上的全部晶片W。
在盖10a的两侧壁的外侧面上沿着其长度方向(上下方向)彼此相对地设置细长的一对电极11。电极11通过供电线路与等离子体发生用的高频电源11a连接。通过给电极11施加例如13.56MHz的高频电压,在一对电极11之间形成用来激励等离子体的高频电场。再有,高频电压的频率并不限于13.56MHz,也可以采用其它频率,例如也可以为400kHz等。
第二成膜气体的气体分散喷嘴8在比晶片舟6上最低层的晶片W低的位置上向反应管2的半径方向外方弯曲。然后,气体分散喷嘴8在等离子体生成部10内的最内(离反应管2的中心最远的部分)的位置上垂直立起。如图2所示,气体分散喷嘴8设置在比一对相对的电极11所夹的区域(高频电场最强的位置)、即比实际形成主要的等离子体的等离子体形成区域向外侧偏离的位置上。包括从气体分散喷嘴8的气体喷射孔喷射的NH3气体的第二成膜气体向着等离子体产生区域喷射,在含有在那里被激励(分解或活性化)的含氮原子的游离基(N*、NH*、NH2 *、NH3 *)的状态下被提供给晶片舟6上的晶片W(记号‘*’表示游离基(自由基))。另一方面,从气体分散喷嘴8供给的清洁气体不被等离子体生成部10所等离子体激励(活性化)。
在等离子体生成部10的开口10b的外侧附近、即开口10b的外侧(反应管2内)的一侧上垂直立起设置有第一成膜气体的气体分散喷嘴9。由在气体分散喷嘴9中形成的气体喷射孔向反应管2的中心方向喷射具有DCS气体的第一成膜气体。
此外,在反应管2内设置有多根测定反应管2内的温度的例如由热电偶构成的温度传感器122、以及测定反应管2内的压力的压力计(图1中未图示,在图3中用符号123表示)。
此外,成膜装置1具有进行装置各个部分的控制的控制单元100。图3是表示控制单元100的结构的图。如图3所示,在控制单元100上连接有操作面板121、温度传感器(组)122、压力计(组)123、加热器控制器124、MFC控制单元125、阀控制单元126、真空泵127、舟升降机128、等离子体控制单元129等。
操作面板121具有显示画面和操作按钮,向控制单元100发送操作者的操作指示,此外,在显示画面上显示来自控制单元100的各种信息。温度传感器(组)122测定反应管2、排气管4内等的各个部分的温度,将其测定值通知给控制单元100。压力计(组)123测定反应管2、排气管4内等的各个部分的压力,将测定值通知给控制单元100。
加热器控制器124是用来单独加热器7的控制器。加热器控制器124对来自控制单元100的指示进行应答,给这些加热器通电将它们加热。加热器控制器124还单独测定这些加热器的消耗功率,通知给控制单元100。
MFC控制单元125控制在气体分散喷嘴8、9、气体喷嘴16等的各个配管中设置的MFC(未图示)。MFC控制单元125将流过各个MFC的气体的流量控制为控制单元100指示的量。MFC控制单元125还测定实际上流过MFC的气体的流量,通知给控制单元100。
阀控制单元126配置在各个配管中,将配置在各个配管中的阀的开度控制为控制单元100指示的值。真空泵127与排气管4连接,对反应管2内的气体进行排气。
舟升降机128通过使盖体5上升,将晶片舟6(半导体晶片W)装载到反应管2内。舟升降机128还通过使盖体5下降,从反应管2内卸载晶片舟6(半导体晶片W)。
等离子体控制单元129对来自控制单元100的指示进行应答,控制等离子体生成部10,使供给到等离子体生成部10内的氨活性化,形成氨游离基(自由基)。
控制单元100包括程序存储单元111、ROM112、RAM113、I/O端口114、和CPU115。它们通过总线116相互连接,通过总线116在各个单元之间传送信息。
在程序存储单元111中存储有调试用程序和多个处理过程用程序(方案)。在成膜装置1的制造之初只存储调试用程序。调试用程序在与各个成膜装置相对应的热模式等时执行。处理过程用程序是使用者在每一次实际进行热处理(工序)时准备的程序。处理过程用程序规定了从向反应管2装载半导体晶片W到处理完了的晶片W的卸载的各个部分的温度的变化、反应管2内的压力变化、成膜气体供给的开始以及停止的定时和供给量等。
ROM112由EEPROM、闪存、硬盘等构成,是存储CPU115的运行程序等的存储介质。RAM113起到CPU115的工作区域等的作用。
I/O端口114与操作面板121、温度传感器122、压力计123、加热器控制器124、MFC控制单元125、阀控制单元126、真空泵127、舟升降机128、等离子体控制单元129等连接,控制数据和信号等的输入输出。
CPU(Central Processing Unit;中央处理器)115构成控制单元100的中枢。CPU115执行存储在ROM112中的控制程序,根据来自操作面板121的指示,沿存储在程序存储单元111中的程序(处理过程用程序)控制成膜装置1的操作。即,CPU115使温度传感器(组)122、压力计(组)123、MFC控制单元125等测定反应管2、排气管4内的各个部分的温度、压力、流量等。此外,CPU115根据该测定数据,将控制信号等输出到加热器控制器124、MFC控制单元125、阀控制单元126、真空泵127等,根据处理过程用程序控制上述各个部分。
接下来,参照图4说明如上所述构成的成膜装置1的使用方法。其中,首先,进行在反应管2内的半导体晶片W上形成氮化硅膜的成膜处理。接下来,进行除去附着在等离子体生成部10内的、以氮化硅为主成分(是指50%以上)的副生成物膜的清洁处理。图4是表示根据本发明实施方式的成膜处理以及清洁处理的程序的时序图。
再有,在下面的说明中,构成成膜装置1的各个部分的操作由控制单元100(CPU115)控制。如前所述,控制单元100(CPU115)控制加热器控制器124(加热器7)、MFC控制单元125(气体分散喷嘴8、9、气体喷嘴16)、阀控制单元126、真空泵127等,从而使各个处理中反应管2内的温度、压力、气体流量等达到根据图4中示出的程序的条件。
<成膜处理>
首先,将保持了多片例如50~100片的300mm尺寸的晶片W的常温的晶片舟6装载到设定为规定温度的反应管2内,密闭反应管2。接下来,对反应管2内抽真空从而维持在规定的处理压力上,同时使晶片温度上升,直到稳定在成膜用的处理温度上待机。接下来,如下所述,执行用氨活性种处理晶片W的表面的前处理步骤。再有,在包括前处理步骤以及其后交替反复进行的下述吸附和氮化步骤的成膜处理中,优选通过转台使晶片舟6连续转动。
在前处理步骤中,首先如图4(c)所示,向反应管2内供给规定量的氮气。与此同时,将反应管2内设定为规定的温度,例如如图4(a)所示550℃。此外,对反应管2内进行排气,将反应管2设定为规定的压力,例如如图4(b)所示45Pa(0.34Torr:133Pa=1Torr)。然后进行该操作直到反应管2稳定在规定的压力以及温度上。
反应管2内稳定在规定的压力以及温度时,如图4(g)所示,在电极11之间施加高频电力(RF:ON)。与此同时,向一对电极11之间(等离子体生成部10内)供给规定量例如如图4(e)所示5slm(standardliter per minute;每分钟标准升)的氨气。使供给到一对电极11之间的氨气发生等离子体激励(活性化),形成氨游离基。这样形成的游离基从等离子体生成部10供给到反应管2内。此外,如图4(c)所示,从气体分散喷嘴9向反应管2内供给规定量的氮气(流动工序)。
在前处理工序中,在用氨游离基对晶片W的表面进行前处理时,存在于晶片W的表面上的—OH基的一部分和—H基的一部分被—NH2基所置换。因此,在之后进行的吸附步骤开始时,在晶片W的表面上存在—NH2基。在该状态下供给DCS时,晶片W表面的—NH2基与热活性化的DCS反应,促进晶片W表面上Si的吸附。
在供给氨气规定的时间之后,停止氨气的供给,同时停止高频电力的施加。另一方面,如图4(c)所示,连续向反应管2内供给规定量的氮气。然后,对反应管2内进行排气,由此将反应管2内的气体排出到反应管2外(净化工序)。
再有,优选在成膜顺序上,成膜处理中不使反应管2内的温度变化。因此,在本实施方式中,在上述前处理、吸附、以及氮化步骤中始终将反应管2内的温度设定为550℃。此外,反应管2内的排气也在上述前处理、吸附、以及氮化步骤中始终继续着。
接下来,在吸附步骤中,首先,如图4(c)所示,一边向反应管2内供给规定量的氮气,一边将反应管2内设定为规定的温度,例如如图4(a)所示550℃。此外,对反应管2内进行排气,将反应管2内设定为规定的压力,例如如图4(b)所示600Pa(4.6Torr)。并且,进行该操作直到反应管2稳定在规定的压力以及温度。
反应管2内稳定在规定的压力以及温度时,向反应管2内供给规定量的DCS气体,例如如图4(d)所示2slm,例如如图4(c)所示供给规定量的氮气(流动工序)。供给到反应管2内的DCS在反应管2内被加热从而被活性化,与半导体晶片W的表面的—NH2基发生反应,在半导体晶片W的表面上形成含Si的吸附层。
供给DCS气体规定时间后,停止DCS气体的供给。另一方面,如图4(c)所示,连续向反应管2内供给规定量的氮气。并且,对反应管2内排气,由此将反应管2内的气体排出到反应管2外(吹扫工序)。
接下来,在氮化步骤中,首先,一边如图4(c)所示向反应管2内供给规定量的氮气,一边将反应管2内设定为规定的温度,例如如图4(a)所示550℃。此外,对反应管2内进行排气,将反应管2设定为规定的压力,例如如图4(b)所示45Pa(0.34Torr)。然后进行该操作直到反应管2稳定在规定的压力以及温度。
反应管2内稳定在规定的压力以及温度时,如图4(g)所示,在电极11之间施加高频电力(RF:ON)。与此同时,向一对电极11之间(等离子体生成部10内)供给规定量例如如图4(e)所示5slm的氨气。使供给到一对电极11之间的氨气发生等离子体激励(活性化),形成含氮原子的游离基(N*、NH*、NH2 *、NH3 *)。这样形成的含氮原子的游离基从等离子体生成部10供给到反应管2内。此外,如图4(c)所示,从气体分散喷嘴9向反应管2内供给规定量的氮气(流动工序)。
这些游离基从等离子体生成部10的开口10b向反应管2的中心流出,在晶片W相互之间成层流的状态下被供给。在晶片W上供给含氮原子的游离基时,与晶片W上的吸附层的Si发生反应,由此在晶片W上形成硅氮化物的薄膜。
在供给氨气规定的时间之后,停止氨气的供给,同时停止高频电力的施加。另一方面,如图4(c)所示,连续向反应管2内供给规定量的氮气。然后,对反应管2内进行排气,由此将反应管2内的气体排出到反应管2外(净化工序)。
根据该实施方式的成膜方法将顺序含有吸附以及氮化步骤的循环反复进行规定的次数。在各个循环中,向晶片W供给DCS形成吸附层,接着供给含有氮原子的游离基氮化该吸附层从而形成氮化硅膜。由此能够以高效并且高品质的状态形成氮化硅膜。
在晶片W上形成了希望厚度的氮化硅膜时,卸载晶片W。具体地说,向反应管2内供给规定量的氮气,使反应管2内的压力返回到常压,同时使反应管2内维持在规定的温度上。并且,通过舟升降机25使盖体18下降,从而将晶片W连同晶片舟6从反应管2中卸载。
<清洁处理>
进行了多次如上所述的成膜处理时,通过成膜处理形成的硅的氮化物不仅堆积(附着)在半导体晶片W的表面上,还作为副生成物膜堆积(附着)在反应管2的内表面上。尤其是,在等离子体生成部10内容易附着副生成物膜。因此,在进行了规定次数的成膜处理之后,尤其对于需要除去副生成物膜的等离子体生成部10内,在最适合除去该部分的副生成物膜的条件下进行局部的清洁处理。再有,虽然在反应管2的内壁等其他部分也附着有副生成物膜,但是通过进行除去该等离子体生成部10内的生成物膜的局部清洁处理,能够使对整个反应室的内部进行整体清洁处理的周期变长。
如图4所示,本实施方式的局部清洁处理由流动工序、排气工序、以及稳定化工序构成一个循环,通过反复多次进行该循环,除去等离子体生成部10内的副生成物膜。即,本实施方式的清洁处理至少在每一个循环内除去等离子体生成部10内的副生成物膜,使得能够优先除去等离子体生成部10内的副生成物膜。
首先,通过加热器7将反应管2内维持在规定的负载温度,向反应管2内供给规定量的氮气。接下来,在盖体5上不载置晶片舟6,通过舟升降机128使盖体5上升,密闭反应管2。
接下来,如图4(c)所示,从气体分散喷嘴8向反应管2内供给规定量的氮,同时通过加热器7将反应管2(等离子体生成部10)内设定为规定的温度,例如,如图4(a)所示300℃。此外,排出反应管2(等离子体生成部10)内的气体,将反应管2(等离子体生成部10)内设定为规定的压力,例如如图4(b)所示53200Pa(400Torr)。接下来,按规定时间,例如1分钟从气体分散喷嘴8向等离子体生成部10内供给规定量,例如如图4(f)所示1slm的氟气(流动工序)。此时在混合有氟气和规定量的氮气的状态下从气体分散喷嘴8供给。此外,还从气体分散喷嘴9以及气体喷嘴16向反应管2内供给少量的氮气。
其中,反应管2的温度优选设定为200℃~400℃。清洁温度低于200℃时,清洁气体(氟)难以被活性化,清洁气体对硅氮化物的蚀刻速率变低,恐怕不能得到希望的时蚀刻速率。此外,清洁温度高于400℃时,对构成等离子体生成部10的石英的蚀刻速率变高,有可能导致选择比会降低。
反应管2的压力优选设定为13300Pa(100Torr)~66500Pa(500Torr)。在这样的范围内,虽然对硅氮化物的蚀刻速率变高,但是对石英的蚀刻速率不变高,从而容易除去附着在等离子体生成部10上的副生成物膜。
从气体分散喷嘴8供给的氟气的流量以及供给时间可以处于使向等离子体生成部10供给的氟气不流出到反应管2内的范围内。这些希望的范围因等离子体生成部10的大小附着在等离子体生成部10上的副生成物膜的量而不同,但是优选氟气的流量为0.1~3slm,氟气的供给时间为10秒~2分钟。
清洁气体被导入到等离子体生成部10内时,清洁气体被加热,氟被活性化,即成为具有大量的有反应性的游离原子的状态。通过该被活性化的氟与附着在等离子体生成部10的内壁等上的副生成物膜接触(反应),使副生成物膜被蚀刻。
从向等离子体生成部10内供给清洁气体后经过规定的时间时,停止氟气的供给,从气体分散喷嘴8供给规定量的氮。而且,通过排气单元GE排出等离子体生成部10以及反应管2内的气体,使得排出等离子体生成部10内的氟(排气工序)。该排气工序要从等离子体生成部10以及反应管2中完全除去清洁气体即氟气。
优选在排气工序中慢慢地对反应管2(等离子体生成部10)内进行减压,以使反应管2内达到0.133Pa(1mTorr)~1330Pa(10Torr)。例如,将反应管2内的压力的减压速率设定为133~798Pa/sec(1~6Torr/秒),优选设定为266~399Pa/sec(2~3Torr/秒)。这样通过慢慢地进行减压,如图5所示,容易将来自等离子体生成部10的氟气供给到排气口3,而来自等离子体生成部10的氟气难以与附着在反应管2内的副生成物膜发生反应。在本例中,对反应管2内慢慢地减压,使得反应管2(等离子体生成部10)如图4(b)所示达到665Pa(5Torr)。
将反应管2内减压到665Pa之后,从气体分散喷嘴8供给规定量的氮,同时增加从气体分散喷嘴9以及气体喷嘴16供给的氮气的量,从而使反应管2内的压力上升。然后,使反应管2内的压力上升到流动工序的压力,例如53200Pa(400Torr),一边排气一边维持在该压力(稳定化工序)。该稳定化工序不仅要使压力和气流等稳定,还要在反应管2内形成氮气的阻碍。该氮气的阻碍在下一个工序中防止供给到等离子体生成部10内的氟气扩散到反应管2内。由此使来自于等离子体生成部10内的氟气难以与附着在反应管2内的副生成物膜发生反应,能够只对附着在等离子体生成部10内的副生成物膜进行清洁处理。
通过这些工序,清洁处理的一个循环(循环1)结束。然后,通过反复进行该循环规定的次数例如150~300次,结束清洁处理,除去附着在等离子体生成部10内的副生成物膜。这样,由于每一个循环中至少除去了等离子体生成部10内的副生成物膜,所以能够优先除去附着在等离子体生成部10内的副生成物膜,能够高效地进行清洁处理。
清洁处理结束时,从气体分散喷嘴9向反应管2内供给规定量的氮,使反应管2内的压力返回到常压,同时通过加热器7将反应管2内维持在规定温度上。然后,通过舟升降器128使盖体5下降,开放反应管2。接下来,在盖体5上载置装入了新的半导体晶片W的晶片舟6,按照如前所述的方式再次进行成膜处理。
<实验>
使用图1和图2中示出的成膜装置1,执行成膜处理和清洁处理,进行对附着在等离子体生成部10内的副生成物膜的除去状态进行检查的实验。具体地说,进行图4中示出的成膜处理,在半导体晶片W上形成氮化硅膜,其中硅氮化物等的反应生成物作为副生成物膜堆积在等离子体生成部10和反应管2内。接下来,进行图4中示出的清洁处理,然后进行等离子体生成部10内的副生成物膜的除去。在清洁处理之后,通过用显微镜对等离子体生成部10和反应管2的壁面的表面状态进行照相后的照片进行检查。其结果是,附着在等离子体生成部10的壁面上的副生成物膜被除去,但是附着在反应管2的壁面上的副生成物膜没有被除去。因此,能够确认根据上述实施方式的方法能够优先地除去附着在等离子体生成部10内的副生成物膜。
<归结以及变更例>
如上所说明的,根据本实施方式,在每一个循环中至少除去了等离子体生成部10内的副生成物膜。此外,通过进行排气工序和稳定化工序的两阶段的流动工序(蚀刻工序)的后操作,使来自于等离子体生成部10的氟气与附着在反应管2内的副生成物膜难以发生反应。因此,可优先除去附着于等离子体生成部10内的副生成物膜。由于该局部清洁处理能够在短时间内高效地进行,此外能够使对整个反应室的内部进行整体清洁处理的周期变长,所以可能提高装置的吞吐量。此外,由于局部清洁处理能够按照对象区域的副生成物膜设定清洁气体的组成和处理条件等,所以可以可靠地除去该副生成物膜,结果是可以抑制颗粒的发生。
在上述实施方式中,进行排气工序和稳定化工序的两阶段的流动工序(蚀刻工序)的后操作,但是例如也可以只进行排气工序,除去等离子体生成部10内的氟气。在该情况下,通过在每一个循环中至少除去等离子体生成部10内的副生成物膜,可以优先地除去附着在等离子体生成部10内的副生成物膜。
在上述实施方式中例示了在反应管2的下端附近的侧面上设置排气口3,在那里直接流入来自处理空间的气体的成膜装置1。代替这种成膜装置1,例如如图6所示,也可以是在反应管2的一侧设置用来对反应管2内的气体进行排气的排气空间21,在处理空间和排气空间21之间的隔壁上设置形成了多个排气孔3h3的成膜装置1。在该情况下,也通过在每一个循环中至少除去等离子体生成部10内的副生成物膜,可以优先地除去附着在等离子体生成部10内的副生成物膜。此外,在批量式的横型成膜装置和单片式的成膜装置中也适用本发明。
在上述实施方式中,使用MLD法形成氮化硅膜,但是例如也可以使用热CVD法形成氮化硅膜。在上述实施方式中,例示了具有等离子体生成部10的成膜装置1,但是本发明例如也可以适用于具有利用催化剂、UV、热、磁力等其他介质的气体激励单元的成膜装置。而且,在上述实施方式中,将成膜装置1构成为形成氮化硅膜,但是本发明也可以适用于形成氧化硅膜、氧氮化硅膜、聚硅膜等其他薄膜的成膜装置。
在上述实施方式中,例示了在供给DCS气体等成膜气体时供给作为稀释气体的氮气的情况。关于这一点,也可以在供给成膜气体时不供给氮气。但是,由于通过含有氮气作为稀释气体可以使处理时间的设定等变得容易,所以优选含有稀释气体。作为稀释气体,优选是不活泼性气体,除了氮气之外,例如可以使用氦(He)、氖(Ne)、氩(Ar)、氙(Xe)。

Claims (20)

1.一种用来在反应室内在被处理基板上形成薄膜的半导体处理用的成膜装置的使用方法,其特征在于:
为了除去堆积在从供给用来成膜的成膜气体的成膜气体供给系统,通过所述反应室,直到排气系统的气体路径的规定区域上的副生成物膜,在所述反应室内没有装入所述被处理基板的状态下,
通过交替反复进行蚀刻工序和排气工序来进行清洁处理,其中,
在蚀刻工序中,在向所述规定区域供给蚀刻所述副生成物膜的清洁气体从而活性化的同时,蚀刻所述副生成物膜,
在排气工序中,停止所述清洁气体的供给,通过所述排气系统对所述规定区域存在的空间进行排气。
2.如权利要求1所述的方法,其特征在于:
所述清洁气体从与所述成膜气体供给系统共通的喷嘴供给。
3.如权利要求2所述的方法,其特征在于:
所述规定区域是构成所述成膜气体供给系统的一部分,并且安装在所述反应室中的对所述成膜气体进行等离子体化的等离子体生成部内的区域。
4.如权利要求3所述的方法,其特征在于:
所述蚀刻工序,将所述反应室内设定为第一压力,
所述排气工序,在慢慢地将所述反应室内从所述第一压力减压到第二压力的同时,通过所述反应室,由所述排气系统对所述等离子体生成部内进行排气,
所述方法还包括在所述排气工序之后,向所述反应室内供给不活泼性气体,从而使所述反应室内从所述第二压力返回到所述第一压力,同时在所述反应室内形成所述不活泼性气体的阻碍的稳定化工序。
5.如权利要求4所述的方法,其特征在于:
所述第二压力设定在0.133Pa~1330Pa的范围内。
6.如权利要求4所述的方法,其特征在于:
所述第一压力设定在13300Pa~66500Pa的范围内。
7.如权利要求4所述的方法,其特征在于:
所述排气工序设定所述反应室内的压力的减压速率为133~798Pa/sec。
8.如权利要求4所述的方法,其特征在于:
所述蚀刻工序向所述反应室内直接供给不活泼性气体。
9.如权利要求1所述的方法,其特征在于:
所述蚀刻工序通过将所述规定区域加热到200℃~400℃的温度使所述清洁气体活性化。
10.如权利要求1所述的方法,其特征在于:
所述副生成物膜是在所述被处理基板上堆积氮化硅膜时形成的副生成物膜,所述清洁气体含有氟气。
11.一种半导体处理用的成膜装置的使用方法,其特征在于:
所述成膜装置包括:
反应室,构成为按照上下设置间隔地叠层的状态装入多个被处理基板;
支撑部件,在所述反应室内支撑所述被处理基板;
排气系统,对所述反应室内进行排气;
加热器,设置在所述反应室的周围,用来对所述被处理基板进行加热;
第一成膜气体供给系统,向所述反应室供给包含硅烷系气体的第一成膜气体;
第二成膜气体供给系统,向所述反应室供给包含氮化气体的第二成膜气体;
等离子体生成部,安装在所述反应室的外侧,形成与所述反应室内的处理空间连通的等离子体形成空间,通过所述等离子体形成空间向所述处理空间供给所述第二成膜气体;和
清洁气体供给系统,供给清洁气体,该清洁气体含有对通过所述第一和第二成膜气体的反应生成的并且附着在所述等离子体生成部的副生成物膜进行蚀刻的氟气,
所述使用方法包括:
进行成膜处理的工序,该工序通过CVD在装入到所述反应室内的所述被处理基板上形成氮化硅膜,其中,向所述反应室内供给所述第一成膜气体,在所述等离子体生成部中激励的同时向所述反应室内供给所述第二成膜气体;和
接着进行清洁处理的工序,该工序是为了除去堆积在所述等离子体生成部内的副生成物膜,在所述反应室内没有装入所述被处理基板的状态下进行的,
所述清洁处理交替多次反复进行:
蚀刻工序,在向所述等离子体生成部内供给所述清洁气体进行活性化的同时蚀刻所述副生成物膜;和
排气工序,停止所述清洁气体的供给,通过所述排气系统对所述等离子体生成部内进行排气。
12.如权利要求11所述的方法,其特征在于:
所述成膜处理交替反复向所述反应室内供给所述第一成膜气体和第二成膜气体。
13.如权利要求12所述的方法,其特征在于:
所述清洁气体从与所述第二成膜气体供给系统共通的喷嘴供给。
14.如权利要求11所述的方法,其特征在于:
所述蚀刻工序将所述反应室内设定为第一压力,
所述排气工序在慢慢地将所述反应室内从所述第一压力减压到第二压力的同时,通过所述反应室,由所述排气系统对所述等离子体生成部内进行排气,
所述方法还包括在所述排气工序之后,向所述反应室内供给不活泼性气体,从而使所述反应室内从所述第二压力返回到所述第一压力,同时在所述反应室内形成所述不活泼性气体的阻碍的稳定化工序。
15.如权利要求14所述的方法,其特征在于:
所述第二压力设定在0.133Pa~1330Pa的范围内。
16.如权利要求14所述的方法,其特征在于:
所述第一压力设定在13300Pa~66500Pa的范围内。
17.如权利要求14所述的方法,其特征在于:
所述排气工序设定所述反应室内的压力的减压速率为133~798Pa/sec。
18.如权利要求14所述的方法,其特征在于:
所述蚀刻工序向所述反应室内直接供给不活泼性气体。
19.如权利要求11所述的方法,其特征在于:
所述蚀刻工序通过利用所述加热器的热将所述等离子体生成部内加热到200℃~400℃的温度而使所述清洁气体活性化。
20.一种半导体处理用的成膜装置,其特征在于,包括:
反应室,构成为按照上下设置间隔地叠层的状态装入多个被处理基板;
支撑部件,在所述反应室内支撑所述被处理基板;
排气系统,对所述反应室内进行排气;
加热器,设置在所述反应室的周围,用来对所述被处理基板进行加热;
第一成膜气体供给系统,向所述反应室供给包含硅烷系气体的第一成膜气体;
第二成膜气体供给系统,向所述反应室供给包含氮化气体的第二成膜气体;
等离子体生成部,安装在所述反应室的外侧,形成与所述反应室内的处理空间连通的等离子体形成空间,通过所述等离子体形成空间向所述处理空间供给所述第二成膜气体;
清洁气体供给系统,供给清洁气体,该清洁气体含有对通过所述第一和第二成膜气体的反应生成的并且附着在所述等离子体生成部上的副生成物膜进行蚀刻的氟气;和
控制单元,对所述装置的动作进行控制,其中,
将所述控制单元预先设定为执行下面的方法,所述方法包括:
进行成膜处理的工序,该工序通过CVD在装入到所述反应室内的所述被处理基板上形成氮化硅膜,其中,向所述反应室内供给所述第一成膜气体,在所述等离子体生成部内激励的同时向所述反应室内供给所述第二成膜气体;和
接着进行清洁处理的工序,该工序是为了除去堆积在所述等离子体生成部内的副生成物膜,在所述反应室内没有装入所述被处理基板的状态下进行的,
所述清洁处理交替多次反复进行:
蚀刻工序,在向所述等离子体生成部内供给所述清洁气体进行活性化的同时蚀刻所述副生成物膜;和
排气工序,停止所述清洁气体的供给,通过所述排气系统对所述等离子体生成部内进行排气。
CN2008101799425A 2007-10-11 2008-10-10 薄膜形成装置及其使用方法 Expired - Fee Related CN101440482B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007265327 2007-10-11
JP2007265327A JP4918452B2 (ja) 2007-10-11 2007-10-11 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
JP2007-265327 2007-10-11

Publications (2)

Publication Number Publication Date
CN101440482A true CN101440482A (zh) 2009-05-27
CN101440482B CN101440482B (zh) 2012-07-04

Family

ID=40588519

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101799425A Expired - Fee Related CN101440482B (zh) 2007-10-11 2008-10-10 薄膜形成装置及其使用方法

Country Status (5)

Country Link
US (1) US8080477B2 (zh)
JP (1) JP4918452B2 (zh)
KR (1) KR101149097B1 (zh)
CN (1) CN101440482B (zh)
TW (1) TWI430364B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102799083A (zh) * 2012-08-29 2012-11-28 上海宏力半导体制造有限公司 光刻胶去除系统以及光刻设备
CN104911565A (zh) * 2014-03-11 2015-09-16 中微半导体设备(上海)有限公司 一种化学气相沉积装置
TWI657871B (zh) * 2015-09-28 2019-05-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置、記錄媒體及處理室內之清潔方法
CN110284120A (zh) * 2018-03-19 2019-09-27 东京毅力科创株式会社 清洗方法和成膜装置

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4531833B2 (ja) * 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
CN102420109B (zh) * 2011-06-15 2014-12-10 上海华力微电子有限公司 一种提高mim器件电容均匀性的方法
JP5647651B2 (ja) * 2012-08-09 2015-01-07 東京エレクトロン株式会社 マイクロ波処理装置の洗浄方法
JP6342670B2 (ja) * 2014-02-17 2018-06-13 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US10561172B2 (en) * 2016-03-07 2020-02-18 Wallbrooke Investments Ltd. Inductive heating apparatus and related method
JP6602699B2 (ja) * 2016-03-14 2019-11-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP6894256B2 (ja) * 2017-02-23 2021-06-30 株式会社Screenホールディングス 熱処理方法および熱処理装置
CN110534424B (zh) * 2018-07-31 2022-05-27 北京北方华创微电子装备有限公司 SiC衬底的刻蚀方法
CN112867574A (zh) * 2019-01-30 2021-05-28 应用材料公司 用于清洁真空系统的方法、用于真空处理基板的方法、及用于真空处理基板的设备

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2746448B2 (ja) 1990-02-07 1998-05-06 セントラル硝子株式会社 混合ガス組成物
JP3085364B2 (ja) 1997-07-22 2000-09-04 日本電気株式会社 Cvd装置のクリーニング方法
JP2912306B2 (ja) 1997-10-31 1999-06-28 東京エレクトロン株式会社 処理装置の洗浄方法
US6242347B1 (en) * 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
JP4253612B2 (ja) * 2002-03-28 2009-04-15 株式会社日立国際電気 基板処理装置
JP2005167027A (ja) * 2003-12-03 2005-06-23 Hitachi Kokusai Electric Inc 基板処理装置
US7253107B2 (en) * 2004-06-17 2007-08-07 Asm International N.V. Pressure control system
JP2006114780A (ja) * 2004-10-15 2006-04-27 Tokyo Electron Ltd 薄膜形成装置の洗浄方法、薄膜形成装置及びプログラム
US7494943B2 (en) 2005-10-20 2009-02-24 Tokyo Electron Limited Method for using film formation apparatus
JP4786495B2 (ja) * 2005-11-24 2011-10-05 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
US20080142046A1 (en) * 2006-12-13 2008-06-19 Andrew David Johnson Thermal F2 etch process for cleaning CVD chambers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102799083A (zh) * 2012-08-29 2012-11-28 上海宏力半导体制造有限公司 光刻胶去除系统以及光刻设备
CN104911565A (zh) * 2014-03-11 2015-09-16 中微半导体设备(上海)有限公司 一种化学气相沉积装置
CN104911565B (zh) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 一种化学气相沉积装置
TWI657871B (zh) * 2015-09-28 2019-05-01 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置、記錄媒體及處理室內之清潔方法
CN110284120A (zh) * 2018-03-19 2019-09-27 东京毅力科创株式会社 清洗方法和成膜装置

Also Published As

Publication number Publication date
US8080477B2 (en) 2011-12-20
JP4918452B2 (ja) 2012-04-18
US20090117743A1 (en) 2009-05-07
JP2009094383A (ja) 2009-04-30
KR101149097B1 (ko) 2012-05-25
TWI430364B (zh) 2014-03-11
TW200939342A (en) 2009-09-16
CN101440482B (zh) 2012-07-04
KR20090037340A (ko) 2009-04-15

Similar Documents

Publication Publication Date Title
CN101440482B (zh) 薄膜形成装置及其使用方法
KR101129741B1 (ko) 반도체 처리용 성막 장치 및 그 사용 방법
CN101192534B (zh) 半导体处理用的成膜装置及其使用方法
CN101789361B (zh) 成膜装置及其使用方法
CN101497993B (zh) 薄膜形成方法和用于形成含硅绝缘膜的装置
JP4382750B2 (ja) 被処理基板上にシリコン窒化膜を形成するcvd方法
CN101407910B (zh) 半导体处理用的成膜装置
JP2017069230A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US20120015525A1 (en) Method of cleaning a thin film forming apparatus, thin film forming method, and thin film forming apparatus
US20060081182A1 (en) Method of cleaning thin film deposition system, thin film deposition system and program
JP2006351689A (ja) シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP2008283148A (ja) 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US20230220546A1 (en) Method of cleaning, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP5918423B2 (ja) 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120704

Termination date: 20181010

CF01 Termination of patent right due to non-payment of annual fee