CN101285174B - 用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制 - Google Patents

用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制 Download PDF

Info

Publication number
CN101285174B
CN101285174B CN200810089769XA CN200810089769A CN101285174B CN 101285174 B CN101285174 B CN 101285174B CN 200810089769X A CN200810089769X A CN 200810089769XA CN 200810089769 A CN200810089769 A CN 200810089769A CN 101285174 B CN101285174 B CN 101285174B
Authority
CN
China
Prior art keywords
flow velocity
chamber
power
hydrocarbon compound
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200810089769XA
Other languages
English (en)
Other versions
CN101285174A (zh
Inventor
光得·道格拉斯·李
马修·斯伯勒
马丁·杰·西蒙斯
温蒂·H·叶
博·恒·金
穆罕默德·阿尤布
埃米尔·阿拉-巴亚提
德里克·R·维迪
伊沙姆·迈'萨德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101285174A publication Critical patent/CN101285174A/zh
Application granted granted Critical
Publication of CN101285174B publication Critical patent/CN101285174B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制的方法。这些方法减少或防止等离子体感应电荷由于无定形碳薄膜的沉积而损坏衬底。在一方面,在低RF功率等级和/或低无定形碳的烃化合物/惰性气体流速比率的条件下,在无定形碳的块层沉积之前沉积无定形碳起始层。在起始层沉积之后,RF功率、烃流速和惰性气体流速可以变化到用于块层沉积的最终值,其中该RF功率爬坡速率通常大于烃化合物和惰性气体的爬坡速率。另一方面,最小化等离子体感应电荷损坏的方法包括在一个或多个腔室中的衬底上沉积无定形碳薄膜之前,在一个或多个腔室的内表面上沉积适应层,或在制造过程中使用氧化层或电介质层涂覆内表面。

Description

用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制
技术领域
本发明的实施方式涉及集成电路的制造。更具体地,本发明的实施方式涉及用于在衬底上沉积层无定形碳薄膜的方法。
背景技术
在集成电路的制造中,等离子工艺逐渐取代热处理工艺。等离子体工艺比热处理工艺具有几个优点。例如,等离子增强化学气相沉积(PECVD)允许沉积工艺在低于相似热处理工艺所需的温度的基本低温下执行。对于具有严格热衡算需求的工艺是优点,诸如在大规模或超大规模集成电路(VLSI或ULSI)设备生产中。
然而,在集成电路制造中的等离子体工艺遇到的一个问题是由于设备暴露在等离子体条件下而造成设备损坏。通常认为不均匀等离子体环境可以产生电场梯度从而导致设备损坏。
然而,磁化系数或设备损坏程度通常至少部分取决于设备生产的等级和设备类型,设备的许多类型和等级可以经受等离子感应电荷损坏。然而,尤其是,随着在介电层表面上电荷的积累,含有沉积在衬底上的绝缘或电介质层的设备通常易受等离子感应电荷损坏。
例如,等离子感应电荷损坏可以发生在PECVD工艺中当无定形碳薄膜沉积以在栅氧化层形成构图薄膜时,诸如热氧化层。如图1所示(现有技术),在腔室100中,由于在衬底101和腔室电极(即,面板112和衬底支架114)上累积的电荷、腔室结构以及等离子体的特性,在氧化层106的上表面102和下表面104之间通常具有电势差或电场(ΔV),其中在氧化层106上沉积层120期间,氧化层106暴露在等离子体108中。电场可以导致电荷陷入(trapping)氧化层中并损坏其充当晶体管中介电层的功能,这将导致器件故障。
因此,需要一种在衬底上薄膜的增强型等离子体沉积的方法,该方法能够减小或最小化等离子感应电荷对衬底及包括该衬底的器件的损坏。
发明内容
本发明的实施方式提供在衬底上沉积无定形碳薄膜的方法,其防止或减小等离子体感应电荷损坏衬底。在一个实施方式中,沉积无定形碳薄膜的方法包括引入烃化合物到腔室,在RF功率下反应烃化合物一段时间,以在腔室的衬底上沉积无定形碳的起始层(initiation layer)。所述RF功率包括在约0.01W/cm2和约2W/cm2之间的第一RF功率等级。随后以大于所述第一RF功率等级的第二RF功率等级在起始层上沉积块(bulk)无定形碳层。
在另一实施方式中,沉积无定形碳薄膜的方法包括以第一流速引入烃化合物到腔室,以第二流速引入惰性气体到腔室。所述第一流速与所述第二流速的比率在约0.001和约1000之间。惰性气体可以是周期表中第VIII家族中的任何气体,或其组合。例如,惰性气体可以是氩、氦或二者的组合。
在另一实施方式中,一种沉积无定形碳的方法包括引入烃化合物和惰性气体到腔室,并且在RF功率下反应烃化合物一段时间,以在腔室的衬底上沉积无定形碳的起始层。在起始层沉积之后,烃化合物的流速缓慢增加到最终烃化合物流速,并且惰性气体的流速缓慢增加到最终惰性气体流速。RF功率也缓慢增加到最终RF功率等级。RF功率可以在惰性气体的流速达到最终惰性气体流速之前,以及烃化合物的流速达到最终烃化合物流速之前,缓慢增加到最终RF功率等级。随后在起始层沉积块无定形碳薄膜。
另一实施方式包括在腔室的衬底上无定形碳薄膜的块沉积之前,在腔室的一个或多个内表面沉积适应层(seasoning layer)。在一个实施方式中,在面板制造期间,氧化层沉积在腔室面板上或涂覆在面板上。在另一实施方式中,将烃化合物引入到腔室,并在RF功率下反应,以在衬底引入腔室用于在其上沉积无定形碳层之前,在腔室的一个或多个内表面上,诸如晶圆固定器的衬底支架,沉积无定形碳薄膜适应层。又一实施方式包括在腔室的面板上沉积氧化层和在腔室的一个或多个内表面,诸如衬底支架或晶圆固定器,沉积无定形碳层。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施方式对以上简要所述的本发明进行更具体描述。然而,应该注意,附图中只示出了本发明典型的实施方式,因此不能认为是对本发明范围的限定,本发明可以有其他等效的实施方式。
图1(现有技术)示出了在等离子工艺期间腔室中的整个氧化层产生的电场的示意图;
图2示出了本发明第一实施方式的工艺流程图;
图3示出了本发明第一实施方式的工艺流程图;
图4示出了在使用氩或氦基的等离子体在无定形碳薄膜的沉积期间的RF电压轨迹图;
图5示出了本发明第三实施方式的工艺流程图。
图6示出了在等离子体工艺期间面板电极所检测的RF电路轨迹和阻抗相角轨迹图。
具体实施方式
本发明的实施方式提供一种在衬底上沉积无定形碳的方法,该方法能够防止或减小等离子体感应电荷对衬底的损坏。通常这些方法包括在腔室中的衬底上无定形碳薄膜的块沉积之前,改变腔室的工艺条件和/或腔室内表面。例如,改变腔室工艺条件可以包括在衬底上块无定形碳薄膜沉积之前在衬底上沉积无定形碳的起始层,并且改变腔室内表面包括在腔室的一个或多个内表面上沉积适应薄膜。
可用于执行本发明实施方式的腔室的示例是APFTM腔室,可以从加里福尼亚州的圣克拉拉的应用材料公司购买到。
Figure S200810089769XD00032
APFTM腔室具有两个独立工艺区。为具有两个独立工艺区的300mm
Figure S200810089769XD00033
APFTM的腔室提供本应用中描述的流速。因此,每个衬底工艺区所经过的流速为流入腔室的流速的一半。
可使用的其它腔室包括能够进行等离子体增强型沉积工艺的腔室,其包括面板,即,气体分布组件的喷头,和衬底支架,两者都可以连接到RF功率源。
图2示出了根据本发明第一实施方式的工艺流程图。在步骤201,将烃化合物引入到腔室。通常,也将惰性气体引入到腔室。在步骤203,烃化合物在含有约0.01W/cm2和约2W/cm2之间的第一功率等级的RF功率下反应一段时间,以在腔室中的衬底上沉积无定形碳薄膜的起始层。RF功率可以提供诸如约13.56MHz的单一频率,或诸如约13.56MHz和350kHz的复合频率。RF功率可以在整个起始层沉积过程中保持在第一RF功率等级,或RF功率可以在起始层沉积期间可以驱升。例如,RF功率可以以约0.001W/cm2/sec和约1000W/cm2/sec的速率驱升。起始层可以在约0.01托或约100托的腔室压强和约0℃和约1000℃的衬底温度沉积。起始层可以具有约0.1
Figure S200810089769XD00041
和约5000
Figure S200810089769XD00042
的厚度。
在步骤205,块无定形碳层可以在第二RF功率等级沉积在起始层上,其中第二RF功率等级大于第一RF功率等级。第二RF功率等级可以在约0.01W/cm2和约100W/cm2之间。RF功率可以提供诸如13.56的单一频率或诸如约13.56MHz和350kHz的混合频率。块无定形碳薄膜可以在约0℃和约1000℃之间的衬底温度,诸如在约300℃和约450℃,在约0.01托和约100托之间的腔室压强,诸如约2托和约8托之间,沉积。
块无定形碳层可以具有约10
Figure S200810089769XD00043
和约100,000
Figure S200810089769XD00044
之间的厚度。通过烃化合物和用于沉积起始层的任何惰性气体连续流入腔室来沉积块无定形碳层。
在共同受让的美国专利No.6,573,030,2006年6月28日提交的美国专利申请No.11/427,324,和2006年6月13日提及澳的美国专利申请No.11/451,916中进一步说明了沉积无定形碳层的方法,在此引入其全部内容作为参考。可以使用的无定形碳层的示例包括APFTM和APF-e薄膜,两者都是由加里福尼亚州的圣克拉拉的应用材料公司开发。APFTM和APF-e薄膜可与其上的电介质增透膜(DARC)层使用,诸如氮氧化硅层,以在衬底层之下构图。
参见图2以及本发明的其它实施方式所描述的实施方式中,用于沉积起始层和块无定形碳层的烃化合物适用于烃或烃化合物,诸如烃派生物。通常,在烃源中包括的烃化合物或其中的派生物可以用公式CAHBOCFD描述,其中A具有1到24的范围,B具有0到50的范围,C具有0到10的范围,D具有0到50的范围,B和D的和至少为2。适合的烃化合物的具体示例包括饱和的或不饱和的脂肪烃,饱和或不饱和脂环烃,以及芬香烃。
例如,脂肪烃包括烷烃,诸如甲烷、乙烷、丙烷、甲基丙烷、丁烷、二甲基丙烷、戊烷、己烷、庚烷、辛烷、壬烷等;链烯,诸如乙烯、丙烯、丁烯、戊烯等;二烯,诸如丁二烯、异戊二烯、戊二烯、己二烯等;炔,诸如乙炔、乙烯基乙炔等。例如,脂环烃包括环丙烯、环丁烷、环戊烷、环戊二烯等。例如,芳烃包括苯、苯乙烯、甲苯、二甲苯、吡啶、乙苯、乙酰苯、苯甲酸甲酯、醋乙酸苯酯、苯酚、甲酚、呋喃等。此外,松油烯(ATP)、对异丙基甲苯、1,1,3,3,-四甲基丁苯、t-丁基、t-丁基乙烯、甲基丙烯酸甲酯以及可选t-丁基糠基醚。
适合的烃化合物派生物的示例为氟化的烷烃、卤化的烷烃以及卤化的芬芳化合物。例如,氟化的烷烃包括单氟甲烷、二氟甲烷、三氟甲烷、四氟甲烷、单氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、单氟丙烷、三氟丙烷、五氟丙烷、八氟丙烷、单氟丁烷、四氟丁烷、八氟丁烷、二氟丁烷、单氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、单氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷等。卤化烯烃包括单氟乙烯、二氟乙烯、三伏乙烯、四氟乙烯、单氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等。卤化芬芳化合物包括单氟苯、二氟苯、四氟苯、六氟苯等。
除了烃化合物,在此提供的任何实施方式中的起始层和块无定形碳层的沉积中可以使用一个或多个惰性气体。所述一个或多个惰性气体可以是氩、氦或二者的组合。
回到图2的实施方式,应该发现在衬底的氧化层上的无定形碳的起始层的沉积在低RF功率条件下,即在约0.01W/cm2和约2W/cm2之间,需要约1秒或更长时间,减小了腔室中RF的不稳定性,如所测量的阻抗相角。通常认为起始层起到保护层的功能,其占用施加到整个氧化层的电压的一部分,从而减小施加到整个氧化层的电压,从而减小施加到整个氧化层的电场,从而减小了氧化损坏。
应该发现,在初始氧化层沉积期间的RF驱升的控制速率是控制等离子体感应电荷损坏及设备产量(yield)的一个因素。由于高RF爬坡率减小设备产量,所以优选较低的RF爬坡率,即在约0.001W/cm2/sec和约10W/cm2/sec之间。较低RF爬坡率对于在其上沉积起始层的整个层上,例如氧化薄膜上,最小化突然的电荷累积并产生电流峰值是令人满意的。
图3示出了根据本发明另一实施方式的工艺流程图。在步骤301,将烃化合物以第一流速引入到腔室。在步骤303,将惰性气体以第二流速引入到腔室。尽管步骤303在步骤301后示出,但步骤301和303同时进行。第一流速与第二流速的比率在约0.001和约1000之间。在步骤305,烃化合物在RF功率下反应一段时间,从而在腔室中的衬底上沉积无定形碳薄膜的起始层。
在一个实施方式中,惰性气体是氦,第一流速和第二流速的比率在约0.001和约1000之间。在另一实施方式中,惰性气体是氩,第一流速和第二流速的比率在约0.001和约1000之间。
在另一实施方式中,惰性气体是惰性气体的混合物,其包括氦和氩,以及烃化合物是丙烯。氢化物的流速和氦的流速的比率在约0.001和约1000之间。氢化物流速和氩的流速的比率在约0.001和约1000之间。
所用的惰性气体的选择能够减小等离子体感应电荷的损坏。例如,选择氦作而不是氩为惰性气体,能够减小等离子体感应电荷的损坏,因为氦更难电离(用于He的24.6eV电离电压与用于Ar的15.9eV电离电压),从而氦比氩能够提供较低的电子密度和较低的电极和表面电荷。如图4所示,在使用Ar作为惰性气体的无定形碳沉积期间记录的RF电压轨迹示出了等离子体的不稳定性,而在使用He的无定形碳薄膜沉积期间所记录的RF电压轨迹没有示出等离子体的不稳定性。
如果使用惰性气体混合物,可以修整混合物中惰性气体的相对比例,以减小等离子体感应电荷的损坏。例如,如果使用氦和氩混合物,使用更多氦(相对于氩)的等离子体能够减小等离子体感应电荷损坏。
许多烃化合物具有低于氩的电离电压,使用低的烃/惰性气体流速比率,即在约0.001和约10之间,可以减小等离子体感应电荷损坏。
在步骤305中的起始层沉积之后,可以调整烃化合物的流速和惰性气体的流速,RF功率可以驱升以在起始层上沉积块无定形碳薄膜,如步骤307所示。
在又一实施方式中,在起始层沉积之后,块无定形碳层沉积期间RF功率和气体流速之间的关系是可控的,从而减小等离子体感应电荷的损坏。例如,可以控制RF功率的变化快于块无定形碳层沉积的气体流速调整到最后流速。图5示出了该实施方式的工艺流程图。在步骤501,将烃化合物和惰性气体引入到腔室。可以以约1sccm和约100,000sccm之间的流速将烃化合物引入到腔室。在步骤503,烃化合物在RF功率下反应一段时间,以在腔室中的衬底上沉积无定形碳的起始层,其中存在RF驱升或不存在RF驱升。RF功率可以在约0.01W/cm2和约2W/cm2之间。在步骤505,RF功率缓慢增加到最终RF功率等级,诸如在约0.01W/cm2和约100W/cm2之间。随后,在步骤507中,烃化合物的流速缓慢增加到最终流速,诸如约10sccm和约100,000sccm之间,惰性气体的流速缓慢增加到最终流速,诸如约10sccm和约100,000sccm之间。在步骤509中,在起始层上沉积块无定形碳薄膜。
尽管按序示出步骤505和507,但步骤505和507可以同时发生。尽管通常RF的爬坡率高于气体的爬坡率,即,在气体驱升到它们的最后等级之前,RF功率就已经驱升到其最后等级,在另一实施方式中,RF爬坡率可以不高于气体的爬坡率,只要有足够的RF功率来分离腔室中的气体并保持稳定的等离子体。
除了在无定形碳起始层的沉积和随后的块无定形碳层的沉积期间控制RF功率和气体流速,已经发现可以选择其它工艺条件来最小化等离子体感应电荷的损坏。例如,可以控制起始层沉积期间流入腔室中的总气体流,在起始层沉积期间腔室压强,以及在起始层沉积期间面板和衬底支架之间的间距以最小化等离子体感应电荷损坏。通常,较高气体总流速,例如在约100sccm和约100,000sccm之间,较高的压强,例如约1托和约100托之间,更大的间隔,例如约100mils和约2000mils之间是令人满意的。在起始层沉积期间可以使用约25℃和约750℃之间的衬底温度。
本发明的另一实施方式提供减小等离子体感应电荷损坏的方法,其中,这些方法包括在腔室中的衬底上的无定形碳薄膜的块沉积之前改变例如,适应,腔室的内表面。例如,在烃化合物引入到腔室并在RF功率下反应一段时间之前,氧化层可以沉积在腔室的面板上。氧化层可以沉积在原位,即在沉积腔室内侧使用等离子体,或其可以在面板制造期间预先沉积,诸如通过电气化学涂覆。对于原位沉积,可以使用SiH4、N2O和惰性气体从等离子体沉积无掺杂的硅玻璃(USG)。可以使用其它氧化等离子体以增加面板上的氧化物。诸如在约0.01W/cm2和约100W/cm2之间等级的RF功率可以在氧化层沉积期间施加到面板。氧化层可以具有约10
Figure S200810089769XD00071
和约10000
Figure S200810089769XD00072
之间的厚度。具有约1000-3000
Figure S200810089769XD00074
氧化层的面板上的RF电压和阻抗相角轨迹示出了几乎不具有RF稳定性,而具有约100
Figure S200810089769XD00075
氧化层的面板上的RF电压和阻抗相角轨迹示出了RF稳定性。厚的氧化层降低了靶电容并减少了整个RF等离子体壳层(sheath)的电荷和压降,从而使得对随后沉积无定形碳的衬底的层具有较小的电荷损坏。
在另一实施方式中,在腔室中的衬底上块沉积无定形碳薄膜之前,无定形碳层沉积在腔室的一个或多个内表面上。所述一个或多个内表明包括腔室面板和衬底支架,其也可以是晶圆固定器或加热器。在无定形碳沉积期间,将诸如在约0.01W/cm2和约100W/cm2之间的等级的RF功率施加到面板和/或衬底之间。无定形碳层可以具有约10
Figure S200810089769XD00081
和约100,000
Figure S200810089769XD00082
之间的厚度。应该发现,沉积无定形碳层60秒对应于约1500层,从而防止了RF的不稳定性。无定形碳层在沉积支架上提供保护适应层,其减小了腔室中衬底上的电场,并保护面板上的适应层,从而减少衬底上的电荷。
在此所提供的沉积无定形碳薄膜的任何实施方式可彼此结合使用。例如,在又一实施方式中,在约0.01W/cm2和约100W/cm2的第一RF功率等级在腔室的衬底上沉积无定形碳的起始层,然后在大于第一RF功率等级的第二RF功率等级在起始层上沉积块无定形碳薄膜,在上述步骤之前,腔室可以适应氧化层或无定形碳层。通常也认为在此提供的任何实施方式可用于当介电薄膜除了无定形碳薄膜沉积之外还经受其它PECVD工艺时,减小等离子体感应电荷对衬底表面上除了氧化层之外的其它电介质薄膜的损坏。
在此提供判断某种工艺条件是否导致等离子体感应电荷损坏的方法。例如,可以在面板电极监测RF电压以检测在衬底等离子工艺期间等离子体的不稳定性。可以在面板电极监测RF电流和阻抗相角以监测等离子体的不稳定性。在面板电极测量的RF DC偏置电压可以检测等离子体的不稳定性。在每一种情况下,当因素,即,RF电压、RF电流、RF阻抗相角和DC偏置在等离子体工艺期间监测到突然下降或升高,能够反应等离子体的不稳定性。例如,图6示出了通过RF电流或阻抗相角在等离子体工艺期间的改变反应等离子不稳定周期。
上面所描述的监测方法可用于评价在没有或最小化等离子体感应电荷对衬底损坏的情况下,用于沉积无定形碳薄膜的不同工艺条件。此外或可选地,通过分析薄氧化层的质量可以评价不同的工艺条件,诸如具有约10
Figure S200810089769XD00084
和约1000
Figure S200810089769XD00085
之间的厚度,例如约100
Figure S200810089769XD00086
其中在不同的工艺条件下和具有不同的硬件,例如,适应的或非适应的腔室表面,沉积无定形碳层,随后从氧化层移除,诸如通过氧或基于臭氧的灰化工艺。例如,可以测量陷入薄氧化层中的电荷的相对数量,例如,通过电晕放电的Q-V扫光提供对潜在等离子体感应损坏的估计,其可由一套工艺条件产生。
示例
无定形碳的起始层沉积在300mm
Figure S200810089769XD00091
APFTM腔室约3秒,在衬底上的氧化层产生约50的厚度。丙烯(C3H6)、氦和氩的气体混合物用于沉积。将丙烯以200sccm的流速引入到腔室。以2000sccm的流速将氦引入到腔室。将氩以3600sccm的流速引入到腔室。在1W/cm2功率等级使用13.56MHz RF功率并且以约0.3W/cm2/sec的RF爬坡率沉积起始层。衬底温度为550℃,腔室压强为10托。面板和衬底支架之间的间隔为250mils。
随后,RF功率以0.3W/cm2/sec的爬坡率驱升到2.2W/cm2。丙烯的流速变为具有300sccm/sec爬坡率的1600sccm,氦流速变为400sccm,以及氩流速变为3600sccm。在RF功率达到2.2W/cm2之后,达到最终气体流速。随后在起始层上沉积块无定形碳层。
虽然前述涉及本发明的实施方式,但在不偏离本发明的基本范围内可设计其它和进一步的实施方式,并且本发明的范围由以下权利要求书确定。

Claims (19)

1.一种沉积无定形碳薄膜的方法,其特征在于,该方法包括:
将烃化合物引入到腔室中;
在处于0.01W/cm2和2W/cm2之间的第一RF功率等级的RF功率下,在驱升所述RF功率的同时,使所述烃化合物反应一段时间,以在所述腔室中的衬底上沉积无定形碳薄膜的起始层;
在第二RF功率等级下,在所述起始层上沉积块无定形碳薄膜,其中,所述第二RF功率等级大于所述第一RF功率等级。
2.根据权利要求1所述的方法,其特征在于,所述起始层的厚度在
Figure FDA00002583238400011
Figure FDA00002583238400012
之间。
3.根据权利要求1所述的方法,其特征在于,以0.001W/cm2/sec和1000W/cm2/sec之间的速率驱升所述RF功率。
4.根据权利要求1所述的方法,其特征在于,还包括在沉积所述起始层之前适应所述腔室,其中,适应所述腔室包括在所述腔室的一个或多个内表面上沉积无定形碳层。
5.一种沉积无定形碳薄膜的方法,其特征在于,该方法包括:
以第一流速将烃化合物引入腔室中;
以第二流速将惰性气体引入腔室中,其中所述第一流速与所述第二流速的比率在0.001和1000之间;
使所述烃化合物在RF功率下反应一段时间,以在所述腔室中的衬底上沉积无定形碳的起始层;以及
在沉积所述起始层之后驱升所述RF功率,以在所述起始层上沉积块无定形碳薄膜。
6.根据权利要求5所述的方法,其特征在于,所述惰性气体为氦,并且所述第一流速与所述第二流速的比率在0.001和1000之间。
7.根据权利要求5所述的方法,其特征在于,所述惰性气体为氩,并且所述第一流速与所述第二流速的比率在0.001和1000之间。
8.根据权利要求5所述的方法,其特征在于,所述惰性气体包括氦和氩,并且所述烃化合物的流速与所述氦的流速的比率在0.001和1000之间,并且所述烃化合物的流速与所述氩的流速的比率在0.001和1000之间。
9.根据权利要求5所述的方法,其特征在于,流入到所述腔室中的所述烃化合物和惰性气体的总流速在0.01sccm/cm2和1000sccm/cm2之间。
10.根据权利要求5所述的方法,其特征在于,所述RF功率包括0.01W/cm2和100W/cm2之间的RF功率等级。
11.根据权利要求5所述的方法,其特征在于,其中在所述起始层上沉积块无定形碳薄膜包括在沉积所述起始层之后调整所述烃化合物的流速和所述惰性气体的流速。
12.一种沉积无定形碳薄膜的方法,其特征在于,该方法包含:
将烃化合物和惰性气体引入腔室中;
使所述烃化合物在RF功率下反应一段时间,以在所述腔室的衬底上沉积无定形碳薄膜的起始层;
在沉积所述起始层之后,将所述烃化合物的流速缓慢增加为最终烃化合物流速,将所述惰性气体的流速缓慢增加为最终惰性气体流速,将所述RF功率驱升到最终RF功率等级;以及随后
在所述起始层上沉积块无定形碳薄膜。
13.根据权利要求12所述的方法,其特征在于,在所述起始层沉积期间的所述RF功率包括0.01W/cm2和2W/cm2之间的RF功率等级。
14.根据权利要求12所述的方法,其特征在于,在所述惰性气体的流速达到所述最终惰性气体流速之前和所述烃化合物的流速达到所述最终烃化合物流速之前,将所述RF功率驱升到所述最终RF功率等级。
15.根据权利要求12所述的方法,其中所述烃化合物是丙烯,所述丙烯是以200sccm的流速引入所述腔室中的。
16.根据权利要求12所述的方法,其中所述惰性气体包括氦和氩,并且所述惰性气体是使用氦相对于氩而言更多的方式引入腔室中的。
17.根据权利要求12所述的方法,其中所述惰性气体包括氦和氩,并且所述氦是以2000sccm的流速引入腔室中的,而所述氩是以3600sccm的流速引入腔室中的。
18.根据权利要求12所述的方法,其中与所述惰性气体的流速和所述烃化合物的流速缓慢增加为最终流速等级的同时,将所述RF功率驱升到最终RF功率等级。
19.根据权利要求14所述的方法,其中所述烃化合物流速与所述惰性气体流速的比率在0.001和10之间。
CN200810089769XA 2007-04-10 2008-04-10 用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制 Expired - Fee Related CN101285174B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/733,531 US20080254233A1 (en) 2007-04-10 2007-04-10 Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US11/733,531 2007-04-10

Publications (2)

Publication Number Publication Date
CN101285174A CN101285174A (zh) 2008-10-15
CN101285174B true CN101285174B (zh) 2013-03-27

Family

ID=39853975

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200810089769XA Expired - Fee Related CN101285174B (zh) 2007-04-10 2008-04-10 用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制

Country Status (4)

Country Link
US (1) US20080254233A1 (zh)
KR (1) KR101041720B1 (zh)
CN (1) CN101285174B (zh)
TW (1) TWI467638B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011137059A2 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
CN103515176A (zh) * 2012-06-19 2014-01-15 北京北方微电子基地设备工艺研究中心有限责任公司 一种暖机方法及刻蚀方法
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
JP7382761B2 (ja) * 2019-08-21 2023-11-17 東京エレクトロン株式会社 処理装置および成膜方法
CN113818002A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备方法
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill
CN116568862A (zh) * 2020-10-21 2023-08-08 应用材料公司 陈化处理腔室的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1914715A (zh) * 2004-01-30 2007-02-14 应用材料公司 用于各种刻蚀和光刻集成方案的无定型碳的使用技术

Family Cites Families (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
JPS61210518A (ja) * 1985-03-13 1986-09-18 Matsushita Electric Ind Co Ltd 磁気記録媒体の製造方法
US5087959A (en) * 1987-03-02 1992-02-11 Microwave Technology, Inc. Protective coating useful as a passivation layer for semiconductor devices
US5121706A (en) * 1987-10-16 1992-06-16 The Curators Of The University Of Missouri Apparatus for applying a composite insulative coating to a substrate
JP2610469B2 (ja) * 1988-02-26 1997-05-14 株式会社 半導体エネルギー研究所 炭素または炭素を主成分とする被膜を形成する方法
JPH01246116A (ja) * 1988-03-29 1989-10-02 Natl Inst For Res In Inorg Mater 針状,繊維状,多孔質状ダイヤモンドまたはそれらの集合体の製造法
JPH0258221A (ja) * 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
US5397558A (en) * 1991-03-26 1995-03-14 Semiconductor Energy Laboratory Co., Ltd. Method of forming diamond or diamond containing carbon film
JPH06101462B2 (ja) * 1991-04-30 1994-12-12 インターナショナル・ビジネス・マシーンズ・コーポレイション 過フッ化炭化水素ポリマ膜を基板に接着する方法および 基板
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
JPH0669190A (ja) * 1992-08-21 1994-03-11 Fujitsu Ltd フッ素系樹脂膜の形成方法
JPH07243064A (ja) * 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
JP3441011B2 (ja) * 1994-03-18 2003-08-25 富士通株式会社 アモルファスカーボンを用いた半導体装置製造方法
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
JPH0827576A (ja) * 1994-07-18 1996-01-30 Canon Inc ダイヤモンド膜の形成方法
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
JP2586844B2 (ja) * 1994-12-28 1997-03-05 日本電気株式会社 半導体装置の製造方法
TW302507B (zh) * 1995-02-10 1997-04-11 Siemens Ag
US5710067A (en) * 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
US5795648A (en) * 1995-10-03 1998-08-18 Advanced Refractory Technologies, Inc. Method for preserving precision edges using diamond-like nanocomposite film coatings
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5942328A (en) * 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
JP2956571B2 (ja) * 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5837331A (en) * 1996-03-13 1998-11-17 Motorola, Inc. Amorphous multi-layered structure and method of making the same
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US5744865A (en) * 1996-10-22 1998-04-28 Texas Instruments Incorporated Highly thermally conductive interconnect structure for intergrated circuits
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6057227A (en) * 1997-06-23 2000-05-02 Vlsi Technology, Inc. Oxide etch stop techniques for uniform damascene trench depth
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6203898B1 (en) * 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP3507322B2 (ja) * 1997-12-24 2004-03-15 キヤノン株式会社 電子写真装置
US6204168B1 (en) * 1998-02-02 2001-03-20 Applied Materials, Inc. Damascene structure fabricated using a layer of silicon-based photoresist material
US6176932B1 (en) * 1998-02-16 2001-01-23 Anelva Corporation Thin film deposition apparatus
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
JP2000106396A (ja) * 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) * 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6596465B1 (en) * 1999-10-08 2003-07-22 Motorola, Inc. Method of manufacturing a semiconductor component
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6524755B2 (en) * 2000-09-07 2003-02-25 Gray Scale Technologies, Inc. Phase-shift masks and methods of fabrication
US6521302B1 (en) * 2000-09-26 2003-02-18 Applied Materials, Inc. Method of reducing plasma-induced damage
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
US6576404B2 (en) * 2000-12-19 2003-06-10 Lsi Logic Corporation Carbon-doped hard mask and method of passivating structures during semiconductor device fabrication
TW476135B (en) * 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6548417B2 (en) * 2001-09-19 2003-04-15 Intel Corporation In-situ balancing for phase-shifting mask
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6548313B1 (en) * 2002-05-31 2003-04-15 Intel Corporation Amorphous carbon insulation and carbon nanotube wires
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US6767824B2 (en) * 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6787452B2 (en) * 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6855627B1 (en) * 2002-12-04 2005-02-15 Advanced Micro Devices, Inc. Method of using amorphous carbon to prevent resist poisoning
US20040121604A1 (en) * 2002-12-18 2004-06-24 Chun-Feng Nieh Method of etching a low-k dielectric layer
US6913868B2 (en) * 2003-01-21 2005-07-05 Applied Materials, Inc. Conductive bi-layer e-beam resist with amorphous carbon
US20040166691A1 (en) * 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1914715A (zh) * 2004-01-30 2007-02-14 应用材料公司 用于各种刻蚀和光刻集成方案的无定型碳的使用技术

Also Published As

Publication number Publication date
TWI467638B (zh) 2015-01-01
KR20080092273A (ko) 2008-10-15
CN101285174A (zh) 2008-10-15
US20080254233A1 (en) 2008-10-16
KR101041720B1 (ko) 2011-06-14
TW200849342A (en) 2008-12-16

Similar Documents

Publication Publication Date Title
CN101285174B (zh) 用于等离子体增强型化学气相沉积工艺的等离子体感应电荷损坏的控制
US20230317455A1 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
KR102656095B1 (ko) 하드마스크 막과 실리콘 산화물 막 사이의 접착을 개선하기 위한 플라즈마 처리
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
TWI421364B (zh) 利用電漿增強化學氣相沉積來沉積共形無定形碳膜層的方法
US20090297731A1 (en) Apparatus and method for improving production throughput in cvd chamber
US8361906B2 (en) Ultra high selectivity ashable hard mask film
US7632549B2 (en) Method of forming a high transparent carbon film
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
US20170062218A1 (en) Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in pecvd system
US20070248767A1 (en) Method of self-cleaning of carbon-based film
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
JP2006196624A (ja) 膜形成材料および膜形成方法
JP2013197188A (ja) 低誘電率膜の形成方法、並びに、これにより形成された半導体用絶縁膜およびこれを有する半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130327

Termination date: 20150410

EXPY Termination of patent right or utility model