CN101271828A - 半导体器件中用硬掩模层的蚀刻斜坡形成精细图案的方法 - Google Patents

半导体器件中用硬掩模层的蚀刻斜坡形成精细图案的方法 Download PDF

Info

Publication number
CN101271828A
CN101271828A CNA2007101959415A CN200710195941A CN101271828A CN 101271828 A CN101271828 A CN 101271828A CN A2007101959415 A CNA2007101959415 A CN A2007101959415A CN 200710195941 A CN200710195941 A CN 200710195941A CN 101271828 A CN101271828 A CN 101271828A
Authority
CN
China
Prior art keywords
layer
hard mask
etching
pattern
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101959415A
Other languages
English (en)
Other versions
CN101271828B (zh
Inventor
赵诚允
姜惠阑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN101271828A publication Critical patent/CN101271828A/zh
Application granted granted Critical
Publication of CN101271828B publication Critical patent/CN101271828B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种在半导体器件中使用硬掩模层的蚀刻斜坡形成精细图案的方法,包括在蚀刻目标层上形成第一硬掩模层和第二硬掩模层,通过蚀刻所述第二硬掩模层形成第二硬掩模图案,其中所述第二硬掩模层的蚀刻外形具有正斜坡,和使用所述第二硬掩模图案作为蚀刻掩模蚀刻所述第一硬掩模层和蚀刻目标层。

Description

半导体器件中用硬掩模层的蚀刻斜坡形成精细图案的方法
相关申请的交叉引用
本发明要求2007年3月19日提交的韩国专利申请2007-0026515的优先权,其全部引入作为参考。
技术领域
本发明涉及制造半导体器件的方法,更具体涉及在半导体器件中形成精细图案的方法。
背景技术
通常,随着半导体器件集成度的增加,必然需要图案的小型化;然而,因为目前的光刻装置也受到限制的光波长的约束,因此半导体器件中图案小型化也具有限制。
为了解决上述问题,最近已经提出使用两片光掩模形成精细图案的双图案化工艺。双图案化工艺能形成超出光刻装置限制的精细图案。参考图1A~1D,以下将描述双图案化工艺。
图1A~1D是在典型的半导体器件中形成精细图案的方法的横截面图。
参考图1A,在蚀刻目标层10上形成硬掩模层11之后,利用第一光刻胶层涂敷所述硬掩模层11。通过曝光和显影过程图案化第一光刻胶层,然后形成光刻胶图案12。光刻胶图案12具有间隔宽(space width)S1。然后,使用第一光刻胶图案12作为蚀刻掩模来蚀刻硬掩模层11。
参考图1B,除去第一光刻胶图案12之后,在所得结构上沉积第二光刻胶层,并对第二光刻胶层实施曝光和显影过程以形成第二光刻胶图案13。第二光刻胶图案13具有间隔宽S2。形成第二光刻胶图案13的开口,使得它们不与第一光刻胶图案12的开口重叠。
参考图1C,使用第二光刻胶图案13作为蚀刻掩模来蚀刻硬掩模层11,从而形成硬掩模图案11′。因为通过两个刻蚀过程形成硬掩模图案11′,所以降低了硬掩模图案11′的线宽和间隔宽。
参考图1D,使用硬掩模图案11′作为蚀刻掩模来蚀刻蚀刻目标层10,形成蚀刻目标图案10′。此时,蚀刻目标图案10′具有对应于硬掩模图案11′的线宽和间隔宽的线宽和间隔宽。
然而,即使该双图案化工艺应用于图案形成,仍难以形成小于20nm的微图案。图案难于形成的原因如下。
在使用双图案化工艺的图案形成中,最重要的是降低用作蚀刻掩模的第一和第二光刻胶图案之间的间隔宽(图1A和1B中的S1和S2)。然而,因为当前光刻装置的限制,难以形成小于20nm的间隔宽。如果光刻胶图案之间的间隔宽形成为处于20nm以下的范围,那么由于桥接和图案损坏可引起器件失效。因此,很难通过间隔宽小于20nm的光刻胶图案形成小于20nm的精细图案。
此外,在使用一般的光刻胶图案蚀刻下层的情况下,下层中形成的间隔宽越宽,下层的纵横比越大。因此,难以在光刻胶图案下方的下层(硬掩模层和/或蚀刻目标层)的纵横比更大的情况下形成微图案。
因此,在光刻胶图案的间隔宽是宽的和下层的纵横比是高的情况下,需要能形成精细图案的技术。
发明内容
本发明的实施方案提供在半导体器件中形成精细图案的方法,所述方法包括在图案化硬掩模层时为硬掩模层横截面提供正斜坡(positiveslope),即使在光刻胶图案的间隔宽是宽的和光刻胶图案下方的下层的纵横比是高的情况下。
根据本发明的一个方面,提供一种在半导体器件中形成精细图案的方法。所述方法包括在蚀刻目标层上形成第一硬掩模层和第二硬掩模层,通过蚀刻第二硬掩模层形成第二硬掩模图案,其中第二硬掩模层的蚀刻外形(profile)具有正斜坡,和使用第二硬掩模图案作为蚀刻掩模来蚀刻第一硬掩模层与蚀刻目标层。
附图说明
图1A~1D是在典型的半导体器件中形成精细图案的方法的横截面图。
图2A~2J是根据本发明的一个实施方案形成精细图案的方法的横截面图。
具体实施方式
图2A~2J是根据本发明的一个实施方案形成精细图案的方法的横截面图。
参考图2A,形成具有非晶碳层21、氧氮化硅(SiON)层22与氧化铝(Al2O3)层23的堆叠结构的硬掩模层。在Al2O3层23上形成蚀刻目标层20和第一抗反射层24。此时,蚀刻目标层20可以由氮化物层制成。
随后,在第一抗反射层24上沉积第一光刻胶层,并通过曝光与显影过程图案化第一光刻胶层以形成光刻胶图案25。优选第一光刻胶层为负型。在图2A中,S3表示光刻胶图案25之间的间隔宽。
参考图2B,使用第一光刻胶图案25作为蚀刻掩模蚀刻第一抗反射层24。此时,可以通过使用选自N2/O2、N2/H2、Cl2/O2和CF4/O2及其气体混合物中的一种来进行第一抗反射层24的刻蚀过程。
然后,使用第一光刻胶图案25作为蚀刻掩模蚀刻Al2O3层23。此时,实施Al2O3层23的刻蚀过程使得Al2O3层23在其横断面具有正斜坡。因此,图案化的Al2O3层23之间的间隔宽S4比光刻胶图案25之间的间隔宽S3窄。
可以基于BCl3气体进行Al2O3层23的蚀刻过程。此外,通过晶片卡盘的温度来控制Al2O3层23的横断面的斜坡。即,当晶片卡盘的温度高时横断面的斜坡垂直形成,以及当晶片卡盘的温度低时在正向形成有相对大的值。因此,可根据第一光刻胶图案25之间的间隔宽S3、通过控制晶片卡盘的温度来控制Al2O3层23的横断面的斜坡。因此,能通过控制Al2O3层23的横断面的斜坡来控制图案化Al2O3层23之间的间隔宽S4。
参考图2C,在除去第一光刻胶图案25以及第一抗反射层24之后,进行后清洗过程。此时,可以通过使用O2等离子体的除去工艺来实施第一光刻胶图案25的除去。
参考图2D,在所得结构上形成第二抗反射层26。在第二抗反射层26上沉积第二光刻胶层,并通过曝光和显影过程形成第二光刻胶图案27。在本发明的一个优选实施方案中,第二光刻胶层为负型。第二光刻胶图案27之间的距离表示为间隔宽S5。第二光刻胶图案27的开口不与Al2O3层23的开口重叠。优选的是,布置第二光刻胶图案27的开口以将Al2O3层23的每个图案分为两部分。
参考图2E,使用第二光刻胶图案27作为蚀刻掩模蚀刻第二抗反射层26。此时,可以通过使用选自N2/O2、N2/H2、Cl2/O2和CF4/O2气体中的一种或其气体混合物来实施第二抗反射层26的蚀刻过程。
随后,使用第二光刻胶图案27作为蚀刻掩模再次蚀刻Al2O3层23。Al2O3层23的蚀刻条件和图2B中所述的相同。即,实施Al2O3层23的蚀刻过程使得横断面具有正斜坡。结果,Al2O3层23的间隔宽S6比第二光刻胶图案27的间隔宽S5窄。此外,在通过BCl3气体实施Al2O3层23的蚀刻过程时,通过晶片卡盘的温度控制横向斜坡。通过应用于图2B中的第一蚀刻过程的相同的条件来实施第二蚀刻过程。
参考图2F,除去第二光刻胶图案27和第二抗反射层26之后,进行后清洗工艺。也可以通过使用O2等离子体的除去工艺来实施第二光刻胶图案27的除去。
因此,通过这两个刻蚀过程形成Al2O3图案23′。Al2O3图案23′具有比第一和第二光刻胶图案25和27的间隔宽S3和S4更窄的间隔宽S4和S6。因此,在后续工艺中蚀刻下层(SiON层22)、非晶碳层21以及蚀刻目标层20的情况下,产生具有更窄间隔宽的精细图案。
即,因为通过用于典型光刻技术的两次图案化技术不能减小光刻胶图案之间的间隔宽本身,所以使用减小硬掩模图案(尤其是Al2O3图案23′)之间的间隔宽的方法。
然而,在减小间隔宽的该方法对于精细图案不足够的情况下,可以另外采用附加工艺,该附加工艺将参考图2G详细描述。
参考图2G,在使用Al2O3图案23’作为蚀刻掩模蚀刻SiON层22时,在Al2O3图案23′和SiON层22的侧壁上形成聚合物层28。通过使用CF-基气体蚀刻SiON层22来形成这些聚合物层28。CF-基气体的氟自由基与Al2O3图案23′的Al2O3原子结合,并随后产生AlF3聚合物。因为AlF3聚合物高的不挥发特性,AlF3聚合物容易沉积在Al2O3图案23’和SiON层22的侧壁上。
在形成聚合物层28之后,所述间隔宽比Al2O3图案23’之间的间隔宽S4和S6窄很多。可以通过控制CF-基气体的流量以及氟(F)与碳(C)的比来控制AlF3聚合物的产生,也通过AlF3聚合物的这种产生来控制间隔宽S7。
参考图2H,使用Al2O3图案23’(在加入图2G中的附加工艺的情况下为Al2O3图案23’和聚合物层28)作为蚀刻掩模蚀刻非晶碳层21。非晶碳图案21’形成为具有较窄的间隔宽,其对应于Al2O3图案23’之间的间隔宽S4和S6(或在加入图2G中的附加工艺的情况下对应于间隔宽S7)。尽管在蚀刻非晶碳层21时,可能损失Al2O3图案23’和聚合物层28,但仍得到窄的间隔宽S7。
参考图2I和2J,在使用非晶碳图案21’作为蚀刻掩模来蚀刻蚀刻目标层20以形成具有窄的间隔宽的图案20’之后,除去非晶碳图案21′。可以降低图案20′之间间隔宽,例如小于20nm,并且这种减小也可以在蚀刻目标层20的纵横比高的情况下实现。
从上文可知,本发明可通过在图案化硬掩模层时为硬掩模层的横断面提供正斜坡而在半导体器件中形成精细图案,即使在光刻胶图案的间隔宽是宽的和光刻胶图案下方的下层的纵横比是高的情况下。
虽然关于具体的实施方案已经说明了本发明,但是对于本领域技术人员而言显而易见的是,可以进行各种的变化和改变而不离开如以下权利要求限定的本发明的精神和范围。

Claims (16)

1.一种在半导体器件中形成精细图案的方法,所述方法包括:
在蚀刻目标层上形成第一硬掩模层和第二硬掩模层;
通过蚀刻所述第二硬掩模层形成第二硬掩模图案,其中所述第二硬掩模层的蚀刻外形具有正斜坡;和
使用所述第二硬掩模图案作为蚀刻掩模来蚀刻所述第一硬掩模层和所述蚀刻目标层。
2.权利要求1的方法,其中形成所述第二硬掩模图案包括:
在所述第二硬掩模层上形成第一掩模图案;
使用所述第一掩模图案蚀刻所述第二硬掩模层;
除去所述第一掩模图案;
在所述第二硬掩模层上形成第二掩模图案,其中所述第二掩模图案的开口不与所述第一掩模图案的开口重叠;
使用所述第二掩模图案作为蚀刻掩模来蚀刻所述第二硬掩模层,其中所述第二硬掩模层的蚀刻外形具有正斜坡;和
除去所述第二掩模图案。
3.权利要求1的方法,其中,在蚀刻所述第一硬掩模层时,在所述第二硬掩模图案以及所述第一硬掩模层的侧壁上形成聚合物层,和其中通过所述第二硬掩模图案和所述聚合物层来图案化所述蚀刻目标层。
4.权利要求1的方法,其中所述第二硬掩模层是氧化铝(Al2O3)层。
5.权利要求2的方法,其中通过BCl3气体蚀刻所述第二硬掩模层。
6.权利要求4的方法,其中通过晶片卡盘的温度来控制所述第二硬掩模层的蚀刻外形。
7.权利要求6的方法,其中所述第二硬掩模层的蚀刻外形具有随着所述晶片卡盘的温度降低而增加的正斜坡。
8.权利要求1的方法,其中所述第二硬掩模层是Al2O3层,其中所述第一硬掩模层是SiON层,和其中通过CF-基气体蚀刻所述第一硬掩模层。
9.权利要求3的方法,其中所述聚合物层是AlF3聚合物层。
10.权利要求2的方法,其中所述第一和第二掩模图案是负型的。
11.权利要求2的方法,其中使用O2等离子体来实施所述第一或第二掩模图案的除去。
12.权利要求2的方法,还包括:
在形成所述第一和第二掩模图案之前分别形成第一和第二抗反射层;
在形成所述第一掩模图案和所述第二掩模图案之后,使用所述第一掩模图案作为蚀刻掩模来蚀刻所述第一抗反射层;和
使用所述第二掩模图案作为蚀刻掩模来蚀刻所述第二抗反射层。
13.权利要求12的方法,其中通过选自N2/O2、N2/H2、Cl2/O2和CF4/O2及其气体混合物中的一种来蚀刻所述第一和第二抗反射层。
14.权利要求1的方法,其中在所述第一硬掩模层和所述蚀刻目标层之间提供第三硬掩模层,和其中所述第三硬掩模层由信号层或多层制成。
15.权利要求14的方法,其中所述第三掩模层是非晶碳层。
16.权利要求2的方法,其中布置所述第二掩模图案的开口以将所述第一掩模图案的各开口之间的每个图案分为两部分。
CN200710195941.5A 2007-03-19 2007-12-07 半导体器件中用硬掩模层的蚀刻斜坡形成精细图案的方法 Expired - Fee Related CN101271828B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2007-0026515 2007-03-19
KR1020070026515A KR100849190B1 (ko) 2007-03-19 2007-03-19 반도체 소자의 미세 패턴 형성 방법

Publications (2)

Publication Number Publication Date
CN101271828A true CN101271828A (zh) 2008-09-24
CN101271828B CN101271828B (zh) 2012-06-13

Family

ID=39773665

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710195941.5A Expired - Fee Related CN101271828B (zh) 2007-03-19 2007-12-07 半导体器件中用硬掩模层的蚀刻斜坡形成精细图案的方法

Country Status (3)

Country Link
US (1) US8105950B2 (zh)
KR (1) KR100849190B1 (zh)
CN (1) CN101271828B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446704A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 双重图形化方法
CN110517948A (zh) * 2019-07-26 2019-11-29 中国科学院微电子研究所 一种硅衬底上外延InP半导体的方法及制得的半导体器件
CN117855036A (zh) * 2024-03-05 2024-04-09 深圳市昇维旭技术有限公司 半导体器件的制作方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101536324B1 (ko) * 2009-03-26 2015-07-14 삼성전자주식회사 절연막 패턴 형성 방법
EP2562790A1 (de) * 2011-08-26 2013-02-27 Espros Photonics AG Verfahren zur Herstellung von Halbleiterbauelementen auf einem Substrat sowie Substrat mit Halbleiterbaulelementen
US8962484B2 (en) * 2011-12-16 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming pattern for semiconductor device
US8859418B2 (en) 2012-01-11 2014-10-14 Globalfoundries Inc. Methods of forming conductive structures using a dual metal hard mask technique
TWI513993B (zh) 2013-03-26 2015-12-21 Ind Tech Res Inst 三軸磁場感測器、製作磁場感測結構的方法與磁場感測電路

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4013308B2 (ja) * 1998-01-21 2007-11-28 ヤマハ株式会社 配線形成方法
KR100465596B1 (ko) * 2000-05-24 2005-01-13 주식회사 하이닉스반도체 반도체소자의 제조방법
US7141822B2 (en) * 2001-02-09 2006-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20040048203A1 (en) * 2002-09-10 2004-03-11 Hitachi, Ltd. Method of manufacturing a semiconductor device for high speed operation and low power consumption
KR20050035363A (ko) * 2003-10-13 2005-04-18 주식회사 하이닉스반도체 반도체 제조 공정에서의 패턴 형성 방법
KR20050073363A (ko) * 2004-01-09 2005-07-13 매그나칩 반도체 유한회사 반도체 소자의 콘택홀 형성방법
US7208407B2 (en) * 2004-06-30 2007-04-24 Micron Technology, Inc. Flash memory cells with reduced distances between cell elements
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US20060076677A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Resist sidewall spacer for C4 BLM undercut control
JP4652140B2 (ja) 2005-06-21 2011-03-16 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
KR100640657B1 (ko) 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR101298940B1 (ko) * 2005-08-23 2013-08-22 주식회사 동진쎄미켐 포토레지스트 조성물 및 이를 이용한 박막 트랜지스터기판의 제조방법
KR100675282B1 (ko) * 2005-09-12 2007-01-29 삼성전자주식회사 미세 패턴 형성 방법, 및 이를 이용한 트렌치 소자분리막형성 방법
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
KR100758296B1 (ko) * 2006-01-31 2007-09-12 삼성전자주식회사 트렌치의 형성 방법
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446704A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 双重图形化方法
CN102446704B (zh) * 2010-10-14 2013-09-11 中芯国际集成电路制造(上海)有限公司 双重图形化方法
CN110517948A (zh) * 2019-07-26 2019-11-29 中国科学院微电子研究所 一种硅衬底上外延InP半导体的方法及制得的半导体器件
CN110517948B (zh) * 2019-07-26 2021-12-21 中国科学院微电子研究所 一种硅衬底上外延InP半导体的方法及制得的半导体器件
CN117855036A (zh) * 2024-03-05 2024-04-09 深圳市昇维旭技术有限公司 半导体器件的制作方法
CN117855036B (zh) * 2024-03-05 2024-05-28 深圳市昇维旭技术有限公司 半导体器件的制作方法

Also Published As

Publication number Publication date
CN101271828B (zh) 2012-06-13
KR100849190B1 (ko) 2008-07-30
US20080230516A1 (en) 2008-09-25
US8105950B2 (en) 2012-01-31

Similar Documents

Publication Publication Date Title
CN101271828B (zh) 半导体器件中用硬掩模层的蚀刻斜坡形成精细图案的方法
US7271108B2 (en) Multiple mask process with etch mask stack
US9831117B2 (en) Self-aligned double spacer patterning process
US9472414B2 (en) Self-aligned multiple spacer patterning process
KR102637883B1 (ko) 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US20220367186A1 (en) Patterning scheme to improve euv resist and hard mask selectivity
US20080176404A1 (en) Method for fabricating semiconductor device
KR101322112B1 (ko) 마스크 패턴의 형성 방법
US20090068842A1 (en) Method for forming micropatterns in semiconductor device
CN101471235B (zh) 制造半导体器件的方法
TW201923834A (zh) 半導體結構的形成方法
JP3585039B2 (ja) ホール形成方法
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
US20060057852A1 (en) Process for low k dielectric plasma etching with high selectivity to deep uv photoresist
KR100900243B1 (ko) 반도체소자의 비트라인 형성방법
KR20090001080A (ko) 반도체 소자의 미세 패턴 형성 방법
US20080280216A1 (en) Method of forming a hard mask pattern in a semiconductor device
KR101614410B1 (ko) 높은 선택비를 갖는 식각방법 및 이를 이용한 패턴 형성방법
KR20100042423A (ko) 반도체 소자의 패턴 형성 방법
KR100939168B1 (ko) 반도체 소자의 패턴 형성 방법
CN111640668B (zh) 半导体器件及其形成方法
KR20070021506A (ko) 반도체 소자의 제조방법
KR20110060757A (ko) 반도체장치 제조 방법
KR100609234B1 (ko) 하부 반사방지막의 얕은 트랜치 절연 형성 방법
KR20080018422A (ko) 반도체 장치 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120613

Termination date: 20131207