CN101183401A - 降低电子电路的线间耦合的布线方法和装置 - Google Patents

降低电子电路的线间耦合的布线方法和装置 Download PDF

Info

Publication number
CN101183401A
CN101183401A CNA2007101650505A CN200710165050A CN101183401A CN 101183401 A CN101183401 A CN 101183401A CN A2007101650505 A CNA2007101650505 A CN A2007101650505A CN 200710165050 A CN200710165050 A CN 200710165050A CN 101183401 A CN101183401 A CN 101183401A
Authority
CN
China
Prior art keywords
line
network
type
disturbed
interfering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101650505A
Other languages
English (en)
Other versions
CN101183401B (zh
Inventor
M·比勒
D·S·瑟奇
M·百来迪
A·P·马西尼
J·J·库尔丁
C·瓦基尔茨斯
D·R·塞帕尔
B·A·迈尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101183401A publication Critical patent/CN101183401A/zh
Application granted granted Critical
Publication of CN101183401B publication Critical patent/CN101183401B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

提出了一种降低电子电路的线间耦合的布线方法,其中根据其耦合特性分类多组网络,并且根据耦合特征选择分配给该多组网络的线间间隔。

Description

降低电子电路的线间耦合的布线方法和装置
技术领域
本发明涉及一种降低电子电路的线间耦合的布线方法。
背景技术
在制造微电子元件例如计算机处理单元(CPU)和专用集成电路(ASIC)时涉及许多步骤和过程,所述计算机处理单元(CPU)和专用集成电路(ASIC)在计算机、移动电话和便携式电子装置中广泛应用。制造越来越小的电子装置,在制造CPU和ASIC中形成了新的挑战。半导体装置中材料先前不影响可加工性或者性能的物理特征成了主要阻碍。
由于引起相邻线电容耦合的减缩距离准则(“线间距”),因此设计现代电子电路例如线尺寸为130nm及以下的CPU和ASIC变得越来越难。耦合描述了一种电磁效应,这里一条线(干扰线)中的信号变化会影响临近线(受扰线)中的信号。干扰线或者会引起受扰线改变其在某时间跨度上的静态值,即所谓的“假信号”,或者会引起受扰线中加速或者减速的信号变化。因为可传播错误的信号,所以在任何情况下这都会引起数字系统失效。
已知几种方法消除干扰线和受扰线之间的电容耦合。
在本领域中已知的减少耦合问题的最简单方法涉及以标准布线进行,然后手动或者自动地后加工解决该问题。基于所谓的Steiner布线,在时序收敛后完成标准布线。然后,设计者进行耦合分析。基于避免耦合问题的分析,通过将中继器或者调整的驱动器插入受扰网络(设计工具链中线的数据表示)而形成逻辑变化,并且采用隔离线类型以额外间隔改线受扰线或者可选地改线干扰线。
缺点在于逻辑变化要求设计基础结构支持更改布线设计中的单元的能力。在最坏的情况下,因为向时序关键网添加附加缓冲器可增加太大延迟或者不存在足够大的驱动器,所以没有解决方案。增大额外间隔消耗许多配线资源,并且具有引起迂回、断开或者不可布线的副作用。如果该额外间隔为软要求,则可能不会产生迂回或断开。但是不能解决初始问题,特别是在耦合问题最可能发生的拥挤区域。
另一种方法例如涉及以建议的额外间隔布线所有网络。和上述方法一样,仍然需要后加工。
在专利US 6,507,935 B1中公开了自动化分析线间串扰的方法。对于多条线的至少一条电势受扰线,发现芯片的线子集为该受扰线的多条电势干扰线。确定每条电势受扰线的警报阈值,并与受扰线上耦合噪声的计算高度相比较,以确定哪些设计的线受到足够的串扰噪声而需要重新设计。在专利US 6,327,542 B1中公开了相似的方法,其提出了一种确定干扰耦合电容和耦合电压噪声的方法。但是,两个专利的公开内容都建议分析网络的线间的串扰。如果串扰水平太高,则有必要后加工该网络。
在专利US 6,510,545 B1中公开了一种避免线间串扰的方法。通过在相同金属层上的信号线附近引入VDD和VSS线而实现减小串扰,VDD和VSS线通过屏蔽信号线而减小串扰。在所有网络线上进行这样的屏蔽。在认为不必要的区域消除屏蔽。虽然提出了避免串扰的有效方法,但是该方法昂贵并且仅仅可实用地应用到少数网络。
发明内容
因此本发明的目标在于提供一种改进的布线方法,其允许以节省成本的方法减小电子电路的线间耦合,从而避免不必要的后处理,所述布线方法对应计算机程序、计算机程序产品和计算机系统。
通过独立权利要求的特征实现本发明的目标。其它权利要求和说明书公开了本发明的有利实施例。
根据本发明,提出了一种减小电子电路线间耦合的布线方法,其中根据其耦合特征分类网络组,并且根据耦合特征选择分配给网络组的线间间隔。
有利地,可大大减小或者甚至消除电子电路线间电容耦合,同时保存尽可能多的线路资源。该方法仅仅需要比已知方法少的少量布线资源。仅仅必要时才需要线间隔。通过将受扰线和其所有干扰线隔离,解决了耦合问题的根本问题。可将信号网络置于其它“安全”网络附近。默认网络可以和所有其它网络相邻,受扰网络可以和其它受扰网络相邻,而干扰网络可以和其它干扰网络相邻。
用户可更新在设计和/或布线中通常使用、而且不需要对布线器源算法或者源代码进行任何改变即可定义线间隔要求的技术文件。这样,其节省运行时间:因为在技术规则中定义了避免耦合,所以不需要动态(on-the-fly)检查。这样的技术文件用作布线程序的特定输入。技术文件为每个具体的(硬件)应用过程提供了具体的设计规则,例如各种CMOS(互补金属氧化物半导体)技术。该技术文件对能够支持特定过程的通用布线程序是必要的。
本发明可与其它减小耦合的现有方法结合。如果不是所有则大部分布线器优化其处理新线类型或者间隔规则以预料测新技术并改变客户和制造要求的能力。在应用定制间隔规则下,可通过在特殊线类型前面和后面的线信号上出现的耦合寄生量测量电容耦合减小的有效性。
优选,在电子装置例如CPU或者ASIC或者印刷电路板中采用利用布线方法的电子电路。最优选的是基于线间距最大为ca.400nm的亚微米技术的电子装置。
根据优选实施例,至少一组网络分类为受扰网络,其包括分类为一个或多个耦合问题的受扰网络的(优选所有的)网络,以及至少一组网络分类为干扰组,其包括分类为一个或多个耦合问题的干扰网络的(优选所有)网络,并且将特定距离规则应用至不同网络组。
合理地,另外引入:至少一个受扰-干扰组,其包括分类为一个或多个耦合问题受扰和干扰网络的(优选所有)网络的网络;和/或默认组,其包括对耦合问题不灵敏的(优选所有)网络。
为将网络组应用于电子电路的布图中,将线类型分配给特定的网络组。优选,在多层中两维设置线。
优选,根据其特定线类型选择相邻线间的间隔。如果必要仅仅提供附加的间隔。
优选,应用第一线和第二线之间的第一距离规则,所述第二线与第一线相邻,除非:
-第一线为受扰线,而第二线为干扰线或者受扰-干扰线,或者
-第一线为干扰线,而第二线为受扰线或者受扰-干扰线,或者
-第一线为受扰-干扰线,而第二线为受扰线或者干扰线或者受扰-干扰线;这里,对于这些情况应用第二距离。
优选增加相邻线之间的间隔以避免耦合。合理地,如果其中一条或者两条相邻线引起相邻线耦合,则间隔增加。
如果所使用的布线器程序不限于仅仅支持基于线宽度的间隔,则优选应用另一个优选实施例。实际上,典型设计中99%的线宽度相等。所述方法还对宽度变化的线起作用。
在该实施例中,如果以第一距离规则将最小间隔选为第一间隔,则可实现最小间隔消耗。该间隔优选满足特定制造技术的最小间隔要求,在电子电路的制造中采用该技术。
以第二距离规则将额外的间隔添加至第一间隔而获得第二间隔。只在必要的地方提供额外的间隔。不会引起耦合问题的“安全”线类型线可相邻设置。
根据另一个优选实施例,将线宽度分配给至少两种线类型。优选,所有的线类型的各自宽度不同,其中宽度代表每种特定线类型。如果布线器程序仅仅支持基于线宽度的间隔,则其优选应用。
优选,宽度以默认线类型、受扰线类型、干扰线类型、受扰-干扰线类型的相同顺序增加,而间隔要求在相同类型线间降低,从而对于每个网的布线获得恒定的线间距。对每个网络可以指定默认宽度和默认间隔。实际上,对于大多数网络,不同网络的默认宽度和默认间隔通常相同。
线宽度优选以布线器分辨率的偶数倍增加。合理地,该分辨率远小于应用至线的默认宽度。为布线目的,可通过比较特定线类型的线宽度与其他线宽度的微小差异来可靠地区分所述特定线类型和其他线类型。
优选,如果第一线为默认线类型,则线间间隔从默认线到受扰线到干扰线到受扰-干扰线类型降低。
例如,线宽度从默认线到受扰线到干扰线到受扰-干扰线类型增加,而相同线类型线间间隔要求从默认到受扰到干扰到受扰-干扰线类型减小,这里优选间隔要求以布线器分辨率的偶数倍减小。
以第一距离规则,线间隔以布线器分辨率单位减小。相邻默认线间间隔优选是制造相应电子电路所采用技术产生的默认间隔。
以第二距离规则,将附加间隔添加至第一线间隔。
为改进所有提出实施例中的方法,可包括附加类型的受扰和干扰网络。距离和/或线宽度规则适合于节省附加线路资源。
为避免重要信号的迂回,可对所有提出实施例中的至少一个时序关键网络应用加权函数。因为时序对于电子电路的性能是重要的,这允许对时序关键的单个网或者多个网区分优先次序。
最优选地,以如下步骤进行布线
-定义不同类型的网络组,具体是默认网络、受扰网络、干扰网络和受扰干扰网络,
-进行电子电路的总体布线,
-运行耦合分析,
-为该网络类型分配线类型,具体是为默认网络分配默认线类型、为受扰网络分配受扰线类型、为干扰网络分配干扰线类型,并且为受扰-干扰网络分配受扰-干扰线类型,
-进行局部布线,
-基于局部布线结果运行耦合分析
-为该网络类型分配线类型,
-取消和改线新线类型,同时允许取消其它类型,
-如果必要,重复布线和分配步骤,
-如果为布线改变了线宽度,则为初始宽度重置线宽度,
-从布线返回。
这一点确定,至少对于布线过程后的掩模产生,将该线宽度重新设置为其初始值。
附图说明
从下面对实施例的详细描述可最好地理解本发明以及上述及其它目标和优点,但是其不限于该实施例,其中示出了:
图1干扰线和受扰线之间的耦合问题;
图2a、b根据本发明的第一实施例应用了距离规则的默认宽度和默认间隔(a)以及间隔;
图3示出根据本发明第一实施例线类型距离规则的表格;
图4示出根据本发明第二实施例线类型距离规则的表格。
具体实施方式
图1示意性示出了干扰线A和受扰线V之间的耦合问题。以电容器C表示两条线A和V之间的电容耦合问题。
如图左侧所示,将阶梯型信号施加至干扰线A。该信号耦合进入产生脉冲信号的受扰线V,其干扰受扰线V的状态。
如图2a和2b所示,对默认线D分配默认宽度w_默认、和到相邻的默认线D的默认间隔s_默认(图2a)。宽度和间隔通常是用于某种开发中电子电路的实际技术文件的结果。如本领域所知,这些技术文件通常包括最小线宽、最小间隔和其它参数的要求,其受到应用于设备制造技术中的沉积和/或蚀刻技术、掩模设计、布线专用层等的影响。
图2b示出了和受扰线V相邻的干扰线A,这里在两条线间引入附加间隔e以增加其距离并减小从干扰线A至受扰线V的耦合,这里例如新间隔为s_默认+e。合理地,e和宽度和/或间隔的尺寸相同。例如,对于CMOS130-nm技术,默认宽度和默认间隔值都是200nm,e为400nm,获得600nm的新间隔。但是,如果需要,e的其它值是可能的。
根据本发明,根据其耦合特征将网络组分类为四种网络类型。
一组网络分类为受扰组,其包括分类为一个或多个耦合问题的受扰网络的网络。一组网络分类为干扰组,其包括分类为一个或多个耦合问题的干扰网络的网络。一组网络分类为受扰-干扰组,其包括分类为一个或多个耦合问题的受扰网络和干扰网络的网络。一组网络分类为默认网络,其包括对耦合问题不灵敏的网络。如本领域所熟知,网络为信号源和接收信号的一个或多个元件之间的逻辑连接。将这样的网络实施为电子电路中的线,所述电子电路例如为集成电路或印刷电路板等等。
将特定距离规则应用至考虑不同网络组之间的耦合问题的不同网络组。
为在电子电路布图中实施网络组,将线类型V、A、VA、D分配给特定的网络组。默认线类型D分配给默认网络,受扰线类型分配给受扰网络,干扰线类型A分配给干扰网络,并且受扰-干扰线类型VA分配给受扰-干扰网络。根据其特定线类型选择相邻线之间的间隔。由布线器程序使用分配的线类型V、A、VA、D。
因此,应用第一线和第二线之间的第一距离规则,所述第二线和第一线相邻,除非:
-第一线为受扰线V,而第二线为干扰线A或者受扰-干扰线VA,或者
-第一线为干扰线A,而第二线为受扰-干扰线VA,或者
-第一线为受扰-干扰线VA,而第二线为受扰线V或者干扰线A或者受扰-干扰线VA;这里,这些情况均应用第二距离。
图3中的表格示例了本发明的第一优选实施例。所有的线类型V、A、VA、D宽度通常相等。如果该布线器程序不仅仅支持基于线宽度的间隔,例如国际商业机器公司的名称为Bonnroute的布线器程序,则该实施例合适。
在该实例中,根据第一距离规则将最小间隔d选为第一间隔s1,根据第二距离规则将额外间隔e添加至第一间隔s1,s1=d,获得第二间隔s2,s2=d+e。通常,e为正数,e>0。
从表中可以看出,默认线类型D和所有其它线类型V、A、VA之间的间隔相等,优选为默认间隔d,其通常为用于制造电子装置的技术所需要最小间隔。
受扰线V和默认线之间的间隔为d,而受扰线V和干扰线A以及受扰干扰线VA之间的间隔必须更大,为d+e。
干扰线A和默认线D以及干扰线A之间的间隔可以是d,而干扰线A和受扰线V以及受扰干扰线VA之间的间隔必须更大,为d+e。
受扰-干扰线VA和默认线D之间的间隔为d,而对所有其它的线类型该间隔必须更大,为d+e。
对于这种仅仅支持基于线宽的间隔的布线器程序,所有的线类型V、A、AV、D各自的宽度不同,其中该宽度代表每种特定线类型V、A、AV、D。例如,这样的布线器程序为Cadence的Nanoroute。因此,可通过利用线宽度的微小差异表示不同线类型V、A、AV、D而模拟不同的线类型。
以w_默认表示默认宽度,s_默认表示默认间隔,r表示布线器程序的分辨率,并假定分辨率远小于默认宽度w_默认,w_默认>>r。
假设W(x)为线x的宽度,而S(x)为线x的间隔要求。可如下定义默认线D、受扰线V、干扰线A和受扰-干扰线VA的宽度W(x)和间隔要求S(x):
W(D)=w_默认
W(V)=w_默认+2r
W(A)=w_默认+4r
W(VA)=w_默认+6r
以及
S(D)=s_默认
S(V)=s_默认-2r
S(A)=s_默认-4r
S(VA)=s_默认-6r
可以看出,宽度W(x)从默认线D到受扰线V到干扰线A到受扰-干扰线VA类型增加,其中宽度以布线器分辨率r的偶数倍增加。优选,选择宽度和间隔的值以维持初始线间距,即,分别为w_默认+s_默认以及w_默认+s_默认+e(图3)。在完成布线后,优选为提取但是至少为产生掩模,应当将线宽度重置为其初始值w_默认。
相比于宽度W(x),间隔要求S(x)从默认D到受扰V到干扰A到受扰-干扰线VA类型减小,其中间隔要求S(x)以布线器分辨率r的偶数倍减小。
可以和第一实施例的图3中表格相似地生成一个表格,如图4中表格所示。
再次应用第一线和第二相邻线之间的第一距离规则,除非
-第一线为受扰线V,而第二线为干扰线A或者受扰-干扰线VA,或者
-第一线为干扰线A,而第二线为受扰-干扰线VA,或者
-第一线为受扰-干扰线VA,而第二线为受扰线V或者干扰线A或者受扰-干扰线VA,这里,这些情况均应用第二距离。
利用第一距离规则应用线间隔s3,其自两个相邻默认线D之间默认间隔s_默认开始以布线器分辨率的单位下降。利用第二距离规则,将附加间隔e添加至第一线间隔s3。
第一线为默认线D,至邻近受扰线的间隔为s_默认-r,至邻近干扰线A的间隔为s_默认-2r,而至邻近受扰-干扰线VA的间隔为s_默认-3r。
如果第一线为受扰线V并且邻近的线为默认线D,则间隔为s_默认-r,邻近线为受扰线V,则该间隔为s_默认-2r,邻近线为干扰线A,则该间隔为s_默认-3r+e,以及邻近线为受扰-干扰线VA,则该间隔为s_默认-4r+e。
如果第一线为干扰线A,邻近的线为默认线D,则间隔为s_默认-2r,邻近线为受扰线V,则该间隔为s_默认-3r+e,邻近线为干扰线A,则该间隔为s_默认-4r,以及邻近线为受扰-干扰线VA,则该间隔为s_默认-5r+e。
如果第一线为受扰-干扰线VA,邻近的线为默认线D,则间隔为s_默认-3r,邻近线为受扰线V,则该间隔为s_默认-4r+e,邻近线为干扰线A,则该间隔为s_默认-5r+e,以及邻近线为受扰-干扰线VA,则该间隔为s_默认-6r+e。
该实施例中所述方法可更改为包括受扰和干扰网络的附加类型和/或不同的线宽度。
图3和4中的各个表格阵列适于附加的线路资源。另外或可选地,另一个改进可以是将加权函数添加至时序关键网络以避免重要信号的迂回。
所述方法特征在于如下步骤
-定义不同类型的网络组,具体是默认网络、受扰网络、干扰网络和受扰-干扰网络,
-对每个产生作为整个芯片区域的小子集的区域的网络,进行电子电路的总体布线,这里可进行局部布线,
-运行耦合分析,以证实或者证伪网络的耦合特征,
-为所述类型网络分配线类型V、A、AV、D,
-进行局部布线,以实际上嵌入线并因此确定其位置,
-基于局部布线结果运行耦合分析
-为该类型网络分配线类型V、A、AV、D,
-取消和改线在耦合分析中发现的可能的新线类型V、A、AV、D,同时允许取消其它类型,
-如果必要,重复布线和分配步骤,
-如果必要,则为初始宽度重置线宽度,
-从布线返回
本发明可以是完全硬件实施例、完全软件实施例或者既包括硬件又包括软件实施例的形式。在一个实施例中,在软件中实施本发明,其包括但不限于固件、驻留软件、微码等等。
另外,本发明可以是计算机程序产品的形式,其可从提供供计算机或者任何指令执行系统使用或者与其连接的计算机可用或者计算机可读介质获得。为了本文中的目的,计算机可用或者计算机可读介质可以是包括、存储、通信、广播或传输供指令执行系统、装置、或者设备使用或者与其连接的程序的任何装置。
适合于存储和/或执行程序代码的计算机处理系统将包括至少一个直接或间接通过系统总线耦合至存储器元件的处理器。该存储器元件可包括`在实际执行程序代码时采用的本地存储器、大容量存储装置和临时存储至少某些程序代码以减小在运行时必须从大容量存储装置检索代码的次数的高速缓冲存储器。
虽然示出和描述了特定实施例,但是本发明的各种修改对于本领域技术人员将是显而易见的。

Claims (21)

1.一种减小电子电路的线间耦合的布线方法,其特征在于,将表示所述电子电路的线的网络组根据其耦合特征进行分类,并且根据所述耦合特征选择分配给网络组的线间间隔。
2.根据权利要求1的方法,其特征在于,增加临近线间的间隔以避免耦合。
3.根据权利要求1或2的方法,其特征在于,将网络组根据其耦合特征进行分类,其中至少一组网络分类为受扰组,其包括分类为一个或多个耦合问题的受扰网络的网络,至少一组网络分类为干扰组,其包括分类为一个或多个耦合问题的干扰网络的网络,并且将特定距离规则应用至所述不同的网络组。
4.根据权利要求1至3中任一项的方法,其特征在于,另外引入:至少一组受扰-干扰组,其包括分类为一个或多个耦合问题的受扰网络和干扰网络的网络;以及/或者默认组,其包括对耦合问题不灵敏的网络。
5.根据权利要求1至4中任一项的方法,其特征在于,为在电子电路布图中应用所述网络组,将特定线类型(V、A、VA、D)分配至特定网络组。
6.根据权利要求1至5中任一项的方法,其特征在于,根据邻近线的特定线类型(V、A、VA、D),选择邻近线间的间隔。
7.根据权利要求1至6中任一项的方法,其特征在于,在第一线和第二线间应用第一距离规则,所述第二线与第一线邻近,除非
所述第一线为受扰线(V),所述第二线为干扰线(A)或者受扰-干扰线(VA),或者
所述第一线为干扰线(A),所述第二线为受扰线(V)或者受扰-干扰线(VA),或者
所述第一线为受扰-干扰线(VA),所述第二线为受扰线(V)或者干扰线(A)或者受扰-干扰线(VA),
这里,对于这些情况应用第二距离规则。
8.根据权利要求7的方法,其特征在于,利用第一距离规则,将最小间隔(d)选择为第一间隔(s1)。
9.根据权利要求8的方法,其特征在于,利用第二距离规则,将额外间隔(e)添加至第一间隔(s1),从而获得第二间隔(s2)。
10.根据权利要求1至7中任一项的方法,其特征在于,将线宽度分配给至少两种线类型(V、A、AV、D),其中该宽度代表每种特定线类型(V、A、AV、D)。
11.根据权利要求10的方法,其特征在于,各种线类型(V、A、AV、D)的宽度以与间隔要求在相同类型的线间降低相同的顺序增加,获得布线线类型(V、A、AV、D)的恒定线间距。
12.根据权利要求11的方法,其特征在于,所述线宽度以布线分辨率(r)的偶数倍增加。
13.根据权利要求11或12的方法,其特征在于,所述间隔要求以布线分辨率(r)的偶数倍降低。
14.根据权利要求11至13中任一项的方法,其特征在于,所述宽度从默认线(D)到受扰线(V)到干扰线(A)到受扰-干扰线(VA)类型增加。
15.根据权利要求11至14中任一项的方法,其特征在于,所述间隔要求从默认线(D)类型到受扰线(V)类型到干扰线(A)类型到受扰-干扰线(VA)类型降低。
16.根据权利要求11至15中任一项的方法,其特征在于,利用第一距离规则,线间隔(s3)以布线器的分辨率为单位减小。
17.根据权利要求16的方法,其特征在于,利用第二距离规则,将附加间隔(e)添加至第一线间隔(s3)。
18.根据权利要求1至17中任一项的方法,其特征在于,包括附加的受扰和干扰网络类型。
19.根据权利要求1至18中任一项的方法,其特征在于,将加权函数应用于至少一个时序关键网络。
20.根据权利要求1至19中任一项的方法,其特征在于至少一个下述步骤:
定义不同类型的网络组,
进行电子电路的总体布线,
运行耦合分析,
为该网络类型分配线类型(V、A、AV、D),
进行局部布线,
基于局部布线结果运行耦合分析
为该网络类型分配线类型(V、A、AV、D),
取消和改线新线类型(V、A、AV、D),允许取消其它类型,
如果必要,重复布线和分配步骤,
如果必要,将线宽度重置为初始宽度,
从布线返回。
21.一种电子装置,其具有至少一种基于根据权利要求1-20中任一项的布线方法的电子电路。
CN2007101650505A 2006-11-15 2007-11-06 降低电子电路的线间耦合的布线方法和装置 Expired - Fee Related CN101183401B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP06124167.5 2006-11-15
EP06124167 2006-11-15

Publications (2)

Publication Number Publication Date
CN101183401A true CN101183401A (zh) 2008-05-21
CN101183401B CN101183401B (zh) 2012-05-09

Family

ID=39448675

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101650505A Expired - Fee Related CN101183401B (zh) 2006-11-15 2007-11-06 降低电子电路的线间耦合的布线方法和装置

Country Status (2)

Country Link
US (1) US20080148213A1 (zh)
CN (1) CN101183401B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102346787A (zh) * 2010-07-29 2012-02-08 鸿富锦精密工业(深圳)有限公司 信号线串扰信息检查系统及方法
CN103338007A (zh) * 2013-06-04 2013-10-02 上海华力创通半导体有限公司 一种噪声处理方法及噪声处理后的电路
CN104346494A (zh) * 2013-08-05 2015-02-11 纬创资通股份有限公司 串扰分析方法
TWI490719B (zh) * 2010-08-03 2015-07-01 Hon Hai Prec Ind Co Ltd 訊號線串擾資訊檢查系統及方法
CN105760560A (zh) * 2014-12-17 2016-07-13 国际商业机器公司 用于优化集成电路噪声性能的方法和装置

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8423940B2 (en) 2011-08-15 2013-04-16 International Business Machines Corporation Early noise detection and noise aware routing in circuit design

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6029117A (en) * 1997-11-03 2000-02-22 International Business Machines Corporation coupled noise estimation method for on-chip interconnects
US6499131B1 (en) * 1999-07-15 2002-12-24 Texas Instruments Incorporated Method for verification of crosstalk noise in a CMOS design
US6327542B1 (en) * 1999-07-21 2001-12-04 Hewlett-Packard Company System and method for approximating the coupling voltage noise on a node
US6510545B1 (en) * 2000-01-19 2003-01-21 Sun Microsystems, Inc. Automated shielding algorithm for dynamic circuits
US6507935B1 (en) * 2000-02-25 2003-01-14 Sun Microsystems, Inc. Method of analyzing crosstalk in a digital logic integrated circuit
US6480998B1 (en) * 2000-04-18 2002-11-12 Motorola, Inc. Iterative, noise-sensitive method of routing semiconductor nets using a delay noise threshold
AU2000239875A1 (en) * 2000-04-21 2001-11-07 Hitachi Ltd. Crosstalk analysis method, method for designing/manufacturing electronic circuitdevice by using the same, and recorded medium of electronic circuit library the refor
FI115416B (fi) * 2001-03-23 2005-04-29 Nokia Corp Rakenteellisen datan jäsennys
US6971076B2 (en) * 2001-12-18 2005-11-29 Cadence Design Systems, Inc. Method for estimating peak crosstalk noise based on separate crosstalk model
US6742170B2 (en) * 2001-12-28 2004-05-25 Intel Corporation Repeatable swizzling patterns for capacitive and inductive noise cancellation
JP2004021766A (ja) * 2002-06-19 2004-01-22 Fujitsu Ltd 電子回路設計方法及びコンピュータプログラム
US7093223B2 (en) * 2002-11-26 2006-08-15 Freescale Semiconductor, Inc. Noise analysis for an integrated circuit model
CN1279480C (zh) * 2002-12-17 2006-10-11 清华大学 考虑耦合效应进行时延优化的标准单元总体布线方法
CN1219269C (zh) * 2003-05-01 2005-09-14 清华大学 标准单元总体布线过程中用的减少串扰的方法
US7496871B2 (en) * 2003-10-21 2009-02-24 Roberto Suaya Mutual inductance extraction using dipole approximations
JP2005135229A (ja) * 2003-10-31 2005-05-26 Toshiba Corp 半導体集積回路の自動設計方法
US7266792B2 (en) * 2003-12-16 2007-09-04 Intel Corporation Automated noise convergence for cell-based integrated circuit design
US20050246671A1 (en) * 2004-04-29 2005-11-03 Bois Karl J Method and apparatus for determining worst case coupling within a differential pair group
US8095903B2 (en) * 2004-06-01 2012-01-10 Pulsic Limited Automatically routing nets with variable spacing
JP2006155120A (ja) * 2004-11-29 2006-06-15 Fujitsu Ltd 配線方法、プログラム及び装置
US7181711B2 (en) * 2005-04-27 2007-02-20 International Business Machines Corporation Prioritizing of nets for coupled noise analysis
US7603644B2 (en) * 2005-06-24 2009-10-13 Pulsic Limited Integrated circuit routing and compaction
US7313776B2 (en) * 2005-06-28 2007-12-25 Synopsys, Inc. Method and apparatus for routing an integrated circuit
US7464359B2 (en) * 2006-03-27 2008-12-09 International Business Machines Corporation Method for re-routing an interconnection array to improve switching behavior in a single net and an associated interconnection array structure

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102346787A (zh) * 2010-07-29 2012-02-08 鸿富锦精密工业(深圳)有限公司 信号线串扰信息检查系统及方法
CN102346787B (zh) * 2010-07-29 2015-04-08 中山市云创知识产权服务有限公司 信号线串扰信息检查系统及方法
TWI490719B (zh) * 2010-08-03 2015-07-01 Hon Hai Prec Ind Co Ltd 訊號線串擾資訊檢查系統及方法
CN103338007A (zh) * 2013-06-04 2013-10-02 上海华力创通半导体有限公司 一种噪声处理方法及噪声处理后的电路
CN103338007B (zh) * 2013-06-04 2016-10-05 上海华力创通半导体有限公司 一种噪声处理方法及噪声处理后的电路
CN104346494A (zh) * 2013-08-05 2015-02-11 纬创资通股份有限公司 串扰分析方法
CN105760560A (zh) * 2014-12-17 2016-07-13 国际商业机器公司 用于优化集成电路噪声性能的方法和装置
US10242149B2 (en) 2014-12-17 2019-03-26 International Business Machines Corporation Enhancing integrated circuit noise performance
CN105760560B (zh) * 2014-12-17 2019-05-07 国际商业机器公司 用于优化集成电路噪声性能的方法和装置
US10528698B2 (en) 2014-12-17 2020-01-07 International Business Machines Corporation Enhancing integrated circuit noise performance
US10528699B2 (en) 2014-12-17 2020-01-07 International Business Machines Corporation Enhancing integrated circuit noise performance

Also Published As

Publication number Publication date
CN101183401B (zh) 2012-05-09
US20080148213A1 (en) 2008-06-19

Similar Documents

Publication Publication Date Title
CN101183401B (zh) 降低电子电路的线间耦合的布线方法和装置
Davis et al. A stochastic wire-length distribution for gigascale integration (GSI). II. Applications to clock frequency, power dissipation, and chip size estimation
JP2005535118A5 (zh)
US7595679B1 (en) Method and apparatus to reduce noise fluctuation in on-chip power distribution networks
US20090250269A1 (en) Capacitive touch system and data transmission method in a capacitive touch system
Duan et al. Exploiting crosstalk to speed up on-chip buses
CN1873579A (zh) 结构化asic器件的时钟信号网络
CN107016223B (zh) 一种抗硬件木马芯片设计方法及系统
CN100539143C (zh) 集成电路装置与电容器对
CN101272141B (zh) 交错逻辑阵列块结构
US20080066043A1 (en) Method and system for clock tree generation
CN101118904A (zh) 一种集成电路及集成电路的布线与版本号修改的方法
CN112003593A (zh) 一种数字信号的毛刺消除电路及毛刺消除方法
US8006208B2 (en) Reducing coupling between wires of an electronic circuit
US6594807B1 (en) Method for minimizing clock skew for an integrated circuit
US7439759B2 (en) Operating long on-chip buses
US6560761B1 (en) Method of datapath cell placement for bitwise and non-bitwise integrated circuit designs
CN108846009A (zh) 一种ceph中副本数据存储方法及装置
US6480994B1 (en) Balanced clock placement for integrated circuits containing megacells
CN109698916B (zh) 一种应用于图像传感器的像素矩阵电路
EP0751620A1 (en) Arrangement of buffers and transmission lines to supply clock signals to logic gates
CN101226567A (zh) 一种可靠片上总线的设计方法和系统及其工作方法
US20080155490A1 (en) Method for Reducing Coupling Noise, Reducing Signal Skew, and Saving Layout Area for an Integrated Circuit
TWI221238B (en) Circuitry cross-talk analysis with consideration of signal transitions
CN114781319B (zh) 基于金属线的时序修复方法、设备及介质

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171103

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171103

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120509

Termination date: 20181106

CF01 Termination of patent right due to non-payment of annual fee