CN101036120A - 频率和电压缩放架构 - Google Patents

频率和电压缩放架构 Download PDF

Info

Publication number
CN101036120A
CN101036120A CNA2005800336149A CN200580033614A CN101036120A CN 101036120 A CN101036120 A CN 101036120A CN A2005800336149 A CNA2005800336149 A CN A2005800336149A CN 200580033614 A CN200580033614 A CN 200580033614A CN 101036120 A CN101036120 A CN 101036120A
Authority
CN
China
Prior art keywords
clock
processor
energy
delay
time interval
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800336149A
Other languages
English (en)
Other versions
CN100593155C (zh
Inventor
G·玛格里斯
J·冈萨雷斯
A·冈萨雷斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101036120A publication Critical patent/CN101036120A/zh
Application granted granted Critical
Publication of CN100593155C publication Critical patent/CN100593155C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/06Clock generators producing several clock signals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline or look ahead using instruction pipelines
    • G06F9/3869Implementation aspects, e.g. pipeline latches; pipeline synchronisation and clocking
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Power Sources (AREA)
  • Microcomputers (AREA)
  • Advance Control (AREA)

Abstract

一种用于缩放至少一个微处理器的时钟域的频率和操作电压的方法和装置。尤其,本发明涉及把微处理器划分成时钟域和单独控制每个时钟域的频率和操作电压的技术。

Description

频率和电压缩放架构
                           技术领域
本发明的实施例涉及微处理器架构领域。尤其,本发明的实施例涉及一种缩放微处理器内的各种功能单元的频率和操作电压的技术。
                           背景技术
为了帮助降低微处理器的功率,同时最小化对性能的影响,已经开发了用于降低处理器时钟频率的现有技术。在这些现有技术中,存在把处理器分成多个时钟域的架构。例如,一种现有技术具有用于整数管线的单独时钟域、用于浮点管线的单独时钟域、以及用于存储器存取逻辑的单独时钟域。
由于控制每个时钟域所需要的线路的开销,对每条管线和/或存储器存取群集使用单独时钟域能引起维护处理器性能的挑战。
                           附图说明
通过示例来例示出实施例和发明,但不受附图的限制,附图中相同的引用指示类似的元件,其中:
图1示出了根据本发明的一个实施例的时钟和电压缩放架构。
图2示出了能在其中使用本发明的一个实施例的前端总线计算机系统。
图3示出了能在其中使用本发明的一个实施例的点对点计算机系统。
                            发明详述
本发明的实施例涉及用于微处理器的频率和电压控制架构。尤其,本发明的实施例涉及在微处理器中多个时钟域之间分配和控制时钟和操作电压的技术,以使每个域的频率和操作电压能被单独地控制。
图1示出了根据本发明的一个实施例的时钟和电压域架构。尤其,图1示出了已经被划分成三个基本时钟域的处理器架构100:前端域101,具有跟踪高速缓冲存储器102、分支预测器103、重命名单元104、解码单元105、定序器106、自由表107、重命名表108、以及重新排序缓冲器(ROB)109;数个后端域110,具有存储器排序缓冲器(MOB)111、一级高速缓冲存储器112、物理寄存器文件113、发出队列(issue queue)114、总线接口116以及执行单元115;以及存储器域,包括二级高速缓冲存储器119。在一个实施例中,总线接口是前端总线接口,而在其他实施例中,它是点对点总线接口。
前端域、后端域和存储器域各具有至少一个先进先出(FIFO)队列117,用以帮助同步各个时钟域之间的信息交换。在本发明的一个实施例中,至少某些同步队列是在处理器内提供其他功能的队列,然而在其他实施例中,同步队列被用于时钟域控制架构。除了时钟域,本发明的一个实施例把处理器分成彼此可被单独控制的电压域。在至少一个实施例中,时钟域和电压域是相同的并且包括相同的功能单元,然而,在其他实施例中,时钟域和电压域不是相同的并且可包括不同的功能单元。
在本发明的一个实施例中,各个时钟域中的每个时钟能被同步于参考时钟。然而,在其他实施例中,每个域时钟可不与其他域时钟同步。此外,在至少一个实施例中,后端域可通过被称为“交叉开关(crossbar)”的信号相互通信。
为了控制每个时钟域和电压域,本发明的一个实施例试图通过在某些时间间隔确定每个域的能量和性能来最小化每个域的能量和延迟的平方(delay2)的乘积。在至少一个实施例中,可通过计算在第一时间间隔期间域的能量和延迟以及估算在后一时间间隔域的能量和延迟,在两个时间间隔确定能量和性能。然后,可通过最小化第一时间间隔的能量和延迟的平方(delay2)的乘积与后一时间间隔的能量和延迟的平方(delay2)的乘积的比率,来选择所述后一时间间隔的频率和电压对。
例如,在本发明的一个实施例中,对于间隔n+1,处理器能量“E”根据以下的等式被估算:
E n + 1 E n = 1 + E FE , n E n × ( V n + 1 2 V n 2 - 1 )
在以上的等式中,“EFE,n”是在时间间隔“n”的前端域的能量,“En+1”是在时间间隔n+1的前端的能量,“Vn+1”是在时间间隔n+1的前端域的操作电压,“Vn”是在时间间隔n的前端域的操作电压。
作为前端域的频率的函数的处理器的性能能通过使用给定的时间间隔的前端域的时钟频率、前端取指令的速率、微操作(解码的指令)被送交后续管线级的速率来估算。在一个实施例中,根据以下等式估算时间间隔n+1的性能估算“Tn+1”:
T n + 1 T n = 1 + ( f n f n + 1 - 1 ) × 1 - p n 1 + b
在以上的等式中,“pn”是第n个时间间隔的前端队列中的输入项的平均数,“b”是分支错误预测率。值“1+b”是可被装载的取指令队列的速率的指示器,而“1-pn”是队列中输入项的平均数的指示器。“Tn”是在间隔“n”的前端的性能,“fn”是在间隔n的前端域的频率,以及“fn+1”是在下一时间间隔的前端域的频率。
一旦处理器的能量和性能根据以上的等式被计算,在一个实施例中,前端域频率和电压能在每个时间间隔n的末端为下一个时间间隔n+1被调整。在一个实施例中,可根据以下比率做出频率和电压的选择:
R ( < f , V > ) = E n + 1 E n &times; T n + 1 T n &times; T n + 1 T n
被选择用于间隔n+1的频率和电压是那些最小化以上比率的频率和电压。如果发现两对或两对以上的频率和电压产生相同的值R,那么在一个实施例中选择具有最小频率的那对。然后,对于间隔n+1,前端域的频率和操作电压可被设定成适当的值,并且对下一个间隔重复该过程。
每个后端频率和操作电压可通过与对前端相同的方式被估算,估算是通过按照每个后端域的操作电压和频率的函数估算处理器的能量和性能,以及选择将间隔n+1和间隔n之间的能量性能乘积的比率最小化的频率和操作电压而进行的。在一个实施例中,处理器能量“En”作为后端域能量“EBE,n”的函数根据以下等式被估算:
Figure A20058003361400073
作为每个后端域的频率的函数的处理器的性能可在每个间隔n+1通过以下等式被计算:
T n + 1 T n = 1 + S &times; ( 1 - 2 m n ) 2 &times; p
其中,
p = - L q , n + L q , n 2 + 4 L q , n 2
以及,
S = ( f n f n + 1 - 1 ) &times; | f n + 1 - f n | f max - f min
在以上的等式中,mn是对于间隔n的二级高速缓冲存储器失败数除以调拨的微操作数,Lq,n是对于包含执行单元的所有后端域的所有微操作发出队列的平均使用。一旦处理器的能量和性能根据以上的等式被计算,在一个实施例中,后端域频率和电压能在每个时间间隔n的末端为下一个时间间隔n+1被调整。在一个实施例中,频率和电压的选择可根据以下的比率做出:
R ( f n + 1 , V n + 1 ) = E n + 1 E n &times; T n + 1 T n &times; T n + 1 T n
被选择刚于间隔n+1的频率和电压是那些最小化以上比率的频率和电压。如果发现两对或两对以上的频率和电压产生相同的值R,那么在一个实施例中选择具有最小频率的那对。然后,对于间隔n+1,后端域的频率和操作电压可被设定成适当的值,并且对下一个间隔重复该过程。
图2示出了本发明的一个实施例可在其中被使用的前端总线(FSB)计算机系统。处理器205访问来自一级(L1)高速缓冲存储器210和主存储器215的数据。在本发明的其他实施例中,高速缓冲存储器可以是二级(L2)高速缓冲存储器或其他计算机系统存储器分级结构内的存储器。此外,在某些实施例中,图2的计算机系统既可包括L1高速缓冲存储器也可包括L2高速缓冲存储器,该计算机系统包括相容(inclusive)高速缓冲存储器分级结构,其中相干的数据能在L1和L2高速缓冲存储器中共享。
在图2的处理器内示出的是本发明的一个实施例206。然而,本发明的其他的实施例可在系统内诸如分离总线代理之类的其他设备内被实现,或者以硬件、软件、或它们的组合的方式分布在系统中。
主存储器可以各种存储器源来实现,诸如动态随机存取存储器(DRAM)、硬盘驱动器(HDD)220、或通过包括各种存储设备和技术的网络接口230从计算机系统远程定位的存储源。高速缓冲存储器可位于处理器内或靠近处理器,诸如在处理器的局部总线207上。此外,高速缓冲存储器可包括诸如6晶体管(6T)单元的相对快的存储器单元,或其他具有接近相等的或更快的访问速度的存储单元。
图2的计算机系统可以是诸如微处理器的总线代理的点对点(PtP)网络,这些总线代理在PtP网络上通过用于每个代理的总线信号通信。在每个总线代理中或者至少与之相关联的是是本发明的至少一个实施例206,使得存储操作能在总线代理之间以迅速的方式被推动。
图3示出了以点对点(PtP)配置安排的计算机系统。尤其,图3显示了其中处理器、存储器、以及输入/输出设备由多个点对点接口相互连接的系统。
图3的系统也包括多个处理器,为了清楚只显示其中的两个处理器370、380。处理器370、380中的每个处理器可包括与存储器22、24连接的本地存储器控制器集线器(MCH)372、382。处理器370、380可使用PtP接口电路378、388通过点对点(PtP)接口350交换数据。处理器370、380中的每个处理器可使用点对点接口电路376、394、386、398通过各PtP接口352、354与芯片组390交换数据。芯片组390也可通过高性能图形接口339与高性能图形电路338交换数据。
本发明的至少一个实施例可位于图3的每个PtP总线代理中的PtP接口电路中。然而,本发明的其他实施例可存在于图3的系统内的其他电路、逻辑单元、或设备中。此外,本发明的其他实施例可分布在图3示出的多个电路、逻辑单元或设备中。
尽管已经结合示出的实施例描述了本发明,但是描述并没有限制的含义。各种对于示出的实施例的更改以及对于本领域的技术人员显而易见的合适于本发明的其他实施例都被认为在本发明的精神和范围内。

Claims (30)

1.一种处理器,包括
具有第一时钟信号频率和第一操作电压的第一时钟域;
具有第二时钟信号频率和第二操作电压的第二时钟域;
具有第三时钟信号频率和第三操作电压的第三时钟域。
2.如权利要求1所述的处理器,其特征在于,所述第一时钟域包括指令解码器、重命名单元、定序器、重新排序缓冲器、以及分支预测单元。
3.如权利要求1所述的处理器,其特征在于,所述第二时钟域包括执行单元、寄存器文件、以及发出队列。
4.如权利要求1所述的处理器,其特征在于,所述第三时钟域包括二级高速缓冲存储器。
5.如权利要求1所述的处理器,其特征在于,每个时钟域包括先进先出队列以帮助在时钟域之间的同步操作。
6.如权利要求1所述的处理器,其特征在于,进一步包括具有第四和第五操作电压的第四和第五时钟域,其中所述第二、第四和第五时钟域能通过交叉开关互相发送信息。
7.如权利要求1所述的处理器,其特征在于,所述第一和第二时钟域的时钟信号频率和操作电压根据能量和延迟的平方的乘积的比率被控制,其中所述比率的分子是对于第一时间间隔的能量和延迟的平方的乘积,分母是对于第二时间间隔的能量和延迟的平方的乘积,所述第二时间间隔在所述第一时间间隔之前。
8.如权利要求6所述的处理器,其特征在于,所述第四或第五时钟域包括一级高速缓冲存储器和存储器排序缓冲器。
9.一种方法,包括:
确定用于第一时间间隔的处理器时钟域的能量和延迟;
确定用于第二时间间隔的处理器时钟域的能量和延迟,其中所述第二时间间隔在所述第一时间间隔之后;
调整处理器的第一组逻辑的操作电压和时钟信号,使得第二时间间隔的能量和延迟的乘积与第一时间间隔的能量和延迟的乘积的比率被最小化。
10.如权利要求9所述的方法,其特征在于,所述处理器的第一组逻辑是处理器内多个时钟域中的一个。
11.如权利要求10所述的方法,其特征在于,对于多个时钟域中的每一个单独地执行确定用于第一和第二时间间隔的能量和延迟以及调整操作电压和时钟信号频率。
12.如权利要求11所述的方法,其特征在于,所述多个时钟域依赖于参考时钟信号。
13.如权利要求12所述的方法,其特征在于,所述多个时钟域至少部分地通过对应于多个时钟域的多个先进先出(FIFO)队列被同步。
14.如权利要求13所述的方法,其特征在于,所述多个时钟域包括前端域、后端域、以及二级高速缓冲存储器域。
15.如权利要求14所述的方法,其特征在于,所述前端域包括指令解码器、重命名单元、定序器、重新排序缓冲器、以及分支预测单元。
16.如权利要求14所述的方法,其特征在于,所述后端域包括执行单元、寄存器文件、和发出队列。
17.如权利要求14所述的方法,其特征在于,所述后端域包括存储器排序缓冲器和一级高速缓冲存储器。
18.一种系统,包括:
存储多个指令的存储器;
处理器,所述处理器包括多个时钟域,所述多个时钟域具有取决于被处理器执行的多个指令的多个独立时钟频率和独立操作电压。
19.如权利要求18所述的系统,其特征在于,所述多个独立时钟频率和独立操作电压被调整使得对应于第一时间间隔的能量和延迟平方的乘积与对应于第二时间间隔的能量和延迟平方的乘积的比率对于多个时钟域中的每个时钟域被最小化。
20.如权利要求18所述的系统,其特征在于,所述多个时钟域包括多个功能单元以在多个处理器管线级内执行多个功能。
21.如权利要求20所述的系统,其特征在于,所述多个时钟域包括前端域,所述前端域包括指令解码器。
22.如权利要求21所述的系统,其特征在于,所述多个时钟域包括后端域,所述后端域包括执行单元以执行多个指令。
23.如权利要求22所述的系统,其特征在于,所述多个时钟域包括存储器域,所述存储器域包括二级高速缓冲存储器。
24.如权利要求21所述的系统,其特征在于,所述多个时钟域包括后端域,所述后端域包括存储器排序缓冲器和一级高速缓冲存储器。
25.如权利要求24所述的系统,其特征在于,所述多个时钟域中的每个时钟域包括至少一个先进先出队列,以暂时地存储与多个指令关联的存储数据直到相应的域能操作数据为止。
26.如权利要求25所述的系统,其特征在于,所述后端域包括多个执行单元以执行多个指令,以及多个交叉开关,通过所述多个交叉开关在多个执行单元之间发送信息。
27.一种包括存储在其上的一组指令的机器可读介质,当所述指令被机器执行时,导致机器执行一种方法,包括:
确定对于第一时间间隔的处理器时钟域的能量和延迟;
确定对于第二时间间隔的处理器时钟域的能量和延迟,其中所述第二时间间隔在所述第一时间间隔之后。
调整处理器的第一组逻辑的操作电压和时钟信号频率,使得第二时间间隔的能量和延迟的乘积被最小化。
28.如权利要求27所述的机器可读介质,其特征在于,所述第二时间间隔的能量和延迟的乘积与第一时间间隔的能量和延迟的乘积的比率被最小化。
29.如权利要求28所述的机器可读介质,其特征在于,所述处理器的第一组逻辑是处理器内的多个时钟域中的一个。
30.如权利要求29所述的机器可读介质,其特征在于,对于多个时钟域中的每一个单独地执行确定用于第一和第二时间间隔的能量和延迟以及调整操作电压和时钟信号频率。
CN200580033614A 2004-11-29 2005-11-14 频率和电压缩放架构 Expired - Fee Related CN100593155C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/999,786 2004-11-29
US10/999,786 US7434073B2 (en) 2004-11-29 2004-11-29 Frequency and voltage scaling architecture

Publications (2)

Publication Number Publication Date
CN101036120A true CN101036120A (zh) 2007-09-12
CN100593155C CN100593155C (zh) 2010-03-03

Family

ID=35976476

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580033614A Expired - Fee Related CN100593155C (zh) 2004-11-29 2005-11-14 频率和电压缩放架构

Country Status (6)

Country Link
US (4) US7434073B2 (zh)
JP (2) JP4860624B2 (zh)
CN (1) CN100593155C (zh)
DE (1) DE112005002416B4 (zh)
GB (2) GB2432939B (zh)
WO (1) WO2006057865A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102033788A (zh) * 2009-10-05 2011-04-27 国际商业机器公司 微处理器中的电压和频率的可靠设置
CN102314208A (zh) * 2010-06-30 2012-01-11 重庆重邮信科通信技术有限公司 一种动态调整嵌入式设备频率电压的方法及装置
CN102445953A (zh) * 2010-09-30 2012-05-09 重庆重邮信科通信技术有限公司 一种嵌入式设备时钟源的调整方法
CN102707930A (zh) * 2012-04-26 2012-10-03 苏州睿云智芯微电子有限公司 寄存器换名系统及方法
CN101946219B (zh) * 2008-02-20 2013-03-20 惠普开发有限公司 具有两个参考时钟的转接驱动器及其操作方法

Families Citing this family (125)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050195183A1 (en) * 2004-03-03 2005-09-08 Anderson Michael H. Clock control for a graphics processor
US7434073B2 (en) 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US8799687B2 (en) 2005-12-30 2014-08-05 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including optimizing C-state selection under variable wakeup rates
US8386712B2 (en) * 2006-10-04 2013-02-26 International Business Machines Corporation Structure for supporting simultaneous storage of trace and standard cache lines
US20080250206A1 (en) * 2006-10-05 2008-10-09 Davis Gordon T Structure for using branch prediction heuristics for determination of trace formation readiness
US7934081B2 (en) * 2006-10-05 2011-04-26 International Business Machines Corporation Apparatus and method for using branch prediction heuristics for determination of trace formation readiness
US7587621B2 (en) * 2006-11-08 2009-09-08 International Business Machines Corporation Computer system management and throughput maximization in the presence of power constraints
US8806491B2 (en) 2007-12-31 2014-08-12 Intel Corporation Thread migration to improve power efficiency in a parallel processing environment
US7930574B2 (en) 2007-12-31 2011-04-19 Intel Corporation Thread migration to improve power efficiency in a parallel processing environment
TW201122753A (en) * 2009-12-29 2011-07-01 Ind Tech Res Inst Voltage scaling systems
US8943334B2 (en) 2010-09-23 2015-01-27 Intel Corporation Providing per core voltage and frequency control
US9069555B2 (en) 2011-03-21 2015-06-30 Intel Corporation Managing power consumption in a multi-core processor
US8892924B2 (en) 2011-05-31 2014-11-18 Intel Corporation Reducing power consumption of uncore circuitry of a processor
US8793515B2 (en) 2011-06-27 2014-07-29 Intel Corporation Increasing power efficiency of turbo mode operation in a processor
US8769316B2 (en) 2011-09-06 2014-07-01 Intel Corporation Dynamically allocating a power budget over multiple domains of a processor
US8688883B2 (en) 2011-09-08 2014-04-01 Intel Corporation Increasing turbo mode residency of a processor
US9074947B2 (en) 2011-09-28 2015-07-07 Intel Corporation Estimating temperature of a processor core in a low power state without thermal sensor information
US8954770B2 (en) 2011-09-28 2015-02-10 Intel Corporation Controlling temperature of multiple domains of a multi-domain processor using a cross domain margin
US8914650B2 (en) 2011-09-28 2014-12-16 Intel Corporation Dynamically adjusting power of non-core processor circuitry including buffer circuitry
US8832478B2 (en) 2011-10-27 2014-09-09 Intel Corporation Enabling a non-core domain to control memory bandwidth in a processor
US9026815B2 (en) 2011-10-27 2015-05-05 Intel Corporation Controlling operating frequency of a core domain via a non-core domain of a multi-domain processor
US8943340B2 (en) 2011-10-31 2015-01-27 Intel Corporation Controlling a turbo mode frequency of a processor
US9158693B2 (en) 2011-10-31 2015-10-13 Intel Corporation Dynamically controlling cache size to maximize energy efficiency
US8972763B2 (en) 2011-12-05 2015-03-03 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including determining an optimal power state of the apparatus based on residency time of non-core domains in a power saving state
US9239611B2 (en) 2011-12-05 2016-01-19 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including balancing power among multi-frequency domains of a processor based on efficiency rating scheme
US9052901B2 (en) 2011-12-14 2015-06-09 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including configurable maximum processor current
US9372524B2 (en) 2011-12-15 2016-06-21 Intel Corporation Dynamically modifying a power/performance tradeoff based on processor utilization
US9098261B2 (en) 2011-12-15 2015-08-04 Intel Corporation User level control of power management policies
CN104169832B (zh) 2012-03-13 2017-04-19 英特尔公司 提供处理器的能源高效的超频操作
US8612650B1 (en) * 2012-03-13 2013-12-17 Western Digital Technologies, Inc. Virtual extension of buffer to reduce buffer overflow during tracing
WO2013137860A1 (en) 2012-03-13 2013-09-19 Intel Corporation Dynamically computing an electrical design point (edp) for a multicore processor
WO2013137862A1 (en) 2012-03-13 2013-09-19 Intel Corporation Dynamically controlling interconnect frequency in a processor
CN104204825B (zh) 2012-03-30 2017-06-27 英特尔公司 动态测量处理器中的功耗
WO2013162589A1 (en) 2012-04-27 2013-10-31 Intel Corporation Migrating tasks between asymmetric computing elements of a multi-core processor
US8984313B2 (en) 2012-08-31 2015-03-17 Intel Corporation Configuring power management functionality in a processor including a plurality of cores by utilizing a register to store a power domain indicator
US9063727B2 (en) 2012-08-31 2015-06-23 Intel Corporation Performing cross-domain thermal control in a processor
US9342122B2 (en) 2012-09-17 2016-05-17 Intel Corporation Distributing power to heterogeneous compute elements of a processor
US9423858B2 (en) 2012-09-27 2016-08-23 Intel Corporation Sharing power between domains in a processor package using encoded power consumption information from a second domain to calculate an available power budget for a first domain
US9575543B2 (en) 2012-11-27 2017-02-21 Intel Corporation Providing an inter-arrival access timer in a processor
US9183144B2 (en) 2012-12-14 2015-11-10 Intel Corporation Power gating a portion of a cache memory
US9405351B2 (en) 2012-12-17 2016-08-02 Intel Corporation Performing frequency coordination in a multiprocessor system
US9292468B2 (en) 2012-12-17 2016-03-22 Intel Corporation Performing frequency coordination in a multiprocessor system based on response timing optimization
US9075556B2 (en) 2012-12-21 2015-07-07 Intel Corporation Controlling configurable peak performance limits of a processor
US9235252B2 (en) 2012-12-21 2016-01-12 Intel Corporation Dynamic balancing of power across a plurality of processor domains according to power policy control bias
US9081577B2 (en) 2012-12-28 2015-07-14 Intel Corporation Independent control of processor core retention states
US9164565B2 (en) 2012-12-28 2015-10-20 Intel Corporation Apparatus and method to manage energy usage of a processor
US9335803B2 (en) 2013-02-15 2016-05-10 Intel Corporation Calculating a dynamically changeable maximum operating voltage value for a processor based on a different polynomial equation using a set of coefficient values and a number of current active cores
US9367114B2 (en) 2013-03-11 2016-06-14 Intel Corporation Controlling operating voltage of a processor
US9389871B2 (en) 2013-03-15 2016-07-12 Intel Corporation Combined floating point multiplier adder with intermediate rounding logic
US9513688B2 (en) 2013-03-16 2016-12-06 Intel Corporation Measurement of performance scalability in a microprocessor
US9395784B2 (en) 2013-04-25 2016-07-19 Intel Corporation Independently controlling frequency of plurality of power domains in a processor system
US9377841B2 (en) 2013-05-08 2016-06-28 Intel Corporation Adaptively limiting a maximum operating frequency in a multicore processor
US9823719B2 (en) 2013-05-31 2017-11-21 Intel Corporation Controlling power delivery to a processor via a bypass
US9348401B2 (en) 2013-06-25 2016-05-24 Intel Corporation Mapping a performance request to an operating frequency in a processor
US9471088B2 (en) 2013-06-25 2016-10-18 Intel Corporation Restricting clock signal delivery in a processor
US9348407B2 (en) 2013-06-27 2016-05-24 Intel Corporation Method and apparatus for atomic frequency and voltage changes
US9377836B2 (en) 2013-07-26 2016-06-28 Intel Corporation Restricting clock signal delivery based on activity in a processor
US9495001B2 (en) 2013-08-21 2016-11-15 Intel Corporation Forcing core low power states in a processor
US9329663B2 (en) 2013-09-09 2016-05-03 Apple Inc. Processor power and performance manager
US10386900B2 (en) 2013-09-24 2019-08-20 Intel Corporation Thread aware power management
US9594560B2 (en) 2013-09-27 2017-03-14 Intel Corporation Estimating scalability value for a specific domain of a multicore processor based on active state residency of the domain, stall duration of the domain, memory bandwidth of the domain, and a plurality of coefficients based on a workload to execute on the domain
US9405345B2 (en) 2013-09-27 2016-08-02 Intel Corporation Constraining processor operation based on power envelope information
US9494998B2 (en) 2013-12-17 2016-11-15 Intel Corporation Rescheduling workloads to enforce and maintain a duty cycle
US9459689B2 (en) 2013-12-23 2016-10-04 Intel Corporation Dyanamically adapting a voltage of a clock generation circuit
US9323525B2 (en) 2014-02-26 2016-04-26 Intel Corporation Monitoring vector lane duty cycle for dynamic optimization
US10108454B2 (en) 2014-03-21 2018-10-23 Intel Corporation Managing dynamic capacitance using code scheduling
US9665153B2 (en) 2014-03-21 2017-05-30 Intel Corporation Selecting a low power state based on cache flush latency determination
US10417149B2 (en) 2014-06-06 2019-09-17 Intel Corporation Self-aligning a processor duty cycle with interrupts
US9760158B2 (en) 2014-06-06 2017-09-12 Intel Corporation Forcing a processor into a low power state
US9606602B2 (en) 2014-06-30 2017-03-28 Intel Corporation Method and apparatus to prevent voltage droop in a computer
US9513689B2 (en) 2014-06-30 2016-12-06 Intel Corporation Controlling processor performance scaling based on context
US9575537B2 (en) 2014-07-25 2017-02-21 Intel Corporation Adaptive algorithm for thermal throttling of multi-core processors with non-homogeneous performance states
US9760136B2 (en) 2014-08-15 2017-09-12 Intel Corporation Controlling temperature of a system memory
US9671853B2 (en) 2014-09-12 2017-06-06 Intel Corporation Processor operating by selecting smaller of requested frequency and an energy performance gain (EPG) frequency
US20160077545A1 (en) * 2014-09-17 2016-03-17 Advanced Micro Devices, Inc. Power and performance management of asynchronous timing domains in a processing device
US10339023B2 (en) 2014-09-25 2019-07-02 Intel Corporation Cache-aware adaptive thread scheduling and migration
US9977477B2 (en) 2014-09-26 2018-05-22 Intel Corporation Adapting operating parameters of an input/output (IO) interface circuit of a processor
US9952650B2 (en) * 2014-10-16 2018-04-24 Futurewei Technologies, Inc. Hardware apparatus and method for multiple processors dynamic asymmetric and symmetric mode switching
US10928882B2 (en) 2014-10-16 2021-02-23 Futurewei Technologies, Inc. Low cost, low power high performance SMP/ASMP multiple-processor system
US10248180B2 (en) 2014-10-16 2019-04-02 Futurewei Technologies, Inc. Fast SMP/ASMP mode-switching hardware apparatus for a low-cost low-power high performance multiple processor system
US9684360B2 (en) 2014-10-30 2017-06-20 Intel Corporation Dynamically controlling power management of an on-die memory of a processor
US9703358B2 (en) 2014-11-24 2017-07-11 Intel Corporation Controlling turbo mode frequency operation in a processor
US20160147280A1 (en) 2014-11-26 2016-05-26 Tessil Thomas Controlling average power limits of a processor
US9710043B2 (en) 2014-11-26 2017-07-18 Intel Corporation Controlling a guaranteed frequency of a processor
US10048744B2 (en) 2014-11-26 2018-08-14 Intel Corporation Apparatus and method for thermal management in a multi-chip package
US10877530B2 (en) 2014-12-23 2020-12-29 Intel Corporation Apparatus and method to provide a thermal parameter report for a multi-chip package
US20160224098A1 (en) 2015-01-30 2016-08-04 Alexander Gendler Communicating via a mailbox interface of a processor
US9639134B2 (en) 2015-02-05 2017-05-02 Intel Corporation Method and apparatus to provide telemetry data to a power controller of a processor
US10234930B2 (en) 2015-02-13 2019-03-19 Intel Corporation Performing power management in a multicore processor
US9910481B2 (en) 2015-02-13 2018-03-06 Intel Corporation Performing power management in a multicore processor
US9874922B2 (en) 2015-02-17 2018-01-23 Intel Corporation Performing dynamic power control of platform devices
US9842082B2 (en) 2015-02-27 2017-12-12 Intel Corporation Dynamically updating logical identifiers of cores of a processor
US9710054B2 (en) 2015-02-28 2017-07-18 Intel Corporation Programmable power management agent
US9760160B2 (en) 2015-05-27 2017-09-12 Intel Corporation Controlling performance states of processing engines of a processor
US9710041B2 (en) 2015-07-29 2017-07-18 Intel Corporation Masking a power state of a core of a processor
KR102387466B1 (ko) 2015-09-18 2022-04-15 삼성전자주식회사 반도체 장치
US10001822B2 (en) 2015-09-22 2018-06-19 Intel Corporation Integrating a power arbiter in a processor
US9983644B2 (en) 2015-11-10 2018-05-29 Intel Corporation Dynamically updating at least one power management operational parameter pertaining to a turbo mode of a processor for increased performance
US9910470B2 (en) 2015-12-16 2018-03-06 Intel Corporation Controlling telemetry data communication in a processor
US10146286B2 (en) 2016-01-14 2018-12-04 Intel Corporation Dynamically updating a power management policy of a processor
US10289188B2 (en) 2016-06-21 2019-05-14 Intel Corporation Processor having concurrent core and fabric exit from a low power state
US10281975B2 (en) 2016-06-23 2019-05-07 Intel Corporation Processor having accelerated user responsiveness in constrained environment
US10324519B2 (en) 2016-06-23 2019-06-18 Intel Corporation Controlling forced idle state operation in a processor
US10379596B2 (en) 2016-08-03 2019-08-13 Intel Corporation Providing an interface for demotion control information in a processor
US10234920B2 (en) 2016-08-31 2019-03-19 Intel Corporation Controlling current consumption of a processor based at least in part on platform capacitance
US10379904B2 (en) 2016-08-31 2019-08-13 Intel Corporation Controlling a performance state of a processor using a combination of package and thread hint information
US10423206B2 (en) 2016-08-31 2019-09-24 Intel Corporation Processor to pre-empt voltage ramps for exit latency reductions
US10168758B2 (en) 2016-09-29 2019-01-01 Intel Corporation Techniques to enable communication between a processor and voltage regulator
US10290289B2 (en) * 2017-04-01 2019-05-14 Intel Corporation Adaptive multibit bus for energy optimization
US10429919B2 (en) 2017-06-28 2019-10-01 Intel Corporation System, apparatus and method for loose lock-step redundancy power management
US11593544B2 (en) 2017-08-23 2023-02-28 Intel Corporation System, apparatus and method for adaptive operating voltage in a field programmable gate array (FPGA)
US10620266B2 (en) 2017-11-29 2020-04-14 Intel Corporation System, apparatus and method for in-field self testing in a diagnostic sleep state
US10620682B2 (en) 2017-12-21 2020-04-14 Intel Corporation System, apparatus and method for processor-external override of hardware performance state control of a processor
US10620969B2 (en) 2018-03-27 2020-04-14 Intel Corporation System, apparatus and method for providing hardware feedback information in a processor
US10739844B2 (en) 2018-05-02 2020-08-11 Intel Corporation System, apparatus and method for optimized throttling of a processor
US10955899B2 (en) 2018-06-20 2021-03-23 Intel Corporation System, apparatus and method for responsive autonomous hardware performance state control of a processor
US10976801B2 (en) 2018-09-20 2021-04-13 Intel Corporation System, apparatus and method for power budget distribution for a plurality of virtual machines to execute on a processor
US10860083B2 (en) 2018-09-26 2020-12-08 Intel Corporation System, apparatus and method for collective power control of multiple intellectual property agents and a shared power rail
US11656676B2 (en) 2018-12-12 2023-05-23 Intel Corporation System, apparatus and method for dynamic thermal distribution of a system on chip
US11256657B2 (en) 2019-03-26 2022-02-22 Intel Corporation System, apparatus and method for adaptive interconnect routing
US11442529B2 (en) 2019-05-15 2022-09-13 Intel Corporation System, apparatus and method for dynamically controlling current consumption of processing circuits of a processor
US11698812B2 (en) 2019-08-29 2023-07-11 Intel Corporation System, apparatus and method for providing hardware state feedback to an operating system in a heterogeneous processor
US11366506B2 (en) 2019-11-22 2022-06-21 Intel Corporation System, apparatus and method for globally aware reactive local power control in a processor
US11132201B2 (en) 2019-12-23 2021-09-28 Intel Corporation System, apparatus and method for dynamic pipeline stage control of data path dominant circuitry of an integrated circuit
US11921564B2 (en) 2022-02-28 2024-03-05 Intel Corporation Saving and restoring configuration and status information with reduced latency

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08202549A (ja) * 1995-01-30 1996-08-09 Mitsubishi Electric Corp データ処理装置
FR2752312B1 (fr) * 1996-08-07 1998-10-30 Motorola Semiconducteurs Procede et circuit permettant d'ajuster dynamiquement la tension d'alimentation et, ou bien, la frequence du signal d'horloge dans un circuit numerique
US6684298B1 (en) * 2000-11-09 2004-01-27 University Of Rochester Dynamic reconfigurable memory hierarchy
US6990598B2 (en) * 2001-03-21 2006-01-24 Gallitzin Allegheny Llc Low power reconfigurable systems and methods
JP4139579B2 (ja) * 2001-06-19 2008-08-27 株式会社ルネサステクノロジ 半導体装置および半導体装置の動作モード制御方法
EP1421490B1 (en) * 2001-08-29 2006-04-12 Analog Devices, Inc. Methods and apparatus for improving throughput of cache-based embedded processors by switching tasks in response to a cache miss
JP4085622B2 (ja) * 2001-11-28 2008-05-14 日本電気株式会社 集積回路構成判定装置及びその方法並びにプログラム
US7076681B2 (en) * 2002-07-02 2006-07-11 International Business Machines Corporation Processor with demand-driven clock throttling power reduction
CN1759368A (zh) * 2003-01-23 2006-04-12 罗切斯特大学 多时钟域微处理器
US7093147B2 (en) * 2003-04-25 2006-08-15 Hewlett-Packard Development Company, L.P. Dynamically selecting processor cores for overall power efficiency
EP1623349B1 (en) * 2003-05-07 2018-01-24 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
US7194643B2 (en) * 2003-09-29 2007-03-20 Intel Corporation Apparatus and method for an energy efficient clustered micro-architecture
US7174469B2 (en) * 2003-09-30 2007-02-06 International Business Machines Corporation Processor power and energy management
US7903116B1 (en) * 2003-10-27 2011-03-08 Nvidia Corporation Method, apparatus, and system for adaptive performance level management of a graphics system
US7809932B1 (en) * 2004-03-22 2010-10-05 Altera Corporation Methods and apparatus for adapting pipeline stage latency based on instruction type
US20060064606A1 (en) * 2004-09-21 2006-03-23 International Business Machines Corporation A method and apparatus for controlling power consumption in an integrated circuit
US7434073B2 (en) 2004-11-29 2008-10-07 Intel Corporation Frequency and voltage scaling architecture
US7490254B2 (en) 2005-08-02 2009-02-10 Advanced Micro Devices, Inc. Increasing workload performance of one or more cores on multiple core processors
US7949887B2 (en) 2006-11-01 2011-05-24 Intel Corporation Independent power control of processing cores
US20110106282A1 (en) 2009-07-23 2011-05-05 Corevalus Systems, Llc Audio Processing Utilizing a Dedicated CPU Core and a Real Time OS
US8321705B2 (en) 2009-10-13 2012-11-27 Advanced Micro Devices, Inc. Dynamic table look-up based voltage regulator control

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101946219B (zh) * 2008-02-20 2013-03-20 惠普开发有限公司 具有两个参考时钟的转接驱动器及其操作方法
CN102033788A (zh) * 2009-10-05 2011-04-27 国际商业机器公司 微处理器中的电压和频率的可靠设置
CN102033788B (zh) * 2009-10-05 2013-04-17 国际商业机器公司 微处理器中的电压和频率的可靠设置
US8566618B2 (en) 2009-10-05 2013-10-22 International Business Machines Corporation Reliable setting of voltage and frequency in a microprocessor
TWI463296B (zh) * 2009-10-05 2014-12-01 Ibm 用於管理與一或多個電壓改變請求及一或多個頻率改變請求相關聯之操作的方法、資料處理系統及電腦程式產品
CN102314208A (zh) * 2010-06-30 2012-01-11 重庆重邮信科通信技术有限公司 一种动态调整嵌入式设备频率电压的方法及装置
CN102314208B (zh) * 2010-06-30 2016-08-03 重庆重邮信科通信技术有限公司 一种动态调整嵌入式设备频率电压的方法及装置
CN102445953A (zh) * 2010-09-30 2012-05-09 重庆重邮信科通信技术有限公司 一种嵌入式设备时钟源的调整方法
CN102445953B (zh) * 2010-09-30 2016-08-03 重庆重邮信科通信技术有限公司 一种嵌入式设备时钟源的调整方法
CN102707930A (zh) * 2012-04-26 2012-10-03 苏州睿云智芯微电子有限公司 寄存器换名系统及方法
CN102707930B (zh) * 2012-04-26 2015-04-08 苏州睿云智芯微电子有限公司 寄存器换名系统及方法

Also Published As

Publication number Publication date
GB2432939B (en) 2009-01-21
WO2006057865A2 (en) 2006-06-01
CN100593155C (zh) 2010-03-03
US8689029B2 (en) 2014-04-01
DE112005002416B4 (de) 2009-04-30
US20140164802A1 (en) 2014-06-12
JP2008515065A (ja) 2008-05-08
US20130173948A1 (en) 2013-07-04
US9047014B2 (en) 2015-06-02
GB2432939A (en) 2007-06-06
DE112005002416T5 (de) 2007-10-18
US8407497B2 (en) 2013-03-26
US20080263376A1 (en) 2008-10-23
JP2012009061A (ja) 2012-01-12
US20060117202A1 (en) 2006-06-01
US7434073B2 (en) 2008-10-07
JP4860624B2 (ja) 2012-01-25
WO2006057865A3 (en) 2006-12-14
JP5159931B2 (ja) 2013-03-13
GB0705676D0 (en) 2007-05-02
GB0705111D0 (en) 2007-04-25

Similar Documents

Publication Publication Date Title
CN101036120A (zh) 频率和电压缩放架构
CN101149640B (zh) 低功耗电脑操作系统及方法
CN108604113B (zh) 用于处理单元的以帧为基础的时钟速率调整
US7176848B1 (en) Method of synchronizing images on multiple display devices with different refresh rates
EP2175415A1 (en) Apparatus and method for ultra-high resolution video processing
US10007320B2 (en) Serializer and deserializer for odd ratio parallel data bus
JP2006330682A (ja) アモルファスシリコンlcdのゲートスイッチ装置
US20170255394A1 (en) Power saving techniques for memory systems
US20110210955A1 (en) Gate driver and related driving method for liquid crystal display
TWI708172B (zh) 觸控顯示驅動器系統及其時序控制方法
JP2002041006A (ja) シフトレジスタを有する液晶表示装置の駆動回路
JP2004004556A (ja) 薄膜トランジスタ型液晶表示装置のソースドライバ回路及び方法
KR970050043A (ko) 해상도가 향상되도록 설계된 엘씨디 구동 회로
CN104849888A (zh) 液晶显示面板的驱动方法
CN106340274B (zh) 显示装置及其驱动方法
WO2017030828A1 (en) Dynamic voltage level shifters employing pulse generation circuits, and related systems and methods
US8458241B2 (en) Memory address generating method and twiddle factor generator using the same
CN102023963B (zh) 高速多模式时频域变换方法
TW201921254A (zh) 提供對基於處理器之系統中的記憶表之有用性指示符的可變解釋
US20210255804A1 (en) Data scheduling register tree for radix-2 fft architecture
US5761472A (en) Interleaving block operations employing an instruction set capable of delaying block-store instructions related to outstanding block-load instructions in a computer system
RU2134448C1 (ru) Однородная вычислительная среда с двуслойной программируемой структурой
JPH033048A (ja) 情報処理装置
CN104849889A (zh) 液晶显示面板及液晶显示装置
CN117908006A (zh) 路侧毫米波雷达解速度模糊方法及计算机设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100303

Termination date: 20181114