CN100557847C - Luminescent device and manufacture method thereof - Google Patents

Luminescent device and manufacture method thereof Download PDF

Info

Publication number
CN100557847C
CN100557847C CNB2004100784960A CN200410078496A CN100557847C CN 100557847 C CN100557847 C CN 100557847C CN B2004100784960 A CNB2004100784960 A CN B2004100784960A CN 200410078496 A CN200410078496 A CN 200410078496A CN 100557847 C CN100557847 C CN 100557847C
Authority
CN
China
Prior art keywords
anode
fire resistance
film
layer
flatted membrane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004100784960A
Other languages
Chinese (zh)
Other versions
CN1596045A (en
Inventor
山崎舜平
坂田淳一郎
浜田崇
永井雅晴
松田丰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN1596045A publication Critical patent/CN1596045A/en
Application granted granted Critical
Publication of CN100557847C publication Critical patent/CN100557847C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/124Insulating layers formed between TFT elements and OLED elements
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/805Electrodes
    • H10K50/81Anodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/805Electrodes
    • H10K50/81Anodes
    • H10K50/818Reflective anodes, e.g. ITO combined with thick metallic layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/84Passivation; Containers; Encapsulations
    • H10K50/842Containers
    • H10K50/8426Peripheral sealing arrangements, e.g. adhesives, sealants
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/87Arrangements for heating or cooling
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/122Pixel-defining structures or layers, e.g. banks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K2102/00Constructional details relating to the organic devices covered by this subclass
    • H10K2102/301Details of OLEDs
    • H10K2102/302Details of OLEDs of OLED structures
    • H10K2102/3023Direction of light emission
    • H10K2102/3026Top emission
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K2102/00Constructional details relating to the organic devices covered by this subclass
    • H10K2102/301Details of OLEDs
    • H10K2102/302Details of OLEDs of OLED structures
    • H10K2102/3023Direction of light emission
    • H10K2102/3031Two-side emission, e.g. transparent OLEDs [TOLED]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/86Arrangements for improving contrast, e.g. preventing reflection of ambient light
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/131Interconnections, e.g. wiring lines or terminals

Abstract

The purpose of this invention is to provide a kind of luminescent device, this luminescent device has been realized low-power consumption and high stability when raising is obtained from emitting component.For the luminescent device of realizing that stability is high, at least interlayer dielectric (comprising flatted membrane), anode and the dykes and dams (bank) that cover this anode edge are included in silica stable on chemical and the physical property, perhaps, interlayer dielectric and dykes and dams are by being the material of main component with the silica.According to structure of the present invention, not only can improve the efficient (brightness/electric current) of luminescent panel, and can suppress the heating of luminescent panel, on the reliability of luminescent device, obtain multiplier effect.

Description

Luminescent device and manufacture method thereof
Technical field
The present invention relates to the semiconductor device of (hereinafter referred to as TFT) circuit of forming that has thin-film transistor and the manufacture method of this semiconductor device.Exactly, the present invention relates to a kind of electronic device, carry the light-emitting display device that comprises TFT and organic illuminating element on it as part.
Semiconductor device in this specification comprises the various semiconductor device such as electro-optical device, semiconductor circuit and electronic device that can utilize characteristic of semiconductor performance function.
Background technology
In recent years, very active for having as the research of the luminescent device of emissive type light-emitting component with EL element.This luminescent device be otherwise known as OLED display or Organic Light Emitting Diode.Since these luminescent devices have be applicable to that dynamic picture shows such as rapid response speed, features such as low-voltage, low-power consumption driving, their receive much concern as the display of future generation that is used for mobile phone of new generation and portable data assistance (PDA).
Note, with the layer that contains organic compound as the structure of the EL element of luminescent layer be: the layer (hereinafter referred to as an EL layer) that contains organic compound is clipped between anode and the negative electrode, by applying electric field for anode and negative electrode, from EL layer electroluminescent (Electro Luminescence).EL layer luminous comprises fluorescence that produces and the phosphorescence that produces when triplet excited state turns back to ground state when singlet excited turns back to ground state.
But the conventional layer to contain organic compound is that the light-emitting component of luminescent layer can not obtain sufficient brightness.
The light that produces at the EL layer takes out from anode-side or cathode side as display surface.At this moment, during passing various material layers or substrate, part light is reflected at the interface of different material layer.It is that the light of transmission is reduced to former luminously tens percent outside element that the result causes a problem, and it is very low that brightness is suppressed ground.
Be directed to this, applicant of the present invention has proposed to improve the scheme of component structure of the taking-up efficient of light in patent document 1 and patent document 2.
In addition, the conventional layer to contain organic compound is that the light-emitting component of luminescent layer is low owing to luminous efficiency, and by increasing the magnitude of current to obtain desirable brightness, like this, power consumption just uprises.And power consumption uprises and can bring very big influence to component life, is typically the lifetime that reduces by half of brightness, and the problem that needs improvement is arranged on the stability of element.
Patent document 1
The open 2002-352950 of Japan Patent
Patent document 2
The open 2002-229482 of Japan Patent
Summary of the invention
The purpose of this invention is to provide a kind of luminous efficiency height, brightness height, high luminescent device low in energy consumption and stable.
For the luminescent device of realizing that stability is high, at least interlayer dielectric (comprising flatted membrane), anode and the dykes and dams (bank) that cover this anode edge are included in silica stable on chemical and the physical property, or are the material of main component with the silica.
Specifically, interlayer dielectric and dykes and dams preferably use the high-fire resistance flatted membrane that obtains by coating process.The material of interlayer dielectric and dykes and dams is made of the skeletal structure of silicon (Si) and oxygen (O) combination, and uses at least a material that has in hydrogen, fluorine, alkyl, the aromatic series hydrocarbon as substituent coated film.Film after the baking can be referred to as the SiOx film that contains alkyl.This SiOx film that contains alkyl has higher light transmission than acrylic resin, and can bear the heat treated more than 300 ℃.
In the present invention, according to the interlayer dielectric of coating process, and the formation method of dykes and dams is: at first, after with the pure water cleaning, carry out the pre-wet process of dilution to improve wettability.The low molecular composition (precursor) that will have silicon (S i) and oxygen (O) combination is dissolved in the solvent that is called as varnish, and this liquid charging stock is coated on the substrate with spin-coating method.Afterwards, thereby varnish and substrate are heated volatilization (evaporation) solvent together, and, can obtain film by carrying out the cross-linking reaction of low molecular composition.Then, remove the edges of substrate coated film on every side that is formed with coated film.In addition, when forming dykes and dams, can form it into desirable pattern.In addition, according to number of revolutions, rotational time, the concentration of varnish and the thickness that viscosity is come controlling diaphragm of spin coating.
Interlayer dielectric and dykes and dams use identical materials, can reduce manufacturing cost like this.In addition, devices such as general coating deposition apparatus or Etaching device can be realized the reduction of cost.
Usually, be that the EL element of luminescent layer uses ITO (tin indium oxide) as anode with the layer that contains organic compound.But the refractive index of ITO is very high, is approximately 2.Therefore, the present invention uses and comprises the tin indium oxide (being designated hereinafter simply as ITSO) of silica as anode.Even ITSO carry out baking can be as ITO yet crystallization, but noncrystalline state.So ITSO is higher than the planarization of ITO, even it is very thin to contain the layer of organic compound, also be difficult to and negative electrode generation short circuit, be suitable as the anode of light-emitting component.In addition, be about 1.46 silica by comprising refractive index, can change refractive index as the ITSO of anode.
And, as shown in Figure 6, high 1.5 times as the efficient (brightness/electric current) of the luminescent panel of anode as the luminescent panel (panel) of anode than using ITO with ITSO.In Fig. 6, the active array type luminescent panel that is to use TFT relatively, and the electric current that uses when computational efficiency uses the total current value that is input to panel.
And, as shown in figure 12, use ITSO as anode, and use the high-fire resistance flatted membrane that obtains by coating process can suppress the heating of panel, thereby improve the reliability of luminescent device as the luminescent panel (in Figure 12, being equivalent to sample B) of interlayer dielectric.
In other words, according to structure of the present invention, not only can improve the efficient (brightness/electric current) of luminescent panel, and can suppress the heating of luminescent panel, on the reliability of luminescent device, obtain multiplier effect.
According to electroluminescent cell of the present invention, the luminous lamination that passes when substrate outside of luminescent layer is made of the high material of light transmittance, and, by suppressing the different interlayers reflection of refractive index, can improve luminous efficiency.Especially, in the situation of the active array type luminescent device that uses TFT, because the lamination that uses a plurality of material layers is as interlayer dielectric, so setting is effective through the refractive index of the interlayer dielectric of light or the thickness of film.The present invention abides by Snell laws of refraction, in the scope that can adjust, determines the refractive index of each layer and the thickness of film, thereby suppresses the reflection of light at the interface of layer.Snell laws of refraction is meant: when being n from refractive index jFilm with angle θ iIncident, and with angle θ jSeeing through refractive index is n iFilm the time, n iSin θ i=n jSin θ jAt total reflection condition (θ in Snell laws of refraction j=90 °) down as surpassing θ jValue (critical angle), then all light are reflected with the approach with the normal line symmetry.
In addition, come the luminous reflection of self-emission device or diffusion to absorb to all directions and by various piece (material layer).The present invention when luminous penetrate into substrate when outside luminous part of not passing constitute with the high material of light transmission rate, dykes and dams for example with the absorption of the light that suppresses this part, thereby improve luminous efficiency.
Open structure of the present invention in this manual is: a kind of luminescent device that comprises a plurality of light-emitting components, and described light-emitting component comprises negative electrode; The layer that contains organic compound; And anode, wherein,
Form the high-fire resistance flatted membrane that comprises SiOx having on the substrate of insulating surface;
On described high-fire resistance flatted membrane, form anode that comprises SiOx and the dykes and dams that comprise SiOx that cover the edge of this anode;
On described anode, form the layer that contains organic compound; And
Described contain organic compound the layer on form negative electrode.
According to said structure, wherein, described high-fire resistance flatted membrane and described dykes and dams are made of the SiOx film that comprises alkyl of same material.In addition, according to said structure, wherein, described anode is the tin indium oxide that comprises SiOx.In addition, according to said structure, wherein, be electrically connected with the described high-fire resistance flatted membrane that comprises SiOx TFT and described anode as interlayer dielectric.
In addition, other structures of the present invention are: a kind of luminescent device that comprises a plurality of light-emitting components, and described light-emitting component comprises negative electrode; The layer that contains organic compound; And anode, wherein,
In light-emitting zone, the luminous anode that comprises SiOx that sees through of light-emitting component; The high-fire resistance flatted membrane that comprises SiOx; And substrate with insulating surface.
According to said structure, wherein, described light-emitting component sends redness, green, blueness or white light.
In addition, for the structure of the present invention that realizes said structure is: a kind of have the manufacture method that comprises the luminescent device of thin-film transistor and light-emitting component on the substrate of insulating surface, may further comprise the steps:
Form the thin-film transistor with semiconductor layer, gate insulating film, gate electrode having on first substrate of insulating surface, wherein said semiconductor layer comprises the channel formation region between source region, drain region and source region and the drain region;
Form the high-fire resistance flatted membrane in shape in the convex-concave that forms by described thin-film transistor;
Optionally remove described high-fire resistance flatted membrane, have conical in shape and be positioned at described source region or the opening portion of top, described drain region thereby form the side, and form peripheral part with conical in shape;
Thereby optionally remove described gate insulating film and form the contact hole that arrives described source region or drain region;
Form the electrode that arrives described source region or drain region;
Form the anode that comprises SiOx that is connected with described electrode;
Form the dykes and dams that cover described anode edge;
On described anode, form the layer that contains organic compound;
Described contain organic compound the layer on form negative electrode; And
With the encapsulant that surrounds described light-emitting component periphery with second substrate bonding to described first substrate to seal described light-emitting component.
According to said structure, wherein, described high-fire resistance flatted membrane or described dykes and dams use the SiOx film that comprises alkyl that forms according to coating process.In addition,, wherein, use the target of the tin indium oxide formation that comprises SiOx, form described anode by sputtering method according to said structure.
In said structure, described luminescent device is applicable to active array type and passive matrix both sides in addition.
Can in each layer (interlayer dielectric, underlying insulation film, gate insulating film, first electrode and transparent protective film) of luminescent device, comprise silicon, thereby improve the bonding force of each layer.Another structure of the present invention is: a kind of luminescent device that comprises a plurality of light-emitting components, and described light-emitting component comprises negative electrode; The layer that contains organic compound; And anode, wherein,
Form the high-fire resistance flatted membrane that comprises silicon having on the substrate of insulating surface;
On described high-fire resistance flatted membrane, form the dykes and dams that comprise the anode of silicon and cover the marginal portion of this anode;
On described anode, form the layer that contains organic compound;
Described contain organic compound the layer on form negative electrode; And
On described negative electrode, form the diaphragm that comprises silicon.
In said structure, use the high-fire resistance flatted membrane comprise silicon to be electrically connected as the TFT and the anode of interlayer dielectric.
Can in each layer (interlayer dielectric, underlying insulation film, gate insulating film, first electrode, second electrode and transparent protective film) of luminescent device, comprise silicon or silica, thereby improve the bonding force and the reliability of each layer.Another structure of the present invention is: a kind of luminescent device that comprises a plurality of light-emitting components, and described light-emitting component comprises negative electrode; The layer that contains organic compound; And anode, wherein,
Form the high-fire resistance flatted membrane that comprises silicon or silica having on the substrate of insulating surface;
On described high-fire resistance flatted membrane, form the dykes and dams that comprise the anode of silicon or silica and cover the marginal portion of this anode;
On described anode, form the layer that contains organic compound;
On the described layer that contains organic compound, form the negative electrode comprise silicon or silica; And
On described negative electrode, form the diaphragm that comprises silicon or silica.
In said structure, use the high-fire resistance flatted membrane comprise silicon or silica to be electrically connected as the TFT and the anode of interlayer dielectric.
Notice that EL element comprises the layer (hereinafter referred to as the EL layer), anode and the negative electrode that contain organic compound of the electroluminescent (ElectroLuminescence) by means of applying electric field.Organic compound deposits yields luminous is the fluorescence that produces when singlet excited turns back to ground state when electronics and the phosphorescence that produces when triplet excited state turns back to ground state when electronics.The luminescent device of making according to the present invention be applicable to these two kinds luminous.
Light-emitting component (EL element) with EL layer is that the EL layer is sandwiched in the structure between the paired electrode, and the EL layer has laminated construction usually.The laminated construction of being made up of hole transport layer, luminescent layer and electron transport layer can be used as typical example.This structure has high luminous efficiency, and nearly all luminescent device of researching and developing at present all adopts this structure.
And, also can adopt hole injection layer, hole transport layer, luminescent layer and electron transport layer to stack gradually structure on anode.Can also adopt hole injection layer, hole transport layer, luminescent layer, electron transport layer and electron injecting layer to stack gradually structure on anode.Fluorescent pigment etc. can also be incorporated in the luminescent layer.And, can utilize low molecular weight material to form all these layers, also can utilize polymeric material to form all these layers.And, also can adopt the layer that comprises inorganic material.Notice that in this manual, all layers that are formed between negative electrode and the anode all are commonly referred to as the EL layer.Therefore, hole injection layer, hole transport layer, luminescent layer, electron transport layer and electron injecting layer all are included in the classification of EL layer.
And, the method that drives screen display in luminescent device of the present invention is had no particular limits.For example, can adopt the pointwise driving method, line by line driving method, by the face driving method etc.The typical case adopts driving method line by line, also can suitably adopt time-division grey driving method or surface area gray scale driving method.And the picture signal that is input to luminescent device source line can be analog signal and digital signal.Can come suitably design driven circuit etc. according to used picture signal.
And in vision signal was digital luminescent device, the vision signal that is input to pixel comprised constant voltage (CV) vision signal and constant current (CC) vision signal.When vision signal was constant voltage (CV) vision signal, it comprised that the voltage that is applied to light-emitting component is that certain signal (CVCV) and the electric current that is applied to light-emitting component are certain signal (CVCC).In addition, when vision signal was constant current (CC) vision signal, it comprised that the voltage that is applied to light-emitting component is that certain signal (CCCV) and the electric current that is applied to light-emitting component are certain signal (CCCC).
In this manual, the taking-up efficient of light represents to be released to from the transparent substrate face of element the luminous luminous ratio with respect to element of atmosphere.
In addition, can with the situation of TFT structure-irrelevant under, use the present invention.For example, can use the present invention in top gate type TFT, bottom gate type (reciprocal cross shift) TFT or staggered TFT.
In addition, as the active coating of TFT, can suitably use the noncrystalline semiconductor film, comprise crystalline texture semiconductor film, comprise the compound semiconductor film of amorphous structure etc.And as the active coating of TFT, can use hemihedral crystal semiconductor film (being called microcrystalline semiconductor film, microcrystal semiconductor film again), this hemihedral crystal semiconductor film has the intermediate structure between amorphous and crystalline texture (containing monocrystalline and polycrystalline structure), and the semiconductor of the third state of free energy stabilizing, and comprise the crooked crystalloid zone of lattice of short-range order.The hemihedral crystal semiconductor film comprises the crystalline particle of 0.5nm-20nm at least in a part of zone in film, and Raman spectrum moves to and compares 520cm -1Lower frequency band.In addition, the hemihedral crystal semiconductor film X-ray diffraction can observe origin in (111) of Si lattice, the diffraction maximum of (220).In addition, the nertralizer of the dangling bonds of hemihedral crystal semiconductor film (danglingbond) contains 1 atom % or more hydrogen or halogen at least.Silicide gas is decomposed in glow discharge can form the hemihedral crystal semiconductor film.Typical silicide gas is SiH 4, other can also use Si 2H 6, SiH 2Cl 2, SiHCl 3, SiCl 4, SiF 4Deng.In addition, can also be with this silicide gas H 2, or H 2Usually dilute with one or more rare gas units that are selected among He, Ar, Kr, the Ne.The dilution rate of dilution silicide gas is in 2-1000 scope doubly.Pressure approximately is set at the scope of 0.1Pa-133Pa, and power-frequency is 1MHz-120MHz, preferred 13MHz-60MHz.The heating-up temperature of substrate is preferably 300 ℃ or lower, recommends 100-250 ℃ substrate heating temperature.As the impurity element in the film, the impurity that it is desirable to Atmospheric components such as oxygen, nitrogen, carbon is 1 * 10 20Cm -1Or lower scope.Especially oxygen concentration is 5 * 10 19/ cm 3Or lower scope, preferably 1 * 10 19/ cm 3Or lower scope.Noting, is that the field-effect mobility μ of the TFT of active coating is 1cm with the hemihedral crystal semiconductor film 2/ Vsec to 10cm 2/ Vsec.
Light-emitting component of the present invention can improve luminous efficiency, reduces power consumption and prolong reducing by half the life-span of brightness.And light-emitting component of the present invention can also suppress the heating of panel, thereby improves the stability of element and the reliability of luminescent device.
Each purpose of the present invention, feature and advantage will be clearer and more definite by detailed description with reference to the accompanying drawings hereinafter.
Description of drawings
In the accompanying drawings:
Figure 1A and 1B show profile of the present invention and vertical view;
Fig. 2 is the figure of expression brightness-current characteristics;
Fig. 3 is expression ageing test result's figure;
Fig. 4 A-4D represents the profile of light-emitting component;
Fig. 5 A-5C is the figure of expression applying device and edge remover;
Fig. 6 is the curve chart of expression efficient;
Fig. 7 is the curve chart of expression transmissivity;
Fig. 8 is the curve chart of expression refractive index;
Fig. 9 represents the profile according to the luminescent device of embodiment 5;
Figure 10 A and 10B represent the profile according to the luminescent device of embodiment 6;
Figure 11 A-11G represents the illustration according to the electronic device of embodiment 7;
Figure 12 A and 12B are display floater temperature and brightness relationship, and the figure of panel temperature and cathode current relation.
Selection figure of the present invention is Fig. 1
The specific embodiments pattern
Explained later embodiment of the present invention pattern.
Embodiment pattern 1
At first, on substrate 10, form underlying insulation film 11.When being that display surface takes out when luminous with substrate 10 sides, substrate 10 adopts glass substrate or the quartz substrate that light transmission is arranged.In addition, also can use and to bear the stable on heating light transmission plastic of having of treatment temperature.If take out when luminous as display surface, except described substrate, can also use its surface to be formed with at the bottom of silicon substrate, metal substrate or the stainless steel lining of dielectric film with the face opposite with substrate 10 sides.Specifically use glass substrate as substrate 10 at this.Notice that the refractive index of glass substrate is in 1.55 front and back.
Form dielectric films such as silicon oxide film, silicon nitride film or oxidized silicon nitride film as underlying insulation film 11.Though showing basilar memebrane at this is 2 layers of example of structure, basilar memebrane also can adopt the structure of the monofilm or the lamination more than 2 layers of described dielectric film.Note, also can not form underlying insulation film.
Then, on described underlying insulation film, form semiconductor layer.Form the semiconductor film of non crystalline structure as semiconductor layer with the method for knowing (sputtering method, LPCVD method or plasma CVD method etc.), afterwards, hide by first light that film is handled (laser crystallization method, thermal crystallization or use the thermal crystallization etc. of catalyst such as nickel) to carrying out well-known crystallization and thus the crystalline semiconductor film that obtains is carried out patterning and is formed desirable shape.Thickness with 25nm-80nm (being preferably 30nm-70nm) forms this semiconductor layer.Though do not limit the material of crystalline semiconductor film, preferred material is silicon or SiGe (SiGe) alloy etc.
In addition, the crystallization that can adopt the continuous oscillation laser to carry out the semiconductor film with non crystalline structure is handled.When carrying out the crystallization of amorphous semiconductor film, in order to obtain the crystal of big crystallite dimension, the most handy solid state laser that can continuous oscillation, and the second harmonic of using first-harmonic is to four-time harmonic.Typically say, preferably adopt Nd:YVO 4The second harmonic (thickness is 532nm) of laser (first-harmonic is 1064nm) or triple-frequency harmonics (thickness is 355nm).When adopting the laser of continuous oscillation, from the continuous oscillation type YVO of 10W output 4Laser emitted laser bundle is converted to harmonic wave by nonlinear optical element.And, can provide YVO 4Crystal and nonlinear optical element are put resonant cavity into and the method for launching harmonic wave.Preferably laser beam is formed rectangular shape or elliptical shape comes irradiation to treat material to be processed with optical system.At this moment, require to be about 0.01-100MW/cm 2(be preferably 0.1-10MW/cm 2) energy density.Semiconductor film moves with respect to laser beam with the speed of about 10-2000cm/s, so that the irradiation semiconductor film.
Then, after removing mask against corrosion, form the dielectric film 12 that covers semiconductor layer.Form the dielectric film 12 of thick 1nm-200nm with plasma CVD method or sputtering method.Preferably with individual layer of dielectric film that comprises silicon or lamination form be as thin as the dielectric film 12 of 10nm-50nm after, carry out the surfaces nitrided processing of using according to the plasma of microwave.
When the dielectric film to the thin thickness of film uses plasma CVD method, be necessary deposition speed is postponed to control the thickness of film better.For example, as forming film in following condition, promptly RF power is 100W, 10kHz; Pressure is 0.3 torr; N 2The O gas flow is 400sccm; SiH 4Gas flow is 1sccm, can form silicon oxide film with the deposition speed that 6nm/ divides.In addition, use the nitrogen of microwave source (2.45GHz) and reacting gas to carry out the nitrogen treatment of use according to the plasma of microwave.
Notice that far away more from the surface of dielectric film 12, then the concentration of nitrogen is just few more.In view of the above, not only can be with the surperficial high concentration ground nitrogenize of silicon oxide film, and can reduce the nitrogen at the interface of silicon oxide film and active coating, thus prevent the degeneration of device property.Note having the gate insulating film that is become TFT by the dielectric film 12 on the surface of nitrogen treatment.
Then, on dielectric film 12, form the conducting film of thick 100nm-600nm.At this, use sputtering method, form the conducting film that the lamination by TaN film and W film constitutes.Note, though used the lamination of TaN film and W film here, but conducting film is not limited thereto, and is selected from the element of Ta, W, Ti, Mo, Al and Cu or comprises alloy material or the individual layer of compound-material or the material that lamination can be used as conducting film of above-mentioned element as its key component.And, be that typical semiconductor film also can be used as conducting film with the polysilicon film that is mixed with such as the impurity element of phosphorus.
Then, hide film with second light and form the etchant resist mask, and carry out etching with dry type or wet etching.According to this etch process, the etching conducting film, thus obtain conductive layer 14a, 14b, 15a, 15b.Notice that conductive layer 14a, 14b become the gate electrode of TFT, and conductive layer 15a, 15b become terminal electrode (terminal electrode).
Then, after removing mask against corrosion, hide film with the 3rd light and form new resist mask, at this, in order to form the n channel-type TFT that does not illustrate, carry out first doping process of giving the impurity (being typically phosphorus (P) or arsenic (As)) of semiconductor n type with low concentration doping.The resist mask covers near the zone and conductive layer of p channel-type TFT.According to this first doping process, under the situation of therebetween dielectric film, carry out and penetrate doping (through-dope), thereby form the low concentration impurity district.A light-emitting component uses a plurality of TFT to drive, and when still only driving with p channel-type TFT, just above-mentioned doping process is not needed especially.
Then, after removing mask against corrosion, hide film with the 4th light and form new resist mask, carry out second doping process of giving the impurity (being typically boron) of semiconductor p type with high-concentration dopant.According to this second doping process, under the situation of therebetween dielectric film 12, carry out and penetrate doping process, thereby form p type high concentration impurities district 17,18.
Afterwards, hide film with the 5th light and form new resist mask,,, carry out the 3rd doping process of giving the impurity (being typically phosphorus or arsenic) of semiconductor n type with high-concentration dopant in order to form the n channel-type TFT that does not illustrate at this.The condition of carrying out the ion doping method in the 3rd doping process is: dosage, 1 * 10 13/ cm 2-5 * 10 15/ cm 2Accelerating voltage, 60-100keV.The resist mask covers near the zone and conductive layer of p channel-type TFT.According to the 3rd doping process, under the situation of therebetween dielectric film 12, carry out and penetrate doping, thereby form n type high concentration impurities district.
Then, after removing mask against corrosion, form the dielectric film 13 that comprises hydrogen.Execution is doped to the activation and the hydrogenation of the impurity element of semiconductor layer.The dielectric film 13 that comprises hydrogen adopts the nitriding and oxidizing silicon fiml (SiNO film) that forms according to the PCVD method.When using when coming the crystallization semiconductor film as the metallic element that promotes crystallization as typical nickel, can be when carrying out activation, carrying out to reduce nickel in the channel formation region is that the gettering of purpose is handled.Notice that the dielectric film 13 that comprises hydrogen is ground floors of interlayer dielectric, and comprises silica.
Then, formation is as the high-fire resistance flatted membrane 16 of the second layer of interlayer dielectric.As high-fire resistance flatted membrane 16, the dielectric film that the skeletal structure by silicon (Si) and oxygen (O) combination that use is obtained according to coating process constitutes.So the second layer of interlayer dielectric also comprises silica.
At this, describe the formation step of high-fire resistance flatted membrane 16 in detail with Fig. 5.
At first, clean pending substrate with pure water.Also can carry out mega sonic wave (megasonic) cleans.After (dehydrobaking) toasted in the dehydration of carrying out 140 ℃, 110 seconds, carry out 120 seconds cooling so that underlayer temperature keeps certain with cooled plate.Then, substrate is transported to the spin-coating applying device shown in Fig. 5 A and install substrate.
Fig. 5 A represents the section pattern of spin-coating applying device.In Fig. 5 A, 1001 expression nozzles, 1002 expression substrates, 1003 expression coating cups, 1004 expression coating material liquid.The structure of this applying device is: ooze coating material liquid from nozzle 1001, accommodate substrate 1002 with horizontal plane in coating cup 1003, spin coated cup integral body.In addition, the atmosphere in the coating cup 1003 is to carry out pressure controlled structure.
Then, adopt the coating of prewetting of diluent (the volatility mixed solvent that has mixed aromatic series charing hydrogen (toluene etc.), alcohol, the acetate etc.) organic solvent of etc.ing, with the raising wettability.Diluent while the 70ml that instils rotates substrate (rotation number is 100rpm), diluent because of centrifugal force by omnirange ground diffusion after, high speed rotating (rotation number is 450rpm) is to throw diluent away.
Then, (propyleneglycolmonomethyl ether, molecular formula is: CH from the nozzle instillation siloxane polymer to be dissolved into solvent 3OCH 2CH (OH) CH 3) the coating material liquid of aqueous raw material, spin coated by easy stages (rotation number 0rpm → 1000rpm), coating material liquid because of centrifugal force by the diffusion of omnirange ground.According to the structure of siloxanes, can be categorized as: for example, quartz glass, alkyl siloxane polymer, alkyl silsesquioxane polymer, hydrogen silsesquioxanes polymer, hydrogenation alkyl silsesquioxane polymer etc.As an example of siloxane polymer, can enumerate PSB-K1, PSB-K31 or the (Catalysts﹠amp of Catalysts ﹠ Chem Ind Co of the coating coating insulating film material that Japanese Toray company makes; Chemicals Industries.Co., LTD) ZRS-5PH of the coating coating insulating film material of Sheng Chaning.Then, keep after 30 seconds, and spin coated by easy stages again (rotation number 0rpm → 1400rpm), with smooth coated film.
Then, exhaust is also lowered air pressure in the coating cup 1003, carries out drying under reduced pressure in 1 minute.
Then, carry out edge removal processing with the edge remover (edgeremover) that is provided in the spin-coating applying device shown in Fig. 5 A.The edge remover 1006 that possesses along the peripheral parallel mobile drive unit of substrate 1002 has been shown in Fig. 5 B.The substrate diluent on one side of clamping that is provided with simultaneously in the edge remover 1006 shown in Fig. 5 C shoots out nozzle 1007, dissolve the neighboring part of coated film 1008 with diluent, liquid and gas are discharged the coated film of removing edges of substrate peripheral part with the direction of arrow in scheming.
Then, carry out 110 ℃, 170 seconds prebake conditions.
Then, take out of substrate, and after the cooling, further carry out 270 ℃, 1 hour baking from spin-coating applying device.So just formed the high-fire resistance flatted membrane 16 of thick 0.8 μ m.The result who measures the planarization of the high-fire resistance flatted membrane 16 that obtains with AFM (atomic force microscope) is: P-V value (peak-to-valley value in the scope of 10 μ m * 10 μ m, the maximum of height and the difference of minimum value) be approximately about 5nm, the value of Ra (surperficial average roughness degree) is about 0.3nm.
In addition, can change transmitance by the baking temperature that changes high-fire resistance flatted membrane 16.Fig. 7 shows the transmitance of the high-fire resistance flatted membrane (the SiOx film that comprises alkyl) of the thick 0.8 μ m under two baking temperature conditions (270 ℃, 410 ℃), and Fig. 8 represents its refractive index.Temperature with 270 ℃ is compared, and 410 ℃ temperature more can improve transmitance.In addition, baking temperature is if 410 ℃, and then refractive index reduces.
In addition, also can form high-fire resistance flatted membrane 16 with ink-jet method.The use ink-jet method can economical with materials liquid.
Then, form the 3rd layer interlayer dielectric 21.The heat treated of carrying out 250 ℃, 1 hour before the interlayer dielectric of the 3rd layer of formation is with dehydration.As the 3rd layer interlayer dielectric 21, can use nitriding and oxidizing silicon fiml (the SiNO film: thickness 100nm) that obtains with the PCVD method.This interlayer dielectric 21 is when the patterning of the 23R, the 23G that carry out the back, is formed as the etching barrier film of the high-fire resistance flatted membrane 16 of protection second interlayer dielectric.Notice that the 3rd layer interlayer dielectric 21 comprises silica.
The relation of Figure 12 A presentation surface plate temperature and brightness.Figure 12 B represents the panel temperature of the luminescent panel that driven by CVCC and the relation of cathode current.In Figure 12 A, Figure 12 B, comparative example is the active array type luminescent panel, and this luminescent panel is used as anode with ITO, and the lamination of the silicon nitride film that forms with acrylic resin with according to sputtering method is used as interlayer dielectric.Sample A is as anode, and with acrylic resin be used as the active array type luminescent panel of interlayer dielectric according to the lamination of the silicon nitride film of sputtering method formation with ITSO.Sample B be with ITSO as anode, and the lamination of the SiNO film that forms with the coated film of using silica alkyl polymer (PSB-K31) with according to the PCVD method is as the active array type luminescent panel of interlayer dielectric.
The increase that can learn the panel temperature that increases with brightness of sample B from Figure 12 A is suppressed.In addition, the increase that can learn the panel temperature that increases with cathode current of sample B from Figure 12 B is suppressed.The sample B that we can say one of structure of the present invention example from above result is suppressed at the Joule heat that panel produces.And the heating that suppresses panel can improve the reliability of luminescent device.
Then, form contact hole at interlayer dielectric 21, remove the interlayer dielectric 21 of peripheral part simultaneously with the 6th mask.Use CHF 3As etching gas interlayer dielectric 21 is carried out etch processes with Ar.
Then,, in high-fire resistance flatted membrane 16, form in the contact hole, remove the high-fire resistance flatted membrane of peripheral part will the 6th mask carrying out etching under as the situation of mask.At this, carry out etching (Wet-type etching or dry-etching) can obtaining under the condition of selecting ratio with dielectric film 13.Though be used for etched gas without limits, be fit to use CF at this 4, O 2, He, Ar.Dry-etching is at CF 4Flow be 380sccm, O 2Flow be that the flow of 290sccm, He is that the flow of 500sccm, Ar is that 500sccm, RF (radio frequency) power is that 3000W, pressure are to be performed under the condition of 25Pa.In order under the situation on the dielectric film 13, to carry out etching, can increase etching period with the ratio about 10%-20% at not residual residue.Can carry out an etching and realize taper, also can carry out repeatedly etching and form conical in shape.Further use CF at this 4, O 2, He, at CF 4Flow be 550sccm, O 2Flow be the flow of 450sccm, He be 350sccm, RF (radio frequency) thus power is 3000W, pressure is to carry out for the second time under the condition of 25Pa that dry-etching forms conical in shape.The angle of taper θ at the edge of high-fire resistance flatted membrane is preferably greater than 30 degree less than 75 degree.
In addition, also can carry out the doping treatment of inert element, form the densification part with tapering part at the high-fire resistance flatted membrane at the edge of the heat-resisting flatted membrane of height.This doping treatment can be carried out with ion doping method or ion implantation.As inert element, be typically and use argon (Ar).By adding the big relatively inert element of atomic radius, can bring twistedly, change the character on surface (comprising sidewall), thereby or realize that densification prevents the invasion of moisture or oxygen.In addition, the concentration range of inert element that is included in the part of densification is 1 * 10 19/ cm 3-5 * 10 21/ cm 3, the typical concentrations scope is 2 * 10 19/ cm 3-2 * 10 21/ cm 3Note,, mix so carry out in the side of high-fire resistance flatted membrane easily because end is a conical in shape.
Then, will the 6th mask carrying out etching under as the situation of mask, thereby removing the dielectric film 12,13 that comes out.Use CHF 3Conduct is used for etched gas with Ar, to carry out the etching of dielectric film 12,13.In order under the situation on the semiconductor layer, to carry out etching, can increase etching period with the ratio about 10%-20% at not residual residue.
Then, after removing the 6th mask, forming conducting film (TiN/Al/TiN), use the 8th mask to carry out etching and (use BCl 3And Cl 2The dry-etching of mist), to form wiring 22.Notice that TiN is one of material that has with the high-fire resistance flatted membrane good connecting airtight property.And in order to obtain and the source region of TFT or contacting of drain region, the content of the N among the TiN preferably is less than 44%.
Afterwards, form the first electrode 23R, 23G, just the anode of organic illuminating element (or negative electrode) with the 7th mask.Be selected from Ti, TiN, TiSixN Y, Ni, W, WSix, WNx, WSixN Y, NbN, Cr, Pt, Zn, Sn, In or Mo the film of element, or with one of these elements as the alloy material of its main component or the film of compound-material, or the lamination of these films, can be used as the first electrode 23R, 23G, its gross thickness is in the scope of 100nm-800nm.
Notice that when being that display surface obtains when luminous with substrate 10 sides, the material of first electrode uses ITSO (comprising the tin indium oxide that comprises silica that the sputtering method of target of ITO of the silica of 2-10 weight % forms by use).Except ITSO, also can use the nesa coatings such as light transmission oxide conductive film of the zinc oxide (ZnO) that in comprising the indium oxide of silica, has mixed 2-20%.In addition, can also use the nesa coating of the ATO (antimony tin) that comprises silica.
Then, form the insulator (being called dykes and dams, sidewall, obstacle wall, potential barrier etc.) 29 that covers the first electrode 23R, 23G edge with the 8th mask.Insulator 29 uses the thickness that obtains by the coating process sog film (the SiOx film that for example comprises alkyl) at 0.8 μ m-1 mu m range.Etching can be used dry-etching or Wet-type etching, but adopts use CHF at this 3And O 2Form insulator 29 with the dry-etching of the mist of He.In this dry-etching, the etching speed that comprises the SiOx film of alkyl is 500-600nm/ minute, and on the other hand, the etching speed of ITSO film is below 10nm/ minute, then can obtain enough selection ratios.In addition, wiring 22 is because the insulator 29 that is made of the SiOx film that comprises alkyl covers, so the high TiN film of connecting airtight property becomes uppermost.
Then, form layer 24H, 24R, 24E, the 24G that contains organic compound with evaporation deposition method or coating process.Note, in order to improve reliability, preferably before formation contains the layer 24 of organic compound heating in vacuum to carry out the degassing.For example, before the evaporation deposition organic compound material, thereby preferred 200 ℃-300 ℃ the heat treated of carrying out under pressurization atmosphere or inert atmosphere is removed the gas that is included in the substrate.At this, because interlayer dielectric and dykes and dams form with the SiOx film that high-fire resistance is arranged, so, even it is carry out high-temperature heating treatment, also no problem.
In addition, when the coating process that adopts the use spin coating forms the layer that contains organic compound, preferably after coating, carry out the roasting of heating in vacuum.For example, poly-(ethene dioxythiophene) that coating is worked as hole injection layer 24H on whole surface/poly-(styrene sulfonic acid) aqueous solution (PEDOT/PSS), and roasting.In addition, hole injection layer also can form with the evaporation deposition method.
Adopt the evaporation deposition method, be equal to or less than 5 * 10 being evacuated to pressure -3Torr (0.665Pa) is preferably 10 -6-10 -4Carry out evaporation deposition contains organic compound with formation layer 24R, 24E, 24G in the deposit operating room of Torr.When carrying out evaporation deposition, be heated by resistive method organifying compound gasification in advance, and by means of opening the sluices when the evaporation deposition organifying compound towards the disperse of substrate direction.The organic compound that the has been gasified disperse that makes progress, and after passing the window portion that is provided in the metal mask, be deposited on the substrate.
With illuminant colour (R, G, B) thus be that panchromaticization realized in the location that unit carries out mask.
For example, use the Alq of the DCM that mixed 3Form the thick luminescent layer 24R of 40nm.In addition, use the Alq of the DMQD that mixed 3Form the thick luminescent layer 24G of 40nm.In addition, though do not illustrate at this, with the CBP (4 that mixed, 4 '-two (N-carbazyl)-xenyls) PPD (4,4 '-two (N-(9-phenanthryl)-N-anilino-) xenyl) form the luminescent layer of the blueness of 30nm, and form the barrier layer of 10nm with SAlq (two (the 2-metyl-8-quinolinol closes) are aluminium (triphenylsilanolate)).
Then, use Alq 3Form the thick electron transport layer 24E of 40nm.
Then, form second electrode 25, just the negative electrode of organic illuminating element or anode.The material of second electrode 25 can adopt by MgAg, MgIn, AlLi, CaF 2, CaN and so on alloy film, or ownership periodic table 1 family or the element of 2 families and the film that aluminium forms with the co-evaporated sedimentation.If will make second electrode 25 have light transmission, then can use the aluminium film of 1nm-10nm or contain the aluminium film of micro-Li, and on this film, form nesa coating.
In addition, can be before forming second electrode 25, will have light transmission by CaF 2, MgF 2, or BaF 2The layer of forming (film thickness is 1-5nm) forms cathode buffer layer.
In addition, can form the protective layer of protection second electrode 25.For example, use the discoid target of making by silicon, by blanket of nitrogen or comprise nitrogen and the atmosphere of the deposition chamber that the atmosphere of argon constitutes in, form the diaphragm that constitutes by silicon nitride film.In addition, also can form the nitriding and oxidizing silicon fiml and be used as diaphragm.In addition, also can form with carbon is that the film (DLC (diamond-like-carbon) film, CN film, or noncrystalline carbon film) of main component is as diaphragm.Perhaps, provide the deposition chamber that uses the CVD method in addition.Diamond-like carbon film (being also referred to as the DLC film) can be used plasma CVD method (typically, RF plasma CVD method, Microwave Plasma CVD Method, electron cyclotron resonace (ECR) CVD method), hot filament CVD method etc.), the scorching method of burning, sputtering method, ion beam evaporation sedimentation, formation such as laser evaporation sedimentation.As being used for film formed reacting gas, use hydrogen and hydrocarbon type gas (for example, CH 4, C 2H 2, C 6H 6Deng).Reacting gas is ionized by glow discharge then.Resulting ion is accelerated with the negative electrode that collides negative sub-bias voltage so that form the DLC film.The CN film can be used C 2H 4, N 2Form as reacting gas.Resulting DLC film and CN film are to visible transparent or translucent dielectric film.Run through in this specification, sentence " to the visible transparent " meaning is that visible light transmittance is 80-100%, and sentence " translucent to the visible light " meaning is that visible light transmittance is 50-80%.Notice that this diaphragm if there is no need, can not provide yet.
Then, with encapsulant 28 bonded seal substrates 33, thus the sealed light emitting element.Adhering and sealing material 28 also makes encapsulant cover the edge (tapering part) of high-fire resistance flatted membrane 16.In the space that encapsulant 28 surrounds, fill transparent packing material 27.Packing material 27 just has no particular limits so long as the material of light transmission is arranged, and the typical case adopts the epoxy resin of ultraviolet curing and the epoxy resin of hot curing.Adopt the high ultraviolet epoxy resin of heat resistance (Electrolite company makes, and product is called 2500Clear) herein, its refractive index equals 1.50, viscosity equals 500cps, and Shore D hardness equals 90, and tensile strength equals 3000psi, the Tg point is 150 ℃, and volume resistivity equals 1 * 10 15Ω cm, and withstand voltage be 450V/mil.And, by means of between a pair of substrate, filling packing material 27, can improve whole transmissivity.
At last, FPC 32 is pasted on terminal electrode 15a, the 15b by the method for knowing with anisotropic conductive film 31. Terminal electrode 15a, 15b and grating routing form simultaneously.(Figure 1A)
Figure 1B represents a vertical view.Shown in Figure 1B, the edge 34 sealed materials 28 of high-fire resistance flatted membrane cover.Notice that the profile that cuts along the linkage lines A-B among Figure 1B is equivalent to Figure 1A.
The active array type luminescent device of making according to above-mentioned steps is used as the interlayer dielectric of TFT with high-fire resistance flatted membrane 16, and its typical material is that the material of dykes and dams also uses identical materials by the material of the skeletal structure of silicon (Si) and oxygen (O) combination.And, comprise silica in first electrode.The constituent material of active array type luminescent device uses the metastable oxide materials that comprises, thereby improves the reliability of luminescent device.
Use transparent material as first electrode, and use metal material, just become the structure of obtaining light through substrate 10, just bottom surface emission type structure as second electrode.On the other hand, use metal material, and use transparent material, just become the structure of obtaining light through seal substrate 33, just end face emission type structure as second electrode as first electrode.In addition, use transparent material, can form the structure of obtaining light through substrate 10 and seal substrate 33 both sides as first electrode and second electrode.The present invention is applicable to wherein any structure.
Obtain the light time when seeing through substrate 10, because the layer that the light that emits from luminescent layer passes, promptly the interlayer dielectric 16 of the interlayer dielectric 13 of first electrode, ground floor, the second layer, the 3rd layer interlayer dielectric 21, gate insulating film 12, underlying insulation film 11 all contain silica (about 1.46), the difference of the refractive index of each layer diminishes, and has so just improved the taking-up efficient of light.In other words, can suppress diffused light between the different material layer of refractive index.
Fig. 2 represents the electric current-light characteristic of luminescent device.As shown in Figure 2, the luminosity of sample 1, sample 2 is compared with comparative example, and maximum has improved 1.5 times.The interlayer dielectric of the second layer of sample 1, sample 2 adopts the high-fire resistance flatted membrane that uses siloxane polymer to obtain by coating process, and first electrode uses ITSO.But the dykes and dams of sample 1, sample 2 use acrylic acid.Note, use ITO as first electrode in comparative example, the acrylic acid that obtains by coating process is as the interlayer dielectric of the second layer, and acrylic acid also is used as dykes and dams.
In addition, Fig. 3 represents sample 1, sample 2 at room temperature, the ageing test result separately of comparative example.As shown in Figure 3, the present invention also is effective on long-term reliability.
In addition, as shown in figure 12, the present invention can suppress the rising that brightness is risen and followed the panel temperature of cathode current increase.
Of the present invention more detailed explanation with said structure will be provided by each embodiment shown in following.
Embodiment 1
In the present embodiment, the example of bottom surface emission type luminescent device will be described with Fig. 4 C.
At first, in light transmissive substrate (glass substrate: refractive index about 1.55) go up the TFT that making is connected with light-emitting component.Because be the bottom surface emission type, so interlayer dielectric and gate insulating film and underlying insulation film use the high material of light transmission.At this, the first and the 3rd interlayer dielectric uses the SiNO film of making according to the PCVD method.Second interlayer dielectric uses the SiOx film of making according to coating process.
Then, first electrode 323 of formation and TFT electrical connection.First electrode 323 uses the ITSO (thick 100nm) of the nesa coating that comprises SiOx.ITSO is to use the silica (SiO that has mixed 1-10% in tin indium oxide 2) target, and to carry out at the Ar gas flow be 120sccm; O 2Gas flow is 5sccm; Pressure is 0.25Pa; Sputtering method under the condition of power 3.2kW and the film that forms.Behind the film that forms ITSO, carry out 200 ℃, 1 hour heat treated.
Then, form the dykes and dams 329 that cover first electrode, 323 surrounding edges part.The lamination of inorganic material (for example silica, silicon nitride and silicon oxynitride), photosensitive organic material and non-photosensitivity organic material (for example polyimides, acrylic acid, polyamide, polyimide amide, resist and benzocyclobutene) or the sog film (SiOx that for example comprises alkyl) that obtains by coating process and these films can be as the material of dykes and dams 329.
Thereby present embodiment passes through Wet-type etching patterning dykes and dams 329, and only provides the curved surface with radius of curvature in the upper edge of dykes and dams part.For example, dykes and dams 329 preferred use positive light-sensitive acrylic acid, and only provide curved surface with radius of curvature in the upper edge of dykes and dams part.In addition, become the positive light-sensitive material that is dissolved in corrosive agent becoming under the illumination of light sensitivity under the negative light-sensitive material that is insoluble to corrosive agent and the illumination, can both be used as dykes and dams in light sensitivity.
Then, with evaporation deposition method or coating method, form the layer 324 that contains organic compound.Form the light-emitting component of transmitting green light in the present embodiment.Use the evaporation deposition method, stacked CuPc (20nm), NPD (40nm), and with the Alq of the stacked in order DMQd that mixed of co-evaporated sedimentation 3(37.5nm), Alq 3(37.5nm), CaF 2(1nm).
Then, second electrode 325 can adopt by MgAg, MgIn, AlLi, CaF 2, CaN and so on alloy film, or ownership periodic table 1 family or the element of 2 families and the film that aluminium forms by the co-evaporated sedimentation.In the present embodiment, the aluminium film that evaporation deposition 200nm is thick is as second electrode.In addition, if necessary, can also stacked formation diaphragm.
Then, with encapsulant (not illustrating) bonded seal substrate 333.Fill the packing material that inert gas or transparent resin constitute in the space 327 between the seal substrate and second electrode.
Finished bottom surface emission type luminescent device according to above step.Present embodiment determines each the layer refractive index of (interlayer dielectric, underlying insulation film, gate insulating film and first electrode) and the thickness of film in adjustable scope, thereby is suppressed at the reflection of light in the interface of layer, and then improves the efficient of obtaining light.
Embodiment 2
In the present embodiment, the example of end face emission type luminescent device will be described with Fig. 4 A.
At first, the TFT that making is connected with light-emitting component on the substrate of insulating surface is being arranged.Because be the end face emission type, so interlayer dielectric and gate insulating film and underlying insulation film not necessarily must use the high material of light transmission.In the present embodiment, as the film of the high material of stability, the first and the 3rd interlayer dielectric uses the SiNO film of making according to the PCVD method.And as the film of the high material of stability, second interlayer dielectric uses the SiOx film of making according to coating process.
Then, provide the 4th interlayer dielectric 211.The 4th interlayer dielectric 211 also uses the SiOx film of making according to coating process.
Then, the 4th interlayer dielectric 211 optionally is etched with the contact hole that forms the electrode that arrives TFT, afterwards, be formed with reflexive metal film (Al-Si film (thickness 30nm)), material membrane (TiN film (thickness 10nm)) and nesa coating (ITSO film (thickness 10nm-100nm)) that work function is big continuously.Next carries out patterning with the reflecting electrode 212 and first electrode 213 that form and TFT is electrically connected.
Then, form the dykes and dams 219 that cover first electrode, 213 marginal portions.The lamination of inorganic material (for example silica, silicon nitride and silicon oxynitride), photosensitive organic material and non-photosensitivity organic material (for example polyimides, acrylic acid, polyamide, polyimide amide, resist and benzocyclobutene) or the sog film (the SiOx film that for example comprises alkyl) that obtains by coating process and these films can be as the material of dykes and dams 219.
Then, form the layer 214 that contains organic compound with evaporation deposition method or coating method.
Because what form is end face emission type luminescent device,, or comprise the aluminium film of micro-Li so second electrode 215 adopts the aluminium film of thick 1nm-10nm.In addition, if necessary, can also stackedly comprise that the nesa coating of ITSO or ITO is as second electrode.
Then, form transparent protective film 216 with evaporation deposition method, sputtering method or plasma CVD method.Transparent protective film 216 is made of nitriding and oxidizing silicon fiml (SiNO film) or silicon nitride film.Form transparent protective film 216 to protect second electrode 215.
Then, thus with encapsulant bonded seal substrate 203 sealed light emitting elements.In the space that sealed material surrounds, fill transparent packing material 217.Packing material 217 just has no particular limits so long as the material of light transmission is arranged, and the typical case adopts the epoxy resin of ultraviolet curing and the epoxy resin of hot curing.In addition, by means of between a pair of substrate, filling packing material 217, can improve whole transmissivity.
Finished end face emission type luminescent device according to above step.Present embodiment comprises SiOx in each layer (interlayer dielectric, underlying insulation film, gate insulating film and first electrode), thereby improves the reliability of luminescent device.
Embodiment 3
In the present embodiment, will be with the example of the different end face emission type luminescent device of Fig. 4 B explanation and embodiment 2.
At first, the TFT that making is connected with light-emitting component on the substrate of insulating surface is being arranged.Because be the end face emission type, so interlayer dielectric and gate insulating film and underlying insulation film not necessarily must use the high material of light transmission.In the present embodiment, as the film of the high material of stability, the first and the 3rd interlayer dielectric uses the SiNO film of making according to the PCVD method.And as the film of the high material of stability, second interlayer dielectric uses the SiOx film of making according to coating process.Interlayer dielectric and gate insulating film optionally are etched with the contact hole that forms the active coating that arrives TFT.And forming conducting film (TiN/Al-Si/TiN) afterwards, carrying out the etching of using mask and (use BCl 3And Cl 2The dry-etching of mist), thus form source electrode and the drain electrode of TFT.
Afterwards, the drain electrode (or source electrode) of formation and TFT is electrically connected the electrode 223 of winning.First electrode 223 adopts the big material of work function, for example is selected from TiN, TiSixN Y, Ni, W, WSix, WNx, WSixN Y, NbN, Cr, Pt, Zn, Sn, In or Mo the film of element, or with one of these elements as the alloy material of its main component or the film of compound-material, or the lamination of these films, its gross thickness is in the scope of 100nm-800nm.
Then, form the dykes and dams 229 of the surrounding edge part that covers first electrode 223.Can be by the sog film (SiOx that for example comprises alkyl) that coating process obtains as the material of dykes and dams 229.With dry-etching dykes and dams 229 are formed desirable shape.
Then, form the layer 224 that contains organic compound with evaporation deposition method or coating method.
Because what form is end face emission type luminescent device,, or comprise the aluminium film of micro-Li so second electrode 225 adopts the aluminium film of thick 1nm-10nm.In addition, if necessary, all right stacked nesa coating (such as the ITSO film) is as second electrode.
Then, form transparent protective film 226 with evaporation deposition method or sputtering method.Transparent protective film 226 is used for protecting second electrode 225.
Then, thus with encapsulant bonded seal substrate 233 sealed light emitting elements.In the space that sealed material surrounds, fill transparent packing material 227.Packing material 227 just has no particular limits so long as the material of light transmission is arranged, and the typical case adopts the epoxy resin of ultraviolet curing and the epoxy resin of hot curing.In addition, by means of between a pair of substrate, filling packing material 227, can improve whole transmissivity.
Finished end face emission type luminescent device according to above step.Present embodiment comprises SiOx in each layer (interlayer dielectric, underlying insulation film, gate insulating film and dykes and dams), thereby improves the reliability of luminescent device.
Embodiment 4
In the present embodiment, will can obtain the example of luminous luminescent device with Fig. 4 D explanation from both sides' substrate.
At first, in light transmissive substrate (glass substrate: refractive index about 1.55) go up the TFT that making is connected with light-emitting component.Because the demonstration of the luminescent device of present embodiment is the substrate that sees through light-transmission type, interlayer dielectric and gate insulating film and underlying insulation film use the high material of light transmission.At this, the first and the 3rd interlayer dielectric uses the SiNO film of making according to the PCVD method.Second interlayer dielectric uses the SiOx film of making according to coating process.
Then, first electrode 423 of formation and TFT electrical connection.First electrode 423 uses the ITSO (thick 100nm) of the nesa coating that comprises SiOx.
Then, form the dykes and dams 429 that cover first electrode, 423 surrounding edges part.The lamination of inorganic material (for example silica, silicon nitride and silicon oxynitride), photosensitive organic material and non-photosensitivity organic material (for example polyimides, acrylic acid, polyamide, polyimide amide, resist and benzocyclobutene) or the sog film (SiOx that for example comprises alkyl) that obtains by coating process and these films can be as the material of dykes and dams 429.
Thereby present embodiment passes through Wet-type etching patterning dykes and dams 429, and only provides the curved surface with radius of curvature in the upper edge of dykes and dams part.
Then, with evaporation deposition method or coating method, form the layer 424 that contains organic compound.
Because also go out luminously,, or comprise the aluminium film of micro-Li so second electrode 425 adopts the aluminium film of thick 1nm-10nm from the seal substrate side-draw.In addition, if necessary, all right stacked nesa coating is as second electrode.
Then, form transparent protective film 426 with evaporation deposition method, sputtering method or plasma CVD method.Transparent protective film 426 is made of nitriding and oxidizing silicon fiml (SiNO film) or silicon nitride film.Transparent protective film 426 is used for protecting second electrode 425.
Then, thus with encapsulant bonded seal substrate 433 sealed light emitting elements.Seal substrate 433 also is a light transmissive substrate (glass substrate: refractive index about 1.55).In the space that sealed material surrounds, fill transparent packing material 427.Packing material 427 just has no particular limits so long as the material of light transmission is arranged, and the typical case adopts the epoxy resin of ultraviolet curing and the epoxy resin of hot curing.In addition, by means of between a pair of substrate, filling packing material 427, can improve whole transmissivity.
Shown in Fig. 4 D, in the luminescent device of double-side, as place two polarization plates and make this two polarization plate clamping luminescent panels, and at polarisation of light light direction quadrature, then, can prevent because of seeing that the background that sees through is difficult to identification and shows when when a side face is seen.
Embodiment 5
In the present embodiment, illustrate in order to prevent that moisture from invading into from the outer surface of interlayer dielectric, and the example of optionally mixing inert element for the peripheral part of interlayer dielectric.
After forming underlying insulation film on the substrate 610, form each semiconductor layer.Then, formation forms each gate electrode, terminal electrode after covering the gate insulating film of semiconductor layer.Then, doping is given the impurity element (being typically phosphorus (P) or arsenic (As)) of semiconductor n type to form n channel-type TFT 636; The impurity element (being typically boron) that semiconductor p type is given in doping to be forming p channel-type TFT 637, thereby suitably forms source region and drain region, can also suitably form the LDD district if necessary.Then, form the nitriding and oxidizing silicon fiml that comprises hydrogen (SiNO film) that forms according to the PCVD method after, carry out the activation and the hydrogenation that are doped to the impurity element in the semiconductor layer.
Then, formation is as the high-fire resistance flatted membrane 616 of interlayer dielectric.As high-fire resistance flatted membrane 616, the dielectric film that the skeletal structure by silicon (Si) and oxygen (O) combination that use is obtained according to coating process constitutes.Then form the SiNO film that forms according to the PCVD method.Notice that the technology of technology so far and above-mentioned embodiment pattern is basic identical.
Then, use mask in SiNO film and high-fire resistance flatted membrane, to form contact hole, simultaneously, remove the high-fire resistance flatted membrane of peripheral part.Can carry out an etching and realize taper, also can carry out repeatedly etching and form conical in shape.
Secondly, cover periphery part in addition, optionally carry out the doping treatment of inert element, thereby form densification part 620 at the surface portion of high-fire resistance flatted membrane 616 with mask.This doping treatment can be carried out with ion doping method or ion implantation.As inert element, be typically and use argon (Ar).By adding the relatively large inert element of atomic radius, can bring twistedly, change the character on surface (comprising sidewall), thereby or realize that densification prevents the invasion of moisture or oxygen.In addition, the concentration range of inert element that is included in the part 20 of densification is 1 * 10 19/ cm 3-5 * 10 21/ cm 3, the typical concentrations scope is 2 * 10 19/ cm 3-2 * 10 21/ cm 3Note, because the surface (comprising the side) of high-fire resistance flatted membrane 616 is the conical in shape in order to mix, so the angle of taper θ at the edge of high-fire resistance flatted membrane is preferably greater than 30 degree less than 75 degree.
Change the surface nature of high-fire resistance flatted membrane by the doping inert element, can prevent when the technology (being also referred to as moistening technology) of the use liquid of carrying out the back, solution composition intrudes in the high-fire resistance flatted membrane and causes reaction.And, can prevent from when carrying out the heating process of back, to discharge moisture and gas from the high-fire resistance flatted membrane.In addition, can prevent as time passes discharge moisture and gas from the high-fire resistance flatted membrane, thereby improve the reliability of luminescent device.
Then, high-fire resistance flatted membrane 616 is used as mask carries out etching, optionally remove the SiNO film or the gate insulating film that comprise hydrogen that comes out.
Then, after forming conducting film, carry out the etching of using mask, thereby form leak routing and source wiring.
Then, form first electrode 623 that constitutes by nesa coating, just the anode of organic illuminating element (or negative electrode).The ITSO that comprises the nesa coating of SiOx is used to this first electrode 623.
Secondly, the sog film (the SiOx film that for example comprises alkyl) that obtains by coating process is carried out patterning, thereby form the insulator 629 (being also referred to as dykes and dams, sidewall, obstacle wall, potential barrier etc.) that covers first electrode, 623 marginal portions.
Then, with evaporation deposition method or coating method, form the layer 624 that contains organic compound.Afterwards, form second electrode 625 that constitutes by conducting film, just the negative electrode of organic illuminating element (or anode).The ITSO that comprises the nesa coating of SiOx is used to this second electrode 625.
Then, form transparent protective film 626 with evaporation deposition method, sputtering method or plasma CVD method.Transparent protective film 626 is made of nitriding and oxidizing silicon fiml (SiNO film) or silicon nitride film.Transparent protective film 626 is used for protecting second electrode 625.
Then, thus with encapsulant 628 bonded seal substrates 633 sealed light emitting elements.In other words, the peripheral sealed material in the viewing area of light-emitting display device surrounds, and is sealed between a pair of substrate.The interlayer dielectric of TFT is provided on the entire substrate, is drawn at interlayer dielectric outer peripherally when inboard when the pattern of encapsulant, and the part of interlayer dielectric in the outside that is positioned at the pattern of encapsulant has the worry of invading moisture and impurity.So, cover the edge of high-fire resistance flatted membrane and make inboard, the pattern of preferred lap seal material as the pattern of the periphery lap seal material of the high-fire resistance flatted membrane of the interlayer dielectric of TFT with encapsulant.Note, fill transparent packing material 627 in sealed material 628 area surrounded.
At last, FPC 632 is pasted on the terminal electrode by the method for knowing with anisotropic conductive film 631.Terminal electrode preferably uses nesa coating and is formed on (Fig. 9) on the terminal electrode that forms simultaneously with grating routing.
According to above-mentioned technology, on same substrate, form pixel portion, drive circuit and terminal part.
The high-fire resistance flatted membrane 616 of the active array type luminescent device of making according to above-mentioned steps, be typically the interlayer dielectric (becoming the film of the basilar memebrane of light-emitting component in the back) of the TFT that constitutes by the skeletal structure of silicon (Si) and oxygen (O) combination, its source, limit part or opening portion are conical in shape, and, by adding the relatively large inert element of atomic radius, can bring twisted, change the character on surface (comprising sidewall), or realizing densification to prevent the invasion of water branch or oxygen, the result is the reliability that has improved luminescent device.
In addition, can be only cover metal film or silicon nitride film and replace technology to the tapering part impurity of the periphery of high-fire resistance flatted membrane 616 at tapering part.
In the present embodiment, comprise SiOx in each layer (interlayer dielectric, underlying insulation film, gate insulating film, first electrode, second electrode), thereby improve reliability.
In the present embodiment, comprise silicon in each layer (interlayer dielectric, underlying insulation film, gate insulating film, first electrode, second electrode and transparent protective film), thereby improve the bonding force of each layer.Bonding force between interconnected two layers can be improved by use identical element (being silicon at this) in these layers.
Embodiment 6
Present embodiment will be represented the example of reciprocal cross shift TFT with Figure 10.Part except TFT and terminal electrode, identical with the Figure 1A shown in the embodiment pattern, so will omit relevant detailed description at this.
The TFT that Figure 10 A represents is the channel cutoff type.On substrate 710, form underlying insulation film 711.Gate electrode 719 and terminal electrode 715 form simultaneously, and by semiconductor layer 714a, n+ layer 718, metal level 717 stacked being formed on the gate insulating film 12 that amorphous semiconductor film constitutes, channel cutoff ring 714b is formed on the top of the channel formation region of semiconductor layer 714a.In addition, form source electrode or drain electrode 721,722.
And first electrode 723 is formed on the high-fire resistance flatted membrane 716.And form the insulator 729 of the marginal portion that covers first electrode 723.On first electrode 723, form the layer 724 that contains organic compound.Second electrode 725 is formed on the layer 724 that contains organic compound.Diaphragm 726 is formed on second electrode 725.Thereby with encapsulant 728 seal substrate 733 is sticked on and to seal this light-emitting component on the light-emitting component.In sealed material 728 area surrounded, fill transparent filler 727.FPC 732 is pasted on the terminal electrode 715 by the method for knowing with anisotropic conductive film 731.
The TFT that Figure 10 B represents is the channel-etch type.Gate electrode 819 and terminal electrode 815 form simultaneously, and by semiconductor layer 814, n+ layer 818, metal level 817 stacked being formed on the gate insulating film 812 that amorphous semiconductor film constitutes, the channel formation region part of semiconductor layer 814 is very thinly etched.In addition, form source electrode or drain electrode 821,822.
And first electrode 823 is formed on the high-fire resistance flatted membrane 816.And form the insulator 829 of the marginal portion that covers first electrode 823.On first electrode 823, form the layer 824 that contains organic compound.Second electrode 825 is formed on the layer 824 that contains organic compound.Diaphragm 826 is formed on second electrode 825.Thereby with encapsulant 828 seal substrate 833 is sticked on and to seal this light-emitting component on the light-emitting component.In sealed material 828 area surrounded, fill transparent filler 827.FPC 832 is pasted on the terminal electrode 815 by the method for knowing with anisotropic conductive film 831.
In addition, can replace amorphous semiconductor film with hemihedral crystal semiconductor film (microcrystalline semiconductor film).This hemihedral crystal semiconductor film is the semiconductor that has between the third state of the intermediate structure of amorphous and crystalline texture (containing monocrystalline and polycrystalline structure) and free energy stabilizing, and comprises the crooked crystalloid zone of lattice of short-range order.Decompose silicide gas with glow discharge (plasma CVD) and can form the hemihedral crystal semiconductor film.Typical silicide gas is SiH 4, other can also use Si 2H 6, SiH 2Cl 2, SiHCl 3, SiCl 4, SiF 4Deng.In addition, can also be with this silicide gas H 2, or H 2Usually dilute with one or more rare gas units that are selected among He, Ar, Kr, the Ne.The dilution rate of dilution silicide gas is in 2-1000 scope doubly.Pressure approximately is set at the scope of 0.1Pa-133Pa, and power-frequency is 1MHz-120MHz, preferred 13MHz-60MHz.The heating-up temperature of substrate is preferably 300 ℃ or lower, recommends 100-250 ℃ substrate heating temperature.As the impurity element in the film, the impurity that it is desirable to Atmospheric components such as oxygen, nitrogen, carbon is 1 * 10 20Cm -1Or lower scope.Especially oxygen concentration is 5 * 10 19/ cm 3Or lower scope, preferably 1 * 10 19/ cm 3Or lower scope.Noting, is that the field-effect mobility μ of the TFT of active coating is 1cm with the hemihedral crystal semiconductor film 2/ Vsec to 10cm 2/ Vsec.
Embodiment 7
Present embodiment possesses the example of the electronic device of display part with Figure 11 A-11G explanation.Implement the present invention and can finish the electronic device that possesses luminescent device.
According to the present invention, by suppressing the heating of panel, can realize the long lifetime of luminescent device, just can improve the reliability of electronic device.
The example of above-mentioned electronic device comprises video camera, digital camera, goggle type display (head mounted display), navigation system, sound reproducer (automobile audio, sound part etc.), notebook, game machine, portable data assistance (mobile computer, mobile phone, portable game machine, e-book etc.), and the video reproduction equipment (specifically can handle the data in the recording medium such as the omnipotent disc of numeral (DVD) and have the device of display that can display data images) that comprises recording medium.
Figure 11 A is the oblique perspective figure of a kind of notebook of expression, and Figure 11 B then is illustrated in the oblique perspective figure of this notebook under the folded state.This notebook comprises main body 2201, casing 2202, display part 2203a, 2203b, keyboard 2204, external interface 2205, mouse 2206 etc.By using the present invention at display part 2203a, 2203b, can finish a kind of notebook, it is high that the display part of this notebook has suppressed the heating of panel, the high brightness that has improved luminous efficiency (obtaining the efficient of light), low-power consumption and stability.
Fig. 4 C represents a kind of television set, and this television set comprises main body 2001, base 2002, display part 2003, video inputs mouth 2005 etc.The term TV comprises and is used for all TVs of display message, for example the TV of personal computer, be used for receiving the TV of TV broadcasting and the TV that is used for advertisement.By 2003 application the present invention in the display part, can finish a kind of television set, it is high that the display part of this television set has suppressed the heating of panel, the high brightness that has improved luminous efficiency (obtaining the efficient of light), low-power consumption and stability.
Figure 11 D shows a kind of pocket game machine, and it comprises main body 2501, display part 2505 and console switch 2504 etc.By 2505 application the present invention in the display part, can finish a kind of pocket game machine, it is high that the display part of this pocket game machine has suppressed the heating of panel, the high brightness that has improved luminous efficiency (obtaining the efficient of light), low-power consumption and stability.
Figure 11 E represents a kind of oblique perspective figure of mobile phone, and Figure 11 F then is illustrated in the oblique perspective figure of this mobile phone under the folded state.This mobile phone comprises main body 2701, casing 2702, display part 2703a, 2703b, sound input unit 2704, voice output unit 2705, operation keys 2706, external interface 2707, antenna 2708 etc.
The mobile phone that Figure 11 E and Figure 11 F represent comprises the display part 2703a of the high definition that is mainly used to show full-colour image and is mainly used to that the utilization of display text or symbol is monochromatic to be shown or the display part 2703b of colored (area color) mode in zone.By using the present invention at display part 2703a, 2703b, can finish a kind of mobile phone, it is high that the display part of this mobile phone has suppressed the heating of panel, the high brightness that has improved luminous efficiency (obtaining the efficient of light), low-power consumption and stability.
Figure 11 G represents to comprise the display panel of billboard etc., and it comprises display part 2801, framework 2802, comprises the illumination section 2803 of LED (light-emitting diode) photophore etc.By 2801 application the present invention in the display part, can finish a kind of display panel, it is high that the display part of this display panel has suppressed the heating of panel, the high brightness that has improved luminous efficiency (obtaining the efficient of light), low-power consumption and stability.
As mentioned above, the luminescent device that obtains by enforcement the present invention can be used for the display part of all electronic devices.Notice that the electronic device of present embodiment can use the luminescent device of making according to arbitrary structure among embodiment pattern, the embodiment 1 to embodiment 6.
Interlayer dielectric and dykes and dams use identical materials, can reduce manufacturing cost.In addition, devices such as general coating deposition apparatus or Etaching device can be realized the reduction of cost.
This specification was made at the Japanese patent application numbering 2003-322223 that Japan Patent office accepts according on September 12nd, 2003, and described application content comprises in this manual.
Though above-mentioned embodiment pattern and embodiment have provided whole explanation of the present invention with reference to the accompanying drawings.Be readily appreciated that as those skilled in the art, the present invention includes various forms, under the condition that does not break away from purpose of the present invention and scope, can change or revise Implementation Modes and details thereof.So explanation of the invention should not thought and is limited to the explanation of mentioning among above-mentioned embodiment pattern and the embodiment.

Claims (14)

1. luminescent device that comprises a plurality of light-emitting components, described light-emitting component comprises negative electrode, contains the layer of organic compound, and anode, wherein,
Form the high-fire resistance flatted membrane that comprises SiOx having on the substrate of insulating surface, wherein said high-fire resistance flatted membrane can bear the heat treatment more than 300 ℃;
On described high-fire resistance flatted membrane, form anode that comprises SiOx and the dykes and dams that comprise SiOx that cover the marginal portion of this anode;
Form the nitriding and oxidizing silicon fiml between described anode and described high-fire resistance flatted membrane, described nitriding and oxidizing silicon fiml all contacts with described high-fire resistance flatted membrane with described anode;
On described anode, form the layer that contains organic compound; And
Described contain organic compound the layer on form negative electrode.
2. according to the luminescent device of claim 1, wherein, described high-fire resistance flatted membrane and described dykes and dams are formed by the SiOx film that comprises alkyl of same material.
3. according to the luminescent device of claim 1, wherein, described anode is the tin indium oxide that comprises SiOx.
4. according to the luminescent device of claim 1, wherein, be electrically connected with the TFT and the described anode of the described high-fire resistance flatted membrane that comprises SiOx as interlayer dielectric.
5. according to the luminescent device of claim 1, wherein said light-emitting component sends redness, green, blueness or white light.
6. according to the luminescent device of claim 1, wherein, described luminescent device is video camera, digital camera, navigator, personal computer or personal digital assistant.
7. one kind has the manufacture method that comprises the luminescent device of thin-film transistor and light-emitting component on the substrate of insulating surface, may further comprise the steps:
Form the thin-film transistor with semiconductor layer, gate insulating film, gate electrode having on first substrate of insulating surface, wherein said semiconductor layer comprises the channel formation region between source region, drain region and source region and the drain region;
Form the high-fire resistance flatted membrane in shape in the convex-concave that is formed by described thin-film transistor, wherein said high-fire resistance flatted membrane can bear the heat treatment more than 300 ℃;
On described high-fire resistance flatted membrane, directly form the nitriding and oxidizing silicon fiml;
Optionally remove described high-fire resistance flatted membrane and described nitriding and oxidizing silicon fiml, have conical in shape and be positioned at described source region or the opening portion of top, described drain region thereby form the side, and form peripheral part with conical in shape;
Thereby optionally remove described gate insulating film and form the contact hole that arrives described source region or drain region;
Form the electrode that arrives described source region or drain region;
Directly form the anode that comprises SiOx on described nitriding and oxidizing silicon fiml, described anode contacts with described electrode;
Form the dykes and dams of the marginal portion that covers described anode;
On described anode, form the layer that contains organic compound;
Described contain organic compound the layer on form negative electrode; And
With the encapsulant that surrounds described light-emitting component periphery second substrate is attached on described first substrate to seal described light-emitting component.
8. according to the manufacture method of the luminescent device of claim 7, wherein, described high-fire resistance flatted membrane is the SiOx film that comprises alkyl that forms with coating process.
9. according to the manufacture method of the luminescent device of claim 7, wherein, described dykes and dams are the SiOx films that comprise alkyl that form with coating process.
10. according to the manufacture method of the luminescent device of claim 7, wherein, the target that uses the tin indium oxide that comprises SiOx to constitute forms described anode by sputtering method.
11. a luminescent device that comprises a plurality of light-emitting components, described light-emitting component comprises negative electrode; The layer that contains organic compound; And anode, wherein,
Form the high-fire resistance flatted membrane that comprises silicon having on the substrate of insulating surface, wherein said high-fire resistance flatted membrane can bear the heat treatment more than 300 ℃;
Formation comprises the anode of silicon on described high-fire resistance flatted membrane, and the dykes and dams that cover the marginal portion of this anode;
Form the nitriding and oxidizing silicon fiml between described anode and described high-fire resistance flatted membrane, described nitriding and oxidizing silicon fiml all contacts with described high-fire resistance flatted membrane with described anode;
On described anode, form the layer that contains organic compound;
Described contain organic compound the layer on form negative electrode; And
On described negative electrode, form the diaphragm that comprises silicon.
12. according to the luminescent device of claim 11, wherein, use comprises TFT and the anode electrical connection of the high-fire resistance flatted membrane of silicon as interlayer dielectric.
13. a luminescent device that comprises a plurality of light-emitting components, described light-emitting component comprises negative electrode; The layer that contains organic compound; And anode, wherein,
Comprise one of them high-fire resistance flatted membrane of silicon and silica having on the substrate of insulating surface to form, wherein said high-fire resistance flatted membrane can bear the heat treatment more than 300 ℃;
On described high-fire resistance flatted membrane, form and comprise one of them anode of silicon and silica, and the dykes and dams that form the marginal portion that covers this anode;
Form the nitriding and oxidizing silicon fiml between described anode and described high-fire resistance flatted membrane, described nitriding and oxidizing silicon fiml all contacts with described high-fire resistance flatted membrane with described anode;
On described anode, form the layer that contains organic compound;
On the described layer that contains organic compound, form and comprise one of them negative electrode of silicon and silica; And
On described negative electrode, form and comprise one of them diaphragm of silicon and silica.
14., wherein, use to comprise one of them high-fire resistance flatted membrane of silicon and silica and be electrically connected as the TFT and the anode of interlayer dielectric according to the luminescent device of claim 13.
CNB2004100784960A 2003-09-12 2004-09-10 Luminescent device and manufacture method thereof Expired - Fee Related CN100557847C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP322223/2003 2003-09-12
JP322223/03 2003-09-12
JP2003322223 2003-09-12

Publications (2)

Publication Number Publication Date
CN1596045A CN1596045A (en) 2005-03-16
CN100557847C true CN100557847C (en) 2009-11-04

Family

ID=34372667

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100784960A Expired - Fee Related CN100557847C (en) 2003-09-12 2004-09-10 Luminescent device and manufacture method thereof

Country Status (3)

Country Link
US (2) US7816863B2 (en)
JP (1) JP5412460B2 (en)
CN (1) CN100557847C (en)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4823478B2 (en) * 2003-09-19 2011-11-24 株式会社半導体エネルギー研究所 Method for manufacturing light emitting device
US7520790B2 (en) 2003-09-19 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
CN1819300B (en) 2004-09-17 2010-06-16 株式会社半导体能源研究所 Light-emitting device
US8148895B2 (en) 2004-10-01 2012-04-03 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of the same
JP4631683B2 (en) * 2005-01-17 2011-02-16 セイコーエプソン株式会社 Light emitting device and electronic device
JP2007026971A (en) * 2005-07-20 2007-02-01 Toyota Industries Corp Electroluminescent element and electroluminescent element unit
JP4743038B2 (en) * 2005-08-22 2011-08-10 セイコーエプソン株式会社 Electroluminescence device and manufacturing method thereof
KR100659765B1 (en) 2005-09-08 2006-12-19 삼성에스디아이 주식회사 Organic electroluminescence display device and fabricating method of the same
KR100786498B1 (en) * 2005-09-27 2007-12-17 삼성에스디아이 주식회사 Transparent thin film transistor and manufacturing method thereof
JP4939176B2 (en) * 2005-12-22 2012-05-23 キヤノン株式会社 Organic EL device
JP5046521B2 (en) 2006-01-18 2012-10-10 株式会社半導体エネルギー研究所 Light emitting device
JP2007234232A (en) * 2006-02-27 2007-09-13 Hitachi Displays Ltd Image display device
KR100752009B1 (en) * 2006-03-06 2007-08-28 삼성전기주식회사 Backlight unit provided with light emitting diodes thereon
JP5222281B2 (en) * 2006-04-06 2013-06-26 アプライド マテリアルズ インコーポレイテッド Reactive sputtering of zinc oxide transparent conductive oxide on large area substrates
US7674662B2 (en) * 2006-07-19 2010-03-09 Applied Materials, Inc. Process for making thin film field effect transistors using zinc oxide
JP2008257086A (en) 2007-04-09 2008-10-23 Sony Corp Display device, manufacturing method of display device, and electronic equipment
US20080254613A1 (en) * 2007-04-10 2008-10-16 Applied Materials, Inc. Methods for forming metal interconnect structure for thin film transistor applications
JP5007598B2 (en) * 2007-04-12 2012-08-22 ソニー株式会社 Display device and manufacturing method thereof
US7927713B2 (en) 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
WO2009097611A1 (en) 2008-02-01 2009-08-06 The Regents Of The University Of California Enhancement of optical polarization of nitride light-emitting diodes by wafer off-axis cut
JP2011511463A (en) * 2008-02-01 2011-04-07 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア Increased polarization of nitride light-emitting diodes due to increased indium incorporation
US8143093B2 (en) * 2008-03-20 2012-03-27 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
KR20090124527A (en) * 2008-05-30 2009-12-03 삼성모바일디스플레이주식회사 Thin film transistor, method of manufacturing the thin film transistor and flat panel display device having the thin film transistor
US8258511B2 (en) * 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US20100133094A1 (en) * 2008-12-02 2010-06-03 Applied Materials, Inc. Transparent conductive film with high transmittance formed by a reactive sputter deposition
US20100163406A1 (en) * 2008-12-30 2010-07-01 Applied Materials, Inc. Substrate support in a reactive sputter chamber
US8174021B2 (en) 2009-02-06 2012-05-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
TWI415283B (en) * 2009-02-18 2013-11-11 Au Optronics Corp X-ray detector and fabrication method thereof
US20100309943A1 (en) * 2009-06-05 2010-12-09 The Regents Of The University Of California LONG WAVELENGTH NONPOLAR AND SEMIPOLAR (Al,Ga,In)N BASED LASER DIODES
JP5889791B2 (en) * 2009-09-24 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of manufacturing metal oxide or metal oxynitride TFT using wet process for source / drain metal etching
US8840763B2 (en) * 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
JP5735506B2 (en) 2010-06-29 2015-06-17 株式会社Joled Manufacturing method of organic light emitting device
JP5919807B2 (en) * 2011-03-30 2016-05-18 ソニー株式会社 ORGANIC LIGHT EMITTING ELEMENT, METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING ELEMENT, AND DISPLAY DEVICE
JP2012227122A (en) * 2011-04-04 2012-11-15 Rohm Co Ltd Organic el device
JP6080437B2 (en) * 2011-09-30 2017-02-15 キヤノン株式会社 Manufacturing method of organic light emitting device
CN102427061B (en) * 2011-12-15 2013-02-13 昆山工研院新型平板显示技术中心有限公司 Method for manufacturing array substrate of active matrix organic light-emitting display
KR20150003581A (en) * 2013-07-01 2015-01-09 삼성디스플레이 주식회사 Display device
TWI514564B (en) * 2013-12-10 2015-12-21 Au Optronics Corp Display panel and method of making the same
KR102399574B1 (en) 2015-04-03 2022-05-19 삼성디스플레이 주식회사 Organic light emitting display apparatus
KR102419179B1 (en) * 2015-09-11 2022-07-11 삼성디스플레이 주식회사 Organic light emitting display apparatus
KR101895912B1 (en) * 2015-09-25 2018-09-07 삼성에스디아이 주식회사 Method for manufacturing silica layer, silica layer, and electronic device
CN106129093A (en) * 2016-07-26 2016-11-16 京东方科技集团股份有限公司 A kind of front located light source and preparation method, display device
KR102581675B1 (en) * 2018-04-06 2023-09-22 삼성디스플레이 주식회사 Display device
KR102579252B1 (en) * 2018-06-27 2023-09-15 엘지디스플레이 주식회사 Light Emitting Display Device and Method for Manufacturing the Same
KR102608772B1 (en) * 2018-07-19 2023-12-04 삼성디스플레이 주식회사 Display apparatus and method of manufacturing the same
US20230217696A1 (en) * 2021-12-30 2023-07-06 Lg Display Co., Ltd. Light Emitting Display Device

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05152071A (en) * 1991-11-29 1993-06-18 Toyota Motor Corp Manufacture of thin film electroluminescence element
JPH0896963A (en) 1994-09-28 1996-04-12 Tdk Corp Organic electroluminescence element
JP3684614B2 (en) 1995-06-06 2005-08-17 富士電機ホールディングス株式会社 Organic thin film light emitting device and method for manufacturing the same
JP4477150B2 (en) * 1996-01-17 2010-06-09 三星モバイルディスプレイ株式會社 Organic thin film EL device
JPH10335066A (en) 1997-06-02 1998-12-18 Sony Corp Organic electroluminescent element and flat panel display using this organic electroluminescent element
JP2000012234A (en) 1998-06-19 2000-01-14 Tdk Corp Organic el element
JP2000340366A (en) * 1999-05-27 2000-12-08 Tdk Corp Light emitting diode
JP4465740B2 (en) * 1999-06-21 2010-05-19 凸版印刷株式会社 Electrode substrate manufacturing method
US6232658B1 (en) * 1999-06-30 2001-05-15 Lsi Logic Corporation Process to prevent stress cracking of dielectric films on semiconductor wafers
JP2001176674A (en) 1999-12-14 2001-06-29 Tdk Corp Organic electroluminescent element
TW525305B (en) 2000-02-22 2003-03-21 Semiconductor Energy Lab Self-light-emitting device and method of manufacturing the same
JP2001313338A (en) 2000-02-22 2001-11-09 Sanyo Electric Co Ltd Manufacturing method of semiconductor device
US6399478B2 (en) * 2000-02-22 2002-06-04 Sanyo Electric Co., Ltd. Method of making a dual damascene structure with modified insulation
TW480576B (en) * 2000-05-12 2002-03-21 Semiconductor Energy Lab Semiconductor device and method for manufacturing same
US7339317B2 (en) * 2000-06-05 2008-03-04 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device having triplet and singlet compound in light-emitting layers
CN1222195C (en) * 2000-07-24 2005-10-05 Tdk株式会社 Luminescent device
JP4040850B2 (en) 2000-07-24 2008-01-30 Tdk株式会社 Light emitting element
JP4454130B2 (en) 2000-09-25 2010-04-21 京セラ株式会社 Organic electroluminescence device
JP4693253B2 (en) 2001-01-30 2011-06-01 株式会社半導体エネルギー研究所 Light emitting device, electronic equipment
US6724150B2 (en) * 2001-02-01 2004-04-20 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
JP2002305076A (en) 2001-02-01 2002-10-18 Semiconductor Energy Lab Co Ltd Display equipment and its manufacturing method
JP2002352950A (en) 2001-02-07 2002-12-06 Semiconductor Energy Lab Co Ltd Light-emitting device and manufacturing method
JP2002313582A (en) 2001-04-17 2002-10-25 Matsushita Electric Ind Co Ltd Light emitting element and display device
JP4801278B2 (en) * 2001-04-23 2011-10-26 株式会社半導体エネルギー研究所 Light emitting device and manufacturing method thereof
TWI264244B (en) * 2001-06-18 2006-10-11 Semiconductor Energy Lab Light emitting device and method of fabricating the same
JP3798370B2 (en) 2001-11-29 2006-07-19 株式会社半導体エネルギー研究所 Display device and display system using the same
TWI273539B (en) * 2001-11-29 2007-02-11 Semiconductor Energy Lab Display device and display system using the same
JP2003217839A (en) * 2002-01-22 2003-07-31 Seiko Epson Corp Material arranging method, film forming device, electro- optic device, manufacturing method for it, electronic device and electronics
JP2003229280A (en) 2002-02-04 2003-08-15 Toshiba Corp Organic el display element, and self-light emitting display device
JP2003257622A (en) 2002-02-28 2003-09-12 Fuji Electric Co Ltd Organic el display device and manufacturing method therefor

Also Published As

Publication number Publication date
JP2011134733A (en) 2011-07-07
JP5412460B2 (en) 2014-02-12
US7816863B2 (en) 2010-10-19
US20110027920A1 (en) 2011-02-03
US20050067953A1 (en) 2005-03-31
US8283862B2 (en) 2012-10-09
CN1596045A (en) 2005-03-16

Similar Documents

Publication Publication Date Title
CN100557847C (en) Luminescent device and manufacture method thereof
CN100405577C (en) Light emitting device and method of manufacturing thereof
CN101834201B (en) Display device and manufacturing method thereof
JP6074389B2 (en) Light emitting device
CN1599523B (en) Display device and manufacturing method of display device
TWI263339B (en) Light emitting device and method for manufacturing the same
TWI280818B (en) Light emitting device, method of manufacturing the same, and manufacturing apparatus therefor
CN101681807B (en) Manufacturing method of semiconductor substrate and semiconductor device
CN100392876C (en) Electronics device, semiconductor deivce, and method for manufacturing the same
TWI437282B (en) Color filter and manufacturing method thereof, and electronic appliance having the color filter
JP2003288994A (en) Light emitting device and manufacturing method therefor
CN101339899A (en) Manufacturing method of soi substrate and manufacturing method of semiconductor device
JP4704006B2 (en) Display device, manufacturing method thereof, and electronic device
JP4651922B2 (en) EL display device
JP2005123576A (en) Wiring board, semiconductor device, and their manufacturing methods
JP4754795B2 (en) Display device and method for manufacturing display device
JP4785339B2 (en) Method for manufacturing display device
JP2005108825A (en) Light emitting apparatus and method of manufacturing the same
JP4583797B2 (en) Method for manufacturing semiconductor device
JP4963156B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091104

Termination date: 20180910