KR20050024385A - 마이크로 전자 소자 제조용 현상액-가용성 금속알콕사이드 코팅 조성물 - Google Patents

마이크로 전자 소자 제조용 현상액-가용성 금속알콕사이드 코팅 조성물 Download PDF

Info

Publication number
KR20050024385A
KR20050024385A KR10-2004-7021093A KR20047021093A KR20050024385A KR 20050024385 A KR20050024385 A KR 20050024385A KR 20047021093 A KR20047021093 A KR 20047021093A KR 20050024385 A KR20050024385 A KR 20050024385A
Authority
KR
South Korea
Prior art keywords
composition
group
solvent system
layer
metal
Prior art date
Application number
KR10-2004-7021093A
Other languages
English (en)
Other versions
KR101051617B1 (ko
Inventor
크리쉬나무르티반다나
니프찰스제이.
스눅줄리엣에이.엠.
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20050024385A publication Critical patent/KR20050024385A/ko
Application granted granted Critical
Publication of KR101051617B1 publication Critical patent/KR101051617B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G79/00Macromolecular compounds obtained by reactions forming a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon with or without the latter elements in the main chain of the macromolecule
    • C08G79/10Macromolecular compounds obtained by reactions forming a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon with or without the latter elements in the main chain of the macromolecule a linkage containing aluminium
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G79/00Macromolecular compounds obtained by reactions forming a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon with or without the latter elements in the main chain of the macromolecule
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L85/00Compositions of macromolecular compounds obtained by reactions forming a linkage in the main chain of the macromolecule containing atoms other than silicon, sulfur, nitrogen, oxygen and carbon; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/11Vinyl alcohol polymer or derivative
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/151Matting or other surface reflectivity altering material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Engineering & Computer Science (AREA)
  • Materials For Photolithography (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Silicon Polymers (AREA)

Abstract

본 발명은 반사 방지 조성물 및 이러한 조성물을 이용하여 회로를 형성하는 방법을 제공한다. 상기 조성물은 용매계에 용해 또는 분산된 중합체를 포함한다. 바람직한 실시양태에서, 상기 조성물의 중합체는 하기 화학식을 갖는 반복 단위체들을 포함한다:
상기 식에서, X는 광감쇠 부분이고, M은 금속이고, 각각의 R은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택된다. 상기 조성물은 스핀 보울 상용성이고(즉, 상기 조성물은 마이크로리소그래피 공정의 베이킹 단계 이전에 또는 실온 저장 동안에 가교되지 않음), 습식 현상가능하고, 우수한 광학 특성을 갖는다.

Description

마이크로 전자 소자 제조용 현상액-가용성 금속 알콕사이드 코팅 조성물{DEVELOPER-SOLUBLE METAL ALKOXIDE COATINGS FOR MICROELECTRONIC APPLICATIONS}
본 발명은 마이크로 전자 소자의 제조에 사용하기 위한 새로운 반사방지 조성물에 관한 것이다. 이러한 조성물은 중합체성 금속 알콕사이드를 포함하고 수성 포토레지스트 현상액에서 현상가능한 것이다.
집적 회로 제조업자들은 실리콘 웨이퍼 사이즈를 최대화하고 소자 피쳐 사이즈(device feature size)를 최소화하여 수율을 증대하고, 단위 케이스를 감소시키고 온칩 컴퓨팅 전력(on-chip computing power)을 증가시키기 위하여 꾸준히 노력하고 있다. 오늘날, 실리콘 칩 상의 소자 피쳐 사이즈는 진보된 원자외선(DUV) 마이크로리소그래피 공정의 출현에 따라 부미크론 사이즈가 되고있다.
그러나, 반도체 소자의 제작 동안 포토레지스트가 당면하는 빈번한 문제는 이의 지지체인 기판에 의해 활성화 방사선이 포토레지스트내로 다시 반사된다는 것이다. 이러한 반사성으로 인하여, 상기 포토레지스트의 해상도를 저하시키는 흐릿한 패턴이 발생할 수 있다. 상기 처리된 포토레지스트내의 이미지(image)가 분해되는 것은 상기 기판이 평면이 아니거나 고반사성인 경우에 특히 문제가 된다. 이러한 문제를 극복하기 위한 한 가지 방법은 상기 기판의 포토레지스트층의 아래에 도포되는 반사방지 코팅제(anti-reflective coating)를 이용하는 것이다. 반사방지 코팅제는 반사를 방지 또는 최소화하는데 효과적이지만, 이를 사용하는 경우 이의 제거를 위하여 공정중에 추가의 파괴(break-through) 단계가 필요하다. 따라서, 공정 비용의 증가가 초래된다.
이러한 문제에 대한 한 가지 해결 방법은 습식 현상가능한 반사방지 코팅제를 사용하는 것이었다. 이러한 유형의 코팅제는 포토레지스트 재료의 노광 영역을 따라 제거될 수 있다. 즉, 포토레지스트 층이 패턴화 마스크를 통해 노광된 후, 포토레지스트의 노광 영역이 습식 현상가능하게 된 다음, 수성 현상액으로 제거됨으로써 원하는 트렌치 및 홀 패턴을 남긴다. 습식 현상가능한 코팅제는 이러한 현상 단계 동안에 제거됨으로써 추가의 제거 단계의 필요성을 배제시킨다. 불운하게도, 습식 현상가능한 반사방지 코팅제는 반사방지 코팅제로서 유용하게 되는 우수한 스핀 보울 상용성(spin bowl compatibility) 및 우수한 광학 특성을 나타내야 한다는 사실 때문에 광범위하게 사용되지 않았었다. 따라서, 통상의 포토레지스트 현상액에 의해서 제거되는 동시에 우수한 코팅 및 광학 특성을 나타내는 반사 방지 코팅 조성물이 필요하다.
도 1a는 수직 측벽의 라인 피쳐(line feature)를 갖는 회로 선구 구조체를 개략적으로 도시한다.
도 1b는 도 1a와 유사한 개략도이지만 부식된 측벽을 갖는 라인 피쳐를 도시한다.
광범위하게, 본 발명은 마이크로 전자 소자의 제조에 유용하게 되는 새로운 마이크로리소그래피 조성물을 포함한다.
더욱 구체적으로, 본 발명은 용매계에 분산 또는 용해된 중합체를 포함한다. 바람직한 중합체는 하기 화학식을 갖는 반복 단위체들을 포함한다:
상기 식에서, X는 광감쇠 부분이고, M은 금속이고, 각각의 R은 수소, 알킬(바람직하게는 탄소수 1 내지 8), 아릴, 알콕시, 및 페녹시로 구성되는 군에서 선택된다. 가장 바람직한 R기는 -CH3 및 -OC2H5 이다.
바람직하게 상기 중합체는 하기 화학식을 갖는 반복 단위체들을 추가로 포함한다:
상기 식에서, 각각의 R'은 수소, 알킬(바람직하게는 탄소수 1 내지 8), 아릴, 알콕시, 및 페녹시로 구성되는 군에서 선택되고, M1은 금속이다. 가장 바람직한 R1기는 -CH3 및 -OC2H5 이다.
전술한 반복 단위체들중 어느 하나에 있어서, 가장 바람직한 금속은 Ti, Zr, Si 및/또는 Al 이다. 또한, 상기 광감쇠 부분은 상기 중합체성 금속 알콕사이드의 금속 원자와의 배위를 위한 작용기를 포함하는 것이 바람직하다. 적당한 작용기로는 카르보닐, 알콜, 및 페놀기가 있다. 또한, 상기 부분(즉, X)는 100 중량%로 취한 상기 중합체의 전체 중량을 기준으로 바람직하게는 약 5-50 중량%, 더욱 바람직하게는 약 10-25 중량%의 수준으로 상기 중합체내에 존재한다. 적당한 광감쇠 화합물로는 트리메틸올 에톡시레이트, 4-히드록시벤즈알데히드, 및 2-시아노-3-(4-히드록시페닐)-아크릴산 에틸 에스테르의 부분으로 구성되는 군에서 선택되는 것들이 있다. 또한, 상기 조성물에서의 감광성을 피하기 위하여, X, R 및 R1중 어느 것도 어떠한 에틸렌계 불포화 기를 포함하지 않아야 한다.
또 다른 실시양태에서, 상기 중합체는 중합체성 금속 알콕사이드를 유기 화합물과 반응시킴으로써 형성된다. 상기 중합체성 금속 알콕사이드는 하기 화학식 1을 갖는 번복 단위체들을 포함한다:
상기 식에서, M은 금속이고, 각각의 L은 디케토 및 알콕사이드 리간드로 구성되는 군에서 선택된다. 바람직한 L기는 하기 화학식을 갖는다:
상기 식에서, 각각의 R은 R은 수소, 알킬(바람직하게는 탄소수 1 내지 8), 아릴, 알콕시, 및 페녹시로 구성되는 군에서 선택되는데, -CH3 및 -OC2H5 가 가장 바람직한 R기 이다. 에틸 아세토아세테이트의 부분(moiety)이 가장 바람직한 L기 이다. 바람직한 금속 원자는 전술한 바와 동일한 것들이다.
이러한 실시양태에서, 상기 화학식 1의 구조를 갖는 중합체성 금속 알콕사이드는 중합체성 금속 알콕사이드(예, 폴리(디부틸티타네이트))를 디케토 또는 알콕사이드 리간드(예, 에틸 아세토아세테이트)와 반응시킴으로써 형성될 수 있다. 선택적으로, 상기 디케토 또는 알콕사이드 리간드를 그 구조의 일부로서 포함하고 있는 출발 단량체를 가수분해한 다음 상기 단량체를 축합함으로써 원하는 중합체로 형성될 수 있다. 이러한 유형의 출발 단량체의 한 가지 예는 티타늄 디이소프로폭사이드 비스(에틸아세토아세테이트)이다.
상기 화학식 1의 구조를 갖는 중합체성 금속 알콕사이드와 반응하는 유기 화합물은 상기 중합체성 금속 알콕사이드의 금속 원자와의 배위에 적당한 작용기를 포함하여야 한다. 적당한 작용기로는 알콜, 페놀, 티오알콜, 티오페놀, 및 카르보닐이 있다. 가장 바람직한 유기 화합물은 트리메틸올 에톡시레이트, 4-히드록시벤즈알데히드, 및 2-시아노-3-(4-히드록시페닐)-아크릴산 에틸 에스테르이다.
상기 실시양태와 상관없이, 상기 반사 방지 조성물은 바람직하게는 주위 조건에서, 실질적으로 균일한 분산액을 형성하기에 충분한 시간동안, 상기 중합체들을 적당한 용매계에 단순히 분산 또는 용해시킴으로써 형성된다. 상기 중합체는 100 중량%로 취한 상기 조성물의 고형분의 전체 중량을 기준으로 2-50 중량%, 바람직하게는 약 5-30 중량%, 더욱 바람직하게는 약 7-15 중량%의 수준으로 상기 조성물내에 존재하게 된다. 이러한 중합체의 점도는 바람직하게는 약 2,000-5,000 cS, 더욱 바람직하게는 약 3,200-3,500 cS이다.
바람직한 용매계로는 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 프로필렌 글리콜 n-프로필 에테르(PnP), 에틸 락테이트 및 이들의 혼합물로 구성되는 군에서 선택되는 용매가 있다. 상기 용매계는 바람직하게는 약 50-250 ℃, 더욱 바람직하게는 약 100-175 ℃의 비점을 가져야 한다. 또한, 상기 용매계는 100 중량%로 취한 상기 조성물의 고형분의 전체 중량을 기준으로 약 70-95 중량%, 바람직하게는 약 80-90 중량%의 수준으로 이용되어야 한다.
어떤 추가의 성분이 상기 중합체와 함께 상기 용매계에 분산되는 것이 바람직하다. 이러한 추가적인 성분중 한 가지 바람직한 성분은 에폭시 노볼락 수지(예, Epon 164??, Araldite로부터 입수가능함), 아크릴레이트(예, 폴리(글리시딜 메타크릴레이트), 중합된 아미노플라스트(예, Cytec Industries로부터 입수가능한 Cymel?? 제품), 글리코우랄(예, Cytec Industries로부터 입수가능한 Powderlink?? 제품), 비닐 에테르, 및 이들의 혼합물로 구성되는 군에서 선택되는 것들과 같은 제 2 중합체 또는 중합체 바인더이다. 이러한 추가적인 중합체의 중량 평균 분자량은 바람직하게는 약 1,000-50,000 달톤, 더욱 바람직하게는 약 5,000-25,000 달톤이다. 추가적인 중합체가 이용되는 실시양태에서, 상기 조성물은 100 중량%로 취한 상기 조성물의 고형분의 전체 중량을 기준으로 약 1-50 중량%, 더욱 바람직하게는 약 5-25 중량%의 수준으로 상기 추가의 중합체를 포함하게 된다.
또한, 다수의 다른 임의적 성분들이 상기 조성물에 포함될 수 있음을 알 수 있다. 대표적인 임의적 성분으로는 광감쇠 화합물, 계면활성제, 촉매 및 접착 촉진제가 있다.
기판에 상기 매립 또는 반사 방지 코팅 조성물을 도포하는 방법은 어떤 공지된 방법(스핀 코팅 포함)에 따라 기판에 다량의 조성물을 도포하는 것을 포함한다. 상기 기판은 어떠한 통상적인 칩(예, 실리콘 웨이퍼), 이온 주입층일 수 있다.
원하는 커버리지가 달성된 후, 얻어지는 층은 약 100-250 ℃까지 가열되어 가교를 유도한다. 이로써, 상기 용매계가 증발될 뿐만 아니라 상기 조성물의 유기 성분중 최소한 일부분이 기화됨으로써 금속과 산소 원자가 번갈아 있는 경화된 층이 얻어진다. 약 365 nm의 파장에서, 상기 경화된 반사방지층 또는 코팅층의 굴절률은 약 1.4 이상, 바람직하게는 약 1.5-2.0, 더욱 바람직하게는 약 1.6-1.7 이다.
토포그래피 기판(topographical substrate)에 사용되는 때, 콘택 또는 비아 홀의 에지에 이웃한 기판 표면상의 상기 경화된 층의 두께는 상기 홀의 직경과 대등한 거리만큼 콘택 또는 비아 홀의 에지로부터 이격된 기판 표면상의 막 두께의 약 60% 이상, 바람직하게는 75% 이상, 더욱 바람직하게는 약 85% 이상이다.
상기 경화된 층에 포토레지스트가 도포된 다음, 상기 포토레지스트가 노광, 현상 및 식각된다. 본 발명의 방법에 따라 전술한 바람직한 특성을 갖는 듀얼 다마신 및 기타 마이크로리소그래피 공정용 전구 구조체가 얻어진다.
상기 경화된 본 발명의 조성물은 습식 현상가능하다는 것을 알 수 있다. 즉, 상기 경화된 조성물은 테트라메틸 암모늄 히드록사이드 또는 KOH 현상액과 같은 통상적인 수성 현상액에 의해 제거될 수 있다. 이러한 현상액들중 일부는 MF-319(메사츄세츠주에 소재한 Shipley로부터 입수가능함), MF-320(Shipley로부터 입수가능함), 및 NMD3(일본국 TOK로부터 입수가능함)의 상품명으로 상업적으로 입수가능하다. 본 발명의 코팅 조성물의 약 95% 이상, 바람직하게는 약 99% 이상이 테트라메틸 암모늄 히드록사이드 및 KOH 현상액과 같은 베이스 현상액에 의해 제거될 수 있다. 상업적으로 이용가능한 현상액에서의 이러한 용해도%는 제조 공정을 단축시키고 공정 비용을 감소시키기 때문에 종래 기술과 비교하여 상당한 이점이다.
끝으로, 전술한 많은 이점외에도, 본 발명의 조성물은 스핀 보울 상용성이 있다. 이것은 4 인치 실리콘 웨이퍼에 상기 조성물을 코팅함으로써 측정된다. 코팅 후, 상기 웨이퍼를 베이킹하지 않고 위쪽에 배치하여 막이 유동하는 것을 방지한다. 상기 시편을 클린룸에서 약 24 시간 동안 건조시켜서 약 1200-1300 Å 두께의 막을 얻는다. 다음에, 상기 시편의 두께를 5 개의 위치에서 측정하여 평균 초기 시편 두께를 측정한다.
상기 코팅된 웨이퍼를 특정의 테스트 용매(예, 프로필렌 글리콜 메틸 에테르 아세테이트)에 노출시킨다. 이것은 시편 표면에 용매를 3분±5초간 플러딩(flooding)한 다음 1,500 rpm(20,000 rpm Ramp)으로 15 초간 스피닝한 다음, 2500 rpm(20,000 rpm Ramp)으로 30 초간 스피닝함으로써 달성된다. 다시 상기 시편의 두께를 5 개의 위치에서 측정하여 평균 최종 시편 두께를 측정한다.
용해도%는 하기와 같이 계산된다:
용해도%=[(평균 초기 시편 두께-평균 최종 시편 두께)/초기 시편 두께] x 100
본 발명의 조성물은 약 75% 이상, 더욱 바람직하게는 약 90% 이상의 용해도%를 나타낸다.
본 발명은 전술한 공정이 콘택 또는 비아 홀 및 측벽의 분해 및 부식을 회피하기 때문에 특히 유익한 것이다. 즉, 종래의 조성물의 경우에는, 반사방지 코팅층의 제거동안에 측벽이 일반적으로 부식되어 더 이상 곧고 수직하지 않게된다. 이로써 회로에서 결함이 종종 발생된다.
도 1a는 출발 회로 구조체(10)의 일부분을 도시한다. 회로 구조체(10)는 기판(12) 및 라인 피쳐(14)를 포함한다. 기판(12)는 상부 표면(16)을 가진다. 라인 피쳐(14)는 반사방지층(18) 및 포토레지스트층(20)을 포함한다. 도면에 도시한 바와 같이, 반사방지층(18)은 바람직하게 실질적으로 수직한 측벽(22a, 22b)을 가진다.
도 1b는 제 2 출발 구조체(24)의 일부분을 도시한다. 회로 구조체(24)는 기판(26) 및 라인 피쳐(28)를 포함한다. 기판(26)은 상부 표면(30)을 가지는 동시에 라인 피쳐(28)는 반사방지층(32) 및 포토레지스트층(34)을 포함한다. 반사방지층(32)은 측벽(36a, 36b)을 가진다. 도 1에서 도시한 회로 구조체(10)의 측벽(22a, 22b)와 다르게, 측벽(36a, 36b)은 후속 공정 단계(예, 습식 현상) 동안에 분해 및 부식되었다. 이는 결함을 초래할 수 있기 때문에 아주 바람직하지 못한 것이다. 본 발명은 이러한 분해 또는 부식을 최소화하거나 또는 회피하는 명백한 장점이 있다. 구체적으로, 본 발명에 따라 형성된 라인 피쳐의 각도 "a"는 약 80-90°, 바람직하게는 약 87-90°이다. 본 발명에서 사용되는 것으로, 각도 "a"는 측벽(36a)과 상부 표면(30)에 의해 또는 측벽(36b)과 상부 표면(30)에 의해 형성되는 최소 각도이다(도 1b 참조).
또한, 본 발명에 따라 형성된 라인 피쳐의 부식율은 약 15% 이하, 더욱 바람직하게는 약 10% 이하, 아주 더 바람직하게는 약 7% 이하이다. 본 발명에서 사용되는 것으로, 부식율은 하기와 같이 정의된다:
부식율=d/D x 100
상기 식에서, "d" 및 "D"는 도 1b에서 도시한 바와 같다.
하기의 실시예는 본 발명에 따른 바람직한 방법을 설명한다. 그러나, 이러한 실시예는 예시를 위하여 제공되는 것으로서 그 어느 것도 본 발명의 전체 범위에 대한 제한으로 간주되지 않는다.
실시예 1
이 실시예에서는, 조성물을 제조하기 위하여, 15.0g의 폴리(디부틸티타네이트)를 15.0g의 프로필렌 글리콜 모노메틸 에테르(PGME)에 용해시켰다. 다음에, 상기 반응 혼합물에, 15.0g의 PGME에 용해된 19.52g의 에틸 아세토아세테이트의 용액을 첨가한 다음, 4 시간동안 교반했다. 상기 혼합물에, 트리메틸올에톡시레이트의 용액을 첨가한 다음, 1 시간동안 교반했다. 다음에, 얻어지는 조성물을 사용에 앞서 0.1 ㎛ PTFE 필터를 통해 여과했다. 상기 조성물을 실리콘 웨이퍼상에 3000 rpm으로 스핀 코팅하고, 130 ℃로 30 초간 베이킹 한 다음 168 ℃ 또는 205 ℃로 60 초간 베이킹함으로써 2 단계 베이킹하였다. 상기 조성물은 베이스 현상액에서 용해도를 나타냈다.
실시예 2
이 실시예에서는, 조성물을 제조하기 위하여, 5.0g의 폴리(디부틸티타네이트)를 20.0g의 PnP에 용해시켰다. 다음에, 상기 반응 혼합물에, 18.5g의 PGME에 용해된 6.5g의 에틸 아세토아세테이트의 용액을 첨가한 다음, 4 시간동안 교반했다. 상기 혼합물에, Cymel??(Cytec Industries로부터 입수가능한 아미노플라스트 가교제) 및 2-시아노-3-(4-히드록시페닐)-아크릴산 에틸 에스테르(CHAE)를 첨가한 다음 1 시간 동안 교뱐했다. 얻어지는 조성물은 47.5 g의 PnP로 희석하고 사용에 앞서 0.1 ㎛ PTFE 필터를 통해 여과했다. 상기 조성물을 실리콘 웨이퍼상에 3000 rpm으로 스핀 코팅하고, 130 ℃로 30 초간 베이킹 한 다음 168-205 ℃로 60 초간 베이킹함으로써 2 단계 베이킹하였다. 상기 조성물은 베이스 현상액에서 용해도를 나타냈다.
실시예 3
모액을 제조하기 위하여, 16.67g의 지르코늄 디-n-부톡사이드(비스-2,4-펜탄디오네이트)(부탄올중의 60% 용액)을 99.59g의 PnP에 용해시켰다. 다음에, 0.41g의 물을 상기 용액에 첨가한 다음, 24 시간 동안 교반하여 모액을 얻었다.
11.67g의 상기 모액을 0.25g의 CHAE와 혼합한 다음 1 시간동안 교반하여 반사방지 코팅 조성물을 얻었다. 다음에, 상기 조성물을 0.1 ㎛ PTFE 필터를 통해 여과하고, 실리콘 웨이퍼상에 3000 rpm으로 스핀 코팅하고, 168 ℃로 60 초간 베이킹하였다. 상기 시편은 베이스 현상액에서 용해도를 나타냈다.
실시예 4
모액을 제조하기 위하여, 10.00g의 티타늄 디이소프로폭사이드 비스(에틸아세토아세테이트)를 112.30g의 PnP에 용해시켰다. 다음에, 0.64g의 물을 상기 용액에 첨가한 다음, 24 시간 동안 교반하여 모액을 얻었다.
20.00g의 상기 모액을 0.25g의 바닐린과 혼합한 다음 1 시간동안 교반하여 반사방지 코팅 조성물을 얻었다. 다음에, 상기 조성물을 0.1 ㎛ PTFE 필터를 통해 여과하고, 실리콘 웨이퍼상에 3000 rpm으로 스핀 코팅하고, 160 ℃로 60 초간 베이킹하였다. 상기 시편은 베이스 현상액에서 용해도를 나타냈다.
실시예 5
특성의 측정
1. 광학 특성
실시예 2-4에서 제조한 조성물에 대한 굴절율이 하기 표 A에서 기재되어 있다.
실시예 번호 굴절율
2 1.99
3 1.68
4 1.75
2. 스핀 보울 상용성
실시예 2의 조성물로부터 제조한 막의 스핀 보울 상용성을 전술한 과정에 따라 테스트하였다. 조성물이 약 90% 이상의 용해도%를 가지는 경우 스핀 보울 상용성이 있는 것으로 판단하였다. 이러한 테스트의 결과가 하기 표 B에서 기재되어 있다.
실시예 번호 용매 스트리핑 전의 두께 (Å) 스트리핑 후의 두께 (Å) 용해도% 스핀 보울 상용성
2 PGMEAa 1273 31 97.56 있음
2 에틸 락테이트 1277 21 98.35 있음
2 헵타논 1276 48 96.24 있음
a: 프로필렌 글리콜 메틸 에테르 아세테이트

Claims (56)

  1. 포토리소그래피 공정에서 사용하기 위한 조성물로서, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 하기 화학식을 갖는 반복 단위체들을 포함하는 것을 특징으로 하는 조성물:
    상기 식에서,
    X는 광감쇠 부분이고, M은 금속이고, 각각의 R은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택된다.
  2. 제 1 항에 있어서, 상기 중합체는 하기 화학식을 갖는 반복 단위체들을 추가로 포함하는 것을 특징으로 하는 조성물:
    상기 식에서,
    각각의 R1은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택되고, M1은 금속이다.
  3. 제 1 항에 있어서, 각각의 반복 단위체에서의 M은 Ti, Zr, Si, 및 Al로 구성되는 군에서 선택되는 금속인 것을 특징으로 하는 조성물.
  4. 제 1 항에 있어서, 중합체 바인더를 추가로 포함하는 것을 특징으로 하는 조성물.
  5. 제 4 항에 있어서, 상기 중합체 바인더는 에폭시 노볼락 수지, 아크릴레이트, 중합된 아미노플라스트, 글리코우랄, 비닐 에테르, 및 이들의 혼합물로 구성되는 군에서 선택되는 것을 특징으로 하는 조성물.
  6. 제 4 항에 있어서, 상기 중합체 바인더는 분자량이 약 1,000 내지 약 50,000 인 것을 특징으로 하는 조성물.
  7. 제 1 항에 있어서, X는 M과의 배위를 위한 작용기를 포함하는 것을 특징으로 하는 조성물.
  8. 제 7 항에 있어서, 상기 작용기는 카르보닐, 알콜 및 페놀로 구성되는 군에서 선택되는 것을 특징으로 하는 조성물.
  9. 제 1 항에 있어서, 상기 X가 트리메틸올 에톡시레이트, 4-히드록시벤즈알데히드, 및 2-시아노-3-(4-히드록시페닐)-아크릴산 에틸 에스테르의 작용성 부분으로 구성되는 군에서 선택되는 것을 특징으로 하는 조성물.
  10. 제 1 항에 있어서, X 및 R은 각각 어떠한 에틸렌계 불포화기를 포함하지 않는 것을 특징으로 하는 조성물.
  11. 제 1 항에 있어서, 하나의 R이 -CH3이고, 다른 하나의 R이 -OC2H5인 것을 특징으로 하는 조성물.
  12. 포토리소그래피 공정에서 사용하기 위한 조성물로서, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 중합체성 금속 알콕사이드를 유기 화합물과 반응시킴으로써 형성되고, 상기 중합체성 금속 알콕사이드는 하기 화학식을 갖는 반복 단위체들을 포함하고, 상기 유기 화합물은 상기 중합체성 금속 알콕사이드의 M과의 배위를 위한 작용기를 포함하는 것을 특징으로 하는 조성물:
    상기 식에서,
    M은 금속이고, 각각의 L은 디케토 및 알콕사이드 리간드로 구성되는 군에서 선택된다.
  13. 제 12 항에 있어서, 각각의 반복 단위체에서의 M은 Ti, Zr, Si, 및 Al로 구성되는 군에서 선택되는 금속인 것을 특징으로 하는 조성물.
  14. 제 12 항에 있어서, 중합체 바인더를 추가로 포함하는 것을 특징으로 하는 조성물.
  15. 제 14 항에 있어서, 상기 중합체 바인더는 에폭시 노볼락 수지, 아크릴레이트, 중합된 아미노플라스트, 글리코우랄, 비닐 에테르, 및 이들의 혼합물로 구성되는 군에서 선택되는 것을 특징으로 하는 조성물.
  16. 제 14 항에 있어서, 상기 중합체 바인더는 분자량이 약 1,000 내지 약 50,000 인 것을 특징으로 하는 조성물.
  17. 제 12 항에 있어서, 각각의 L이 하기 화학식을 갖는 것을 특징으로 하는 조성물:
    상기 식에서,
    각각의 R은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택된다.
  18. 제 1 항에 있어서, 하나 이상의 L이 에틸 아세토아세테이트의 부분인 것을 특징으로 하는 조성물.
  19. 제 17 항에 있어서, 하나의 R이 -CH3이고, 다른 하나의 R이 -OC2H5인 것을 특징으로 하는 조성물.
  20. 제 12 항에 있어서, 상기 작용기는 알콜, 페놀 및 카르보닐기로 구성되는 군에서 선택되는 것을 특징으로 하는 조성물.
  21. 제 12 항에 있어서, 상기 유기 화합물이 트리메틸올 에톡시레이트, 4-히드록시벤즈알데히드, 및 2-시아노-3-(4-히드록시페닐)-아크릴산 에틸 에스테르로 구성되는 군에서 선택되는 것을 특징으로 하는 조성물.
  22. 표면을 갖는 기판과, 포토리소그래피 공정에서 장벽 또는 반사방지층으로 사용하기 위한 경화된 층의 결합체(combination)로서, 상기 경화된 층은 상기 표면에 이웃하여 위치하고, 금속 원자와 수소 원자 결합이 번갈아 있고, 약 1.4 이상의 굴절율을 가지며, 습식 현상가능한 것을 특징으로 하는 결합체.
  23. 제 22 항에 있어서, 상기 경화된 층은 프로필렌 글리콜 메틸 에테르 아세테이트가 용매인 경우 약 75% 이상의 용해도%를 갖는 것을 특징으로 하는 결합체.
  24. 제 22 항에 있어서, 상기 기판은 실리콘 웨이퍼 및 이온 주입층으로 구성되는 군에서 선택되는 것을 특징으로 하는 결합체.
  25. 제 22 항에 있어서, 상기 경화된 층에 이웃한 포토레지스트 층을 추가로 포함하는 것을 특징으로 하는 결합체.
  26. 제 22 항에 있어서, 각각의 금속 원자는 Ti, Zr, Si, 및 Al로 구성되는 군에서 선택되는 금속인 것을 특징으로 하는 조성물.
  27. 제 22 항에 있어서, 상기 경화된 층은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하는 조성물로 형성되고, 상기 중합체는 하기 화학식을 갖는 반복 단위체들을 포함하는 것을 특징으로 하는 조성물:
    상기 식에서,
    X는 광감쇠 부분이고, M은 금속이고, 각각의 R은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택된다.
  28. 제 22 항에 있어서, 상기 경화된 층은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하는 조성물로 형성되고, 상기 중합체는 중합체성 금속 알콕사이드를 유기 화합물과 반응시킴으로써 형성되고, 상기 중합체성 금속 알콕사이드는 하기 화학식을 갖는 반복 단위체들을 포함하고, 상기 유기 화합물은 상기 중합체성 금속 알콕사이드의 M과의 배위를 위한 작용기를 포함하는 것을 특징으로 하는 결합체:
    상기 식에서,
    M은 금속이고, 각각의 L은 디케토 및 알콕사이드 리간드로 구성되는 군에서 선택된다.
  29. 제 22 항에 있어서, 상기 경화된 층은 베이스 현상액에서 약 95% 이상 가용성인 것을 특징으로 하는 결합체.
  30. 집적 회로 선구 구조체를 형성하는 방법으로서,
    기판상에 조성물의 코팅층을 형성하는 단계와;
    상기 코팅층에 포토레지스트를 도포하여 상기 코팅층상에 포토레지스트 층을 형성하는 단계와;
    상기 포토레지스트 층 및 코팅층의 일부분을 선택적으로 제거하여 상기 기판상에 라인 피쳐를 형성하는 단계를 포함하며, 상기 라인 피쳐는 약 83-90°의 각도 "a"를 갖는 것을 특징으로 하는 방법.
  31. 제 30 항에 있어서, 상기 기판은 실리콘 웨이퍼 및 이온 주입층으로 구성되는 군에서 선택되는 것을 특징으로 하는 방법.
  32. 제 30 항에 있어서, 상기 제거 단계는 상기 부분을 수성 현상액으로 제거하는 것을 포함하는 것을 특징으로 하는 방법.
  33. 제 32 항에 있어서, 상기 수성 현탁액은 테트라메틸 암모늄 히드록사이드 및 KOH 현상액으로 구성되는 군에서 선택되는 것을 특징으로 하는 방법.
  34. 제 30 항에 있어서, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 중합체성 금속 알콕사이드를 유기 화합물과 반응시킴으로써 형성되고, 상기 중합체성 금속 알콕사이드는 하기 화학식을 갖는 반복 단위체들을 포함하고, 상기 유기 화합물은 상기 중합체성 금속 알콕사이드의 M과의 배위를 위한 작용기를 포함하는 것을 특징으로 하는 방법:
    상기 식에서,
    M은 금속이고, 각각의 L은 디케토 및 알콕사이드 리간드로 구성되는 군에서 선택된다.
  35. 제 30 항에 있어서, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 하기 화학식을 갖는 반복 단위체들을 포함하는 것을 특징으로 하는 방법:
    상기 식에서,
    X는 광감쇠 부분이고, M은 금속이고, 각각의 R은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택된다.
  36. 집적 회로 선구 구조체를 형성하는 방법으로서,
    기판상에 조성물의 코팅층을 형성하는 단계와;
    상기 코팅층에 포토레지스트를 도포하여 상기 코팅층상에 포토레지스트 층을 형성하는 단계와;
    상기 포토레지스트 층 및 코팅층의 일부분을 선택적으로 제거하여 상기 기판상에 라인 피쳐를 형성하는 단계를 포함하며, 상기 라인 피쳐는 약 15% 이하의 부식율을 갖는 것을 특징으로 하는 방법.
  37. 제 36 항에 있어서, 상기 기판은 실리콘 웨이퍼 및 이온 주입층으로 구성되는 군에서 선택되는 것을 특징으로 하는 방법.
  38. 제 36 항에 있어서, 상기 제거 단계는 상기 부분을 수성 현상액으로 제거하는 것을 포함하는 것을 특징으로 하는 방법.
  39. 제 38 항에 있어서, 상기 수성 현탁액은 테트라메틸 암모늄 히드록사이드 및 KOH 현상액으로 구성되는 군에서 선택되는 것을 특징으로 하는 방법.
  40. 제 36 항에 있어서, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 중합체성 금속 알콕사이드를 유기 화합물과 반응시킴으로써 형성되고, 상기 중합체성 금속 알콕사이드는 하기 화학식을 갖는 반복 단위체들을 포함하고, 상기 유기 화합물은 상기 중합체성 금속 알콕사이드의 M과의 배위를 위한 작용기를 포함하는 것을 특징으로 하는 방법:
    상기 식에서,
    M은 금속이고, 각각의 L은 디케토 및 알콕사이드 리간드로 구성되는 군에서 선택된다.
  41. 제 36 항에 있어서, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 하기 화학식을 갖는 반복 단위체들을 포함하는 것을 특징으로 하는 방법:
    상기 식에서,
    X는 광감쇠 부분이고, M은 금속이고, 각각의 R은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택된다.
  42. 제 36 항에 있어서, 상기 라인 피쳐는 약 83-90°의 각도 "a"를 갖는 것을 특징으로 하는 방법.
  43. 포토리소그래피 공정에서 조성물을 사용하는 방법으로서, 상기 방법은 기판에 다량의 조성물을 도포하여 층을 형성하는 단계를 포함하고, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 하기 화학식을 갖는 반복 단위체들을 포함하는 것을 특징으로 하는 방법:
    상기 식에서,
    X는 광감쇠 부분이고, M은 금속이고, 각각의 R은 수소, 알킬, 아릴, 알콕시 및 페녹시로 구성되는 군에서 선택된다.
  44. 제 43 항에 있어서, 상기 도포 단계는 상기 기판 표면상에 상기 조성물을 스핀 코팅하는 것을 포함하는 것을 특징으로 하는 방법.
  45. 제 43 항에 있어서, 상기 기판은 홀이 형성되어 있으며, 상기 홀은 바닥벽과 측벽에 의해 한정되고, 상기 도포 단계는 상기 바닥벽 및 측벽의 최소한 일부분에 상기 조성물을 도포하는 것을 포함하는 것을 특징으로 하는 방법.
  46. 제 43 항에 있어서, 상기 도포 단계 후, 상기 층을 약 100-250 ℃의 온도로 베이킹하여 경화된 층을 얻는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  47. 제 46 항에 있어서, 상기 베이킹된 층에 포토레지스트를 도포하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  48. 제 47 항에 있어서, 상기 포토레지스트의 최소한 일부분을 활성화 방사선에 노광하는 단계와; 상기 노광된 포토레지스트를 현상하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  49. 제 48 항에 있어서, 상기 현상 단계에 의하여, 상기 노광된 포토레지스트에 이웃한 영역으로부터 상기 조성물이 제거되는 것을 특징으로 하는 방법.
  50. 포토리소그래피 공정에서 조성물을 사용하는 방법으로서, 상기 방법은 기판에 다량의 조성물을 도포하여 층을 형성하는 단계를 포함하고, 상기 조성물은 용매계와, 상기 용매계에 분산 또는 용해된 중합체를 포함하고, 상기 중합체는 중합체성 금속 알콕사이드를 유기 화합물과 반응시킴으로써 형성되고, 상기 중합체성 금속 알콕사이드는 하기 화학식을 갖는 반복 단위체들을 포함하고, 상기 유기 화합물은 상기 중합체성 금속 알콕사이드의 M과의 배위를 위한 작용기를 포함하는 것을 특징으로 하는 방법:
    상기 식에서,
    M은 금속이고, 각각의 L은 디케토 및 알콕사이드 리간드로 구성되는 군에서 선택된다.
  51. 제 50 항에 있어서, 상기 도포 단계는 상기 기판 표면상에 상기 조성물을 스핀 코팅하는 것을 포함하는 것을 특징으로 하는 방법.
  52. 제 50 항에 있어서, 상기 기판은 홀이 형성되어 있으며, 상기 홀은 바닥벽과 측벽에 의해 한정되고, 상기 도포 단계는 상기 바닥벽 및 측벽의 최소한 일부분에 상기 조성물을 도포하는 것을 포함하는 것을 특징으로 하는 방법.
  53. 제 50 항에 있어서, 상기 도포 단계 후, 상기 층을 약 100-250 ℃의 온도로 베이킹하여 경화된 층을 얻는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  54. 제 53 항에 있어서, 상기 베이킹된 층에 포토레지스트를 도포하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  55. 제 54 항에 있어서, 상기 포토레지스트의 최소한 일부분을 활성화 방사선에 노광하는 단계와; 상기 노광된 포토레지스트를 현상하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  56. 제 55 항에 있어서, 상기 현상 단계에 의하여, 상기 노광된 포토레지스트에 이웃한 영역으로부터 상기 조성물이 제거되는 것을 특징으로 하는 방법.
KR1020047021093A 2002-06-25 2003-06-18 마이크로 전자 소자 제조용 현상액-가용성 금속알콕사이드 코팅 조성물 KR101051617B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/180,625 US6740469B2 (en) 2002-06-25 2002-06-25 Developer-soluble metal alkoxide coatings for microelectronic applications
US10/180,625 2002-06-25
PCT/US2003/019457 WO2004001502A1 (en) 2002-06-25 2003-06-18 Developer-soluble metal alkoxide coatings for microelectronic applications

Publications (2)

Publication Number Publication Date
KR20050024385A true KR20050024385A (ko) 2005-03-10
KR101051617B1 KR101051617B1 (ko) 2011-07-26

Family

ID=29735075

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047021093A KR101051617B1 (ko) 2002-06-25 2003-06-18 마이크로 전자 소자 제조용 현상액-가용성 금속알콕사이드 코팅 조성물

Country Status (8)

Country Link
US (1) US6740469B2 (ko)
EP (2) EP2375288B1 (ko)
JP (2) JP4550573B2 (ko)
KR (1) KR101051617B1 (ko)
CN (1) CN100435026C (ko)
AU (1) AU2003245596A1 (ko)
TW (1) TWI303014B (ko)
WO (1) WO2004001502A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140061381A (ko) * 2011-07-07 2014-05-21 브레우어 사이언스 인코포레이션 리소그래피 도포를 위한 소형 분자로부터의 금속-산화물 필름
KR20190101296A (ko) * 2018-02-22 2019-08-30 신에쓰 가가꾸 고교 가부시끼가이샤 레지스트 재료 및 패턴 형성 방법

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6872506B2 (en) * 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US7108958B2 (en) * 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US20040171743A1 (en) * 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
US7364832B2 (en) * 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
EP1673801B1 (en) * 2003-10-15 2014-04-09 Brewer Science, Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
US20070207406A1 (en) * 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
JP4793583B2 (ja) * 2004-10-14 2011-10-12 日産化学工業株式会社 金属酸化物を含むリソグラフィー用下層膜形成組成物
US7914974B2 (en) 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
US8133659B2 (en) 2008-01-29 2012-03-13 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US20090325106A1 (en) * 2008-06-27 2009-12-31 Conley Willard E Method for Implant Imaging with Spin-on Hard Masks
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
KR101276985B1 (ko) * 2009-03-19 2013-06-24 쇼와 덴코 가부시키가이샤 방전 갭 충전용 조성물 및 정전 방전 보호체
JP4734480B2 (ja) * 2009-07-15 2011-07-27 日本発條株式会社 太陽電池用裏面保護シート及びその製造方法
JP5825177B2 (ja) * 2011-03-30 2015-12-02 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
WO2012133597A1 (ja) 2011-03-30 2012-10-04 Jsr株式会社 多層レジストプロセスパターン形成方法及び多層レジストプロセス用無機膜形成組成物
US8568958B2 (en) * 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
JP5650086B2 (ja) 2011-06-28 2015-01-07 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US8968989B2 (en) 2011-11-21 2015-03-03 Brewer Science Inc. Assist layers for EUV lithography
JP5739360B2 (ja) 2012-02-14 2015-06-24 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US9070548B2 (en) 2012-03-06 2015-06-30 Rohm And Haas Electronic Materials Llc Metal hardmask compositions
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
JP5756134B2 (ja) 2013-01-08 2015-07-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物及びパターン形成方法
US9171720B2 (en) 2013-01-19 2015-10-27 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US9136123B2 (en) 2013-01-19 2015-09-15 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
JP5830044B2 (ja) 2013-02-15 2015-12-09 信越化学工業株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US8759220B1 (en) 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
JP6189758B2 (ja) 2013-03-15 2017-08-30 信越化学工業株式会社 チタン含有レジスト下層膜形成用組成物及びパターン形成方法
JP5830048B2 (ja) * 2013-03-15 2015-12-09 信越化学工業株式会社 チタン含有レジスト下層膜形成用組成物及びパターン形成方法
JP6311702B2 (ja) * 2013-03-25 2018-04-18 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9296922B2 (en) 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US9296879B2 (en) 2013-09-03 2016-03-29 Rohm And Haas Electronic Materials Llc Hardmask
JP6323456B2 (ja) * 2013-09-11 2018-05-16 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
WO2015137193A1 (ja) 2014-03-12 2015-09-17 Jsr株式会社 半導体デバイス製造用組成物および該半導体デバイス製造用組成物を用いたパターン形成方法
JP6165805B2 (ja) * 2014-07-04 2017-07-19 富士フイルム株式会社 硬化性組成物、硬化膜の製造方法、硬化膜、並びに、タッチパネル及び表示装置
JP6141902B2 (ja) * 2014-07-04 2017-06-07 富士フイルム株式会社 硬化性組成物、硬化膜の製造方法、硬化膜、タッチパネル及び表示装置
US9515272B2 (en) 2014-11-12 2016-12-06 Rohm And Haas Electronic Materials Llc Display device manufacture using a sacrificial layer interposed between a carrier and a display device substrate
US9499698B2 (en) 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
JP6439520B2 (ja) * 2015-03-16 2018-12-19 Jsr株式会社 半導体デバイス製造用組成物及び加工基板の製造方法
US9442377B1 (en) 2015-06-15 2016-09-13 Rohm And Haas Electronic Materials Llc Wet-strippable silicon-containing antireflectant
TWI755564B (zh) 2017-09-06 2022-02-21 德商馬克專利公司 含有旋轉塗佈無機氧化物的組合物、製造電子裝置之方法以及在矽基板上塗佈硬遮罩組合物之方法
US20220197142A1 (en) * 2020-12-17 2022-06-23 Rohm And Haas Electronic Materials Llc Photoresist underlayer compositions and patterning methods
US20240002607A1 (en) * 2020-12-25 2024-01-04 Toray Industries, Inc. Polymetalloxane, composition of same, cured film, method of producing the cured film, member and electronic component each provided with the cured film, fiber, and method of producing the fiber

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3949463A (en) * 1973-02-13 1976-04-13 Communications Satellite Corporation (Comsat) Method of applying an anti-reflective coating to a solar cell
JPS5936955B2 (ja) * 1977-09-12 1984-09-06 住友化学工業株式会社 酸化チタン被膜形成方法
US4244799A (en) 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4369090A (en) * 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
JPH0612452B2 (ja) * 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
JPS60262150A (ja) 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
US4683024A (en) 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
JPS63165435A (ja) * 1986-12-27 1988-07-08 Nippon Steel Corp 有機金属重合組成物の製造方法
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5126231A (en) 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
JP3041972B2 (ja) 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
US5370969A (en) 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
JPH07183194A (ja) 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
KR100206597B1 (ko) 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR100223329B1 (ko) 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
US5652317A (en) * 1996-08-16 1997-07-29 Hoechst Celanese Corporation Antireflective coatings for photoresist compositions
JPH10149531A (ja) * 1996-11-15 1998-06-02 Sony Corp 磁気記録媒体及びその製造方法
JP3680469B2 (ja) * 1997-01-24 2005-08-10 Jsr株式会社 硬化性組成物
JPH11258813A (ja) * 1998-03-13 1999-09-24 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6156665A (en) 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
JP3673399B2 (ja) * 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
JP2000010293A (ja) * 1998-06-17 2000-01-14 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6136511A (en) 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6303270B1 (en) * 1999-03-01 2001-10-16 The Curators Of The University Of Missouri Highly plasma etch-resistant photoresist composition containing a photosensitive polymeric titania precursor
KR100804873B1 (ko) * 1999-06-10 2008-02-20 얼라이드시그날 인코퍼레이티드 포토리소그래피용 sog 반사방지 코팅
US6632535B1 (en) * 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP4868639B2 (ja) * 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
JP2003238573A (ja) * 2002-02-18 2003-08-27 Toray Ind Inc 有機金属化合物を含む組成物ならびにディスプレイ部材およびディスプレイ

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140061381A (ko) * 2011-07-07 2014-05-21 브레우어 사이언스 인코포레이션 리소그래피 도포를 위한 소형 분자로부터의 금속-산화물 필름
KR20190101296A (ko) * 2018-02-22 2019-08-30 신에쓰 가가꾸 고교 가부시끼가이샤 레지스트 재료 및 패턴 형성 방법

Also Published As

Publication number Publication date
JP2010224554A (ja) 2010-10-07
EP2375288A3 (en) 2012-09-05
EP2375288B1 (en) 2014-10-22
JP2005537502A (ja) 2005-12-08
CN100435026C (zh) 2008-11-19
TWI303014B (en) 2008-11-11
TW200401166A (en) 2004-01-16
JP4550573B2 (ja) 2010-09-22
EP2375288A2 (en) 2011-10-12
US6740469B2 (en) 2004-05-25
KR101051617B1 (ko) 2011-07-26
AU2003245596A1 (en) 2004-01-06
JP5023183B2 (ja) 2012-09-12
US20030235786A1 (en) 2003-12-25
EP1516226B1 (en) 2016-03-16
EP1516226A1 (en) 2005-03-23
CN1666146A (zh) 2005-09-07
EP1516226A4 (en) 2010-02-17
WO2004001502A1 (en) 2003-12-31

Similar Documents

Publication Publication Date Title
KR101051617B1 (ko) 마이크로 전자 소자 제조용 현상액-가용성 금속알콕사이드 코팅 조성물
KR101051619B1 (ko) 습식 현상가능한 반사방지 조성물
JP4648967B2 (ja) 改善されたスピンボウル適合性を有する反射防止コーティング組成物
JP6865794B2 (ja) 半導体レジスト用組成物およびこれを用いたパターン形成方法
JP3010963B2 (ja) レジスト組成物
US6576408B2 (en) Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
JP2000044876A (ja) ポリシランシリカ−アルカリ可溶性樹脂ハイブリッド材料及びその製造方法並びに反射防止膜材料
JPH0768435B2 (ja) 感放射線性樹脂組成物
US6773858B2 (en) Positive photoresist composition
JP2619050B2 (ja) ポジ型感光性組成物
JPH0540336A (ja) 感光性樹脂組成物
JPH10221847A (ja) ポジ型感放射線性樹脂組成物

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140718

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150619

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170627

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180711

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190710

Year of fee payment: 9