WO2024101204A1 - 光検出装置及び積層基板 - Google Patents

光検出装置及び積層基板 Download PDF

Info

Publication number
WO2024101204A1
WO2024101204A1 PCT/JP2023/039119 JP2023039119W WO2024101204A1 WO 2024101204 A1 WO2024101204 A1 WO 2024101204A1 JP 2023039119 W JP2023039119 W JP 2023039119W WO 2024101204 A1 WO2024101204 A1 WO 2024101204A1
Authority
WO
WIPO (PCT)
Prior art keywords
heat dissipation
semiconductor layer
electrode
substrate
wiring
Prior art date
Application number
PCT/JP2023/039119
Other languages
English (en)
French (fr)
Inventor
照美 神戸
肇 山岸
Original Assignee
ソニーセミコンダクタソリューションズ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ソニーセミコンダクタソリューションズ株式会社 filed Critical ソニーセミコンダクタソリューションズ株式会社
Publication of WO2024101204A1 publication Critical patent/WO2024101204A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures

Definitions

  • This disclosure relates to a photodetector and a laminated substrate.
  • a circuit area that is a heat source is present on the middle substrate sandwiched between the upper and lower substrates, heat is likely to accumulate on the middle substrate, which can result in a decrease in characteristics such as thermal noise or whiteout.
  • This disclosure has been made in light of these circumstances, and aims to provide a photodetector and a laminated substrate that can suppress deterioration of characteristics.
  • a photodetector includes a first substrate portion, a second substrate portion provided on one side of the first substrate portion, and a third substrate portion provided on one side of the first substrate portion via the second substrate portion; and a heat dissipation cell provided within the stacking portion, insulated from a first circuit provided on the second substrate portion, and electrically floating or fixed to a reference potential.
  • the first substrate portion has a first surface and a second surface located opposite the first surface, and includes a first semiconductor layer on which a photoelectric conversion element is provided, and a first wiring layer provided on the first surface side of the first semiconductor layer.
  • the second substrate portion has a second semiconductor layer having a third surface facing the first semiconductor layer and a fourth surface located opposite the third surface, a second wiring layer provided on the third surface side of the second semiconductor layer, and a third wiring layer provided on the fourth surface side of the second semiconductor layer.
  • the third substrate portion has a third semiconductor layer having a fifth surface facing the second semiconductor layer, and a fourth wiring layer provided on the fifth surface side of the third semiconductor layer.
  • the heat dissipation cell has a heat dissipation electrode at least partially embedded in the second semiconductor layer.
  • the first circuit is a heat source in the second substrate portion located between the first substrate portion and the third substrate portion
  • the heat generated from the first circuit can be efficiently dissipated to at least one of the first substrate portion and the third substrate portion via the heat dissipation electrode. This makes it possible to suppress thermal noise and whiteout caused by heat accumulation in the second substrate portion. Even if the number of layers of the substrate portions constituting the photodetector is increased to three or more layers, it is possible to suppress deterioration of the characteristics of the photodetector.
  • a laminated substrate includes a first substrate portion, a laminated portion having a second substrate portion provided on one side of the first substrate portion, and a third substrate portion provided on one side of the first substrate portion via the second substrate portion, and a heat dissipation cell provided within the laminated portion, insulated from a first circuit provided on the second substrate portion, and electrically floating or fixed to a reference potential.
  • the first substrate portion has a first surface and a second surface located opposite the first surface, and includes a first semiconductor layer on which a photoelectric conversion element is provided, and a first wiring layer provided on the first surface side of the first semiconductor layer.
  • the second substrate portion has a second semiconductor layer having a third surface facing the first semiconductor layer and a fourth surface located opposite the third surface, a second wiring layer provided on the third surface side of the second semiconductor layer, and a third wiring layer provided on the fourth surface side of the second semiconductor layer.
  • the third substrate portion has a third semiconductor layer having a fifth surface facing the second semiconductor layer, and a fourth wiring layer provided on the fifth surface side of the third semiconductor layer.
  • the heat dissipation cell has a heat dissipation electrode at least partially embedded in the second semiconductor layer.
  • the laminated portion has a pixel region, a peripheral region located around the pixel region in a plan view from the thickness direction of the laminated portion, and a scribe region located outside the pixel region via the peripheral region.
  • the heat dissipation electrode is disposed in at least one of the pixel region and the peripheral region, and is not disposed in the scribe region.
  • the above-mentioned photodetector can be obtained by dicing the laminated substrate along the scribe region.
  • This photodetector can suppress thermal noise and white floating caused by heat accumulation in the second substrate section. Even if the number of laminated layers in the substrate section is increased to three or more layers, deterioration of the characteristics of the photodetector can be suppressed.
  • FIG. 1 is a chip layout diagram showing a configuration example of a photodetector according to a first embodiment of the present disclosure.
  • FIG. 2 is a block diagram illustrating an example of the configuration of the photodetection device according to the first embodiment of the present disclosure.
  • FIG. 3 is an equivalent circuit diagram showing a configuration example of a pixel of the photodetection device according to the first embodiment of the present disclosure.
  • FIG. 4 is a cross-sectional view illustrating an example of a layered structure of the photodetector according to the first embodiment of the present disclosure.
  • FIG. 5 is a plan view illustrating a configuration example of the laminated substrate according to the first embodiment of the present disclosure.
  • FIG. 6 is a cross-sectional view showing an example of the configuration of a cross section including a heat dissipation cell of the photodetector according to the first embodiment of the present disclosure.
  • FIG. 7 is a diagram showing an example of the arrangement of high heat generating circuits and low heat generating circuits in a plan view in the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8A is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8B is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8C is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8D is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8E is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8F is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8G is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8H is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 8I is a cross-sectional view illustrating a method for manufacturing the photodetector according to the first embodiment of the present disclosure.
  • FIG. 9 is a cross-sectional view illustrating a configuration example of a light detection device according to the second embodiment of the present disclosure.
  • FIG. 10 is a cross-sectional view illustrating a configuration example of a light detection device according to a third embodiment of the present disclosure.
  • FIG. 11 is a cross-sectional view illustrating a configuration example of a light detection device according to a fourth embodiment of the present disclosure.
  • FIG. 12 is a cross-sectional view illustrating a configuration example of a light detection device according to the fifth embodiment of the present disclosure.
  • FIG. 13 is a cross-sectional view illustrating a configuration example of a light detection device according to a sixth embodiment of the present disclosure.
  • FIG. 14 is a diagram showing an example of a schematic configuration of an endoscopic surgery system to which the technology according to the present disclosure can be applied.
  • FIG. 15 is a block diagram showing an example of the functional configuration of the camera head and the CCU shown in FIG.
  • FIG. 16 is a block diagram showing a schematic configuration example of a vehicle control system, which is an example of a mobile object control system to which the technology according to the present disclosure can be applied.
  • FIG. 17 is a diagram showing an example of the installation position of the imaging unit.
  • directions may be described using the terms X-axis, Y-axis, and Z-axis.
  • the Z-axis direction is the thickness direction of the laminated section 201, which will be described later.
  • the X-axis and Y-axis directions are directions that are perpendicular to the Z-axis direction.
  • the X-axis, Y-axis, and Z-axis directions are perpendicular to each other.
  • CMOS complementary metal oxide semiconductor
  • (Overall configuration of the photodetector) 1 is a chip layout diagram showing a configuration example of a photodetector 1 according to a first embodiment of the present disclosure. First, the overall configuration of the photodetector 1 will be described. As shown in FIG. 1, the photodetector 1 according to the first embodiment of the present disclosure is mainly composed of a semiconductor chip 2 having a rectangular two-dimensional planar shape when viewed in a plane. That is, the photodetector 1 is mounted on the semiconductor chip 2.
  • the photodetector 1 captures image light (incident light) from a subject through an optical lens, converts the amount of incident light imaged on an imaging surface into an electrical signal on a pixel-by-pixel basis, and outputs the electrical signal as a pixel signal.
  • the semiconductor chip 2 on which the photodetector 1 is mounted has a square pixel region 2A located in the center of a two-dimensional plane including an X-axis direction and a Y-axis direction that intersect with each other, and a peripheral region 2B located outside the pixel region 2A so as to surround the pixel region 2A.
  • the pixel region 2A is a light receiving surface that receives light collected by the optical system.
  • a plurality of pixels 3 are arranged in a matrix on a two-dimensional plane including the X-axis direction and the Y-axis direction.
  • the pixels 3 are repeatedly arranged in the X-axis direction and the Y-axis direction that intersect with each other on the two-dimensional plane.
  • the X-axis direction and the Y-axis direction are orthogonal.
  • the direction orthogonal to both the X-axis direction and the Y-axis direction is the Z-axis direction (thickness direction).
  • a plurality of bonding pads 14 are arranged in the peripheral region 2B.
  • Each of the plurality of bonding pads 14 is arranged, for example, along each of the four sides of the semiconductor chip 2 in a two-dimensional plane.
  • Each of the plurality of bonding pads 14 is an input/output terminal used when electrically connecting the semiconductor chip 2 to an external device.
  • FIG. 2 is a block diagram showing an example of the configuration of the photodetector 1 according to the first embodiment of the present disclosure.
  • the semiconductor chip 2 includes a logic circuit 13 including a vertical drive circuit 4, a column signal processing circuit 5, a horizontal drive circuit 6, an output circuit 7, and a control circuit 8.
  • the logic circuit 13 is configured with a CMOS (Complementary MOS) circuit having, for example, an n-channel conductivity type MOSFET (Metal Oxide Semiconductor Field Effect Transistor) and a p-channel conductivity type MOSFET as field effect transistors.
  • CMOS Complementary MOS
  • the vertical drive circuit 4 is composed of, for example, a shift register.
  • the vertical drive circuit 4 sequentially selects the desired pixel drive lines 10, supplies pulses to the selected pixel drive lines 10 for driving the pixels 3, and drives each pixel 3 row by row. That is, the vertical drive circuit 4 sequentially selects and scans each pixel 3 in the pixel area 2A vertically row by row, and supplies pixel signals from the pixels 3 based on signal charges generated by the photoelectric conversion elements of each pixel 3 according to the amount of light received to the column signal processing circuit 5 via the vertical signal lines 11.
  • the column signal processing circuit 5 is arranged, for example, for each column of pixels 3, and performs signal processing such as noise removal for each pixel column on the signals output from one row of pixels 3.
  • the column signal processing circuit 5 performs signal processing such as CDS (Correlated Double Sampling) and AD (Analog Digital) conversion to remove pixel-specific fixed pattern noise.
  • a horizontal selection switch (not shown) is provided at the output stage of the column signal processing circuit 5 and connected between it and the horizontal signal line 12.
  • the horizontal drive circuit 6 is composed of, for example, a shift register.
  • the horizontal drive circuit 6 sequentially outputs horizontal scanning pulses to the column signal processing circuits 5, thereby selecting each of the column signal processing circuits 5 in turn, and causing each of the column signal processing circuits 5 to output a pixel signal that has been subjected to signal processing to the horizontal signal line 12.
  • the output circuit 7 processes and outputs pixel signals sequentially supplied from each of the column signal processing circuits 5 through the horizontal signal line 12.
  • the signal processing may include buffering, black level adjustment, column variation correction, various types of digital signal processing, etc.
  • the control circuit 8 generates clock signals and control signals that serve as the basis for the operation of the vertical drive circuit 4, column signal processing circuit 5, horizontal drive circuit 6, etc., based on the vertical synchronization signal, horizontal synchronization signal, and master clock signal. The control circuit 8 then outputs the generated clock signals and control signals to the vertical drive circuit 4, column signal processing circuit 5, horizontal drive circuit 6, etc.
  • FIG. 3 is an equivalent circuit diagram showing an example configuration of a pixel 3 of the photodetector 1 according to the first embodiment of the present disclosure.
  • the pixel 3 includes a photoelectric conversion element PD, a charge accumulation region (floating diffusion) FD that accumulates (holds) the signal charge photoelectrically converted by the photoelectric conversion element PD, and a transfer transistor TR that transfers the signal charge photoelectrically converted by the photoelectric conversion element PD to the charge accumulation region FD.
  • the pixel 3 also includes a readout circuit 15 electrically connected to the charge accumulation region FD.
  • the photoelectric conversion element PD generates a signal charge according to the amount of light received.
  • the photoelectric conversion element PD also temporarily accumulates (holds) the generated signal charge.
  • the cathode side of the photoelectric conversion element PD is electrically connected to the source region of the transfer transistor TR, and the anode side is electrically connected to a reference potential line (e.g., ground).
  • a photodiode is used as the photoelectric conversion element PD.
  • the drain region of the transfer transistor TR is electrically connected to the charge storage region FD.
  • the gate electrode of the transfer transistor TR is electrically connected to the transfer transistor drive line of the pixel drive line 10 (see FIG. 2).
  • the charge storage region FD temporarily stores and holds the signal charge transferred from the photoelectric conversion element PD via the transfer transistor TR.
  • the readout circuit 15 reads out the signal charge stored in the charge storage region FD and outputs a pixel signal based on the signal charge.
  • the readout circuit 15 includes, but is not limited to, pixel transistors, for example, an amplification transistor AMP, a selection transistor SEL, and a reset transistor RST. These transistors (AMP, SEL, RST) are configured, for example, as MOSFETs having a gate insulating film made of a silicon oxide film (SiO2 film), a gate electrode, and a pair of main electrode regions that function as a source region and a drain region.
  • These transistors may also be MISFETs (Metal Insulator Semiconductor FETs) whose gate insulating film is made of a silicon nitride film (Si3N4 film) or a laminated film such as a silicon nitride film and a silicon oxide film.
  • MISFETs Metal Insulator Semiconductor FETs
  • the source region of the amplification transistor AMP is electrically connected to the drain region of the selection transistor SEL, and the drain region is electrically connected to the power supply line Vdd and the drain region of the reset transistor.
  • the gate electrode of the amplification transistor AMP is electrically connected to the charge storage region FD and the source region of the reset transistor RST.
  • the source region of the selection transistor SEL is electrically connected to the vertical signal line 11 (VSL), and the drain is electrically connected to the source region of the amplification transistor AMP.
  • the gate electrode of the selection transistor SEL is electrically connected to the selection transistor drive line of the pixel drive line 10 (see FIG. 2).
  • the source region of the reset transistor RST is electrically connected to the charge storage region FD and the gate electrode of the amplification transistor AMP, and the drain region is electrically connected to the power supply line Vdd and the drain region of the amplification transistor AMP.
  • the gate electrode of the reset transistor RST is electrically connected to the reset transistor drive line of the pixel drive line 10 (see FIG. 2).
  • FIG. 4 is a cross-sectional view showing an example of a stacked structure of the photodetector 1 according to the first embodiment of the present disclosure.
  • the photodetector 1 semiconductor chip 2 includes a light collecting layer 90, a first substrate unit 110, a second substrate unit 120, and a third substrate unit 130.
  • the first substrate unit 110, the second substrate unit 120, and the third substrate unit 130 have a stacked structure in this order from the side of the light collecting layer 90.
  • This stacked structure is also referred to as a stacked unit 201.
  • the first substrate unit 110 has a first semiconductor layer 20 and a first wiring layer 30, which are stacked in order from the light-collecting layer 90 side.
  • the second substrate unit 120 includes a second wiring layer 40, a second semiconductor layer 50, and a third wiring layer 60, which are stacked in order from the light-collecting layer 90 side.
  • the third substrate unit 130 includes a fourth wiring layer 70 and a third semiconductor layer 80, which are stacked in order from the light-collecting layer 90 side.
  • the light-collecting layer 90 has a layered structure in which, for example, but not limited to, a color filter 91 and an on-chip lens 92 (an example of an "optical lens" in this disclosure) are layered in that order from the rear surface S2 side of the first semiconductor layer 20.
  • the on-chip lens 92 collects incident light that is incident on the first substrate portion 110 onto the photoelectric conversion element PD.
  • the on-chip lens 92 is disposed, for example, in the pixel region 2A, and is not disposed in the peripheral region 2B.
  • the first semiconductor layer 20 has a photoelectric conversion region, which will be described later.
  • One surface of the first semiconductor layer 20 is the main surface S1 (an example of the "first surface” in this disclosure), and the other surface is the back surface S2 (an example of the "second surface” in this disclosure), which is the light incidence surface.
  • the first wiring layer 30 is superimposed on the main surface S1 of the first semiconductor layer 20.
  • the second wiring layer 40 is superimposed on the surface of the first wiring layer 30 opposite to the surface facing the first semiconductor layer 20.
  • the second semiconductor layer 50 has a plurality of transistors, one surface being the main surface S3 (an example of the "third surface” in this disclosure) and the other surface being the back surface S4 (an example of the "fourth surface” in this disclosure).
  • the main surface S3 is superimposed on the surface of the second wiring layer 40 opposite to the surface facing the first wiring layer 30.
  • the third wiring layer 60 is superimposed on the back surface S4 of the second semiconductor layer 50.
  • the fourth wiring layer 70 is superimposed on the surface of the third wiring layer 60 opposite the surface facing the second semiconductor layer 50.
  • the main surface S5 of the third semiconductor layer 80 (an example of the "fifth surface” in this disclosure) is superimposed on the surface of the fourth wiring layer 70 opposite the surface facing the third wiring layer 60.
  • the main surface S1 of the first semiconductor layer 20, the main surface S3 of the second semiconductor layer 50, and the main surface S5 of the third semiconductor layer 80 may each be referred to as an element formation surface.
  • the first semiconductor layer 20 and the second semiconductor layer 50 are bonded together by the F2F (Face to Face) method via the first wiring layer 30 and the second wiring layer 40, i.e., so that the element formation surfaces face each other.
  • the second semiconductor layer 50 and the third semiconductor layer 80 are bonded together by the B2F (Back to Face) method via the third wiring layer 60 and the fourth wiring layer 70, i.e., so that the back surface and the element formation surface face each other.
  • the first semiconductor layer 20 is made of a semiconductor substrate.
  • the first semiconductor layer 20 is made of a single crystal silicon substrate of a first conductivity type, for example, p-type.
  • a bonding pad 14 is provided in a region of the first semiconductor layer 20 that overlaps with the peripheral region 2B in a planar view.
  • a photoelectric conversion region 20a is provided for each pixel 3 in a region of the first semiconductor layer 20 that overlaps with the pixel region 2A in a planar view.
  • an island-shaped photoelectric conversion region 20a partitioned by a separation region is provided for each pixel 3.
  • the number of pixels 3 is not limited to that shown in FIG. 4.
  • the photoelectric conversion region 20a has a well region of a first conductivity type, for example, p-type, and a semiconductor region (photoelectric conversion section) of a second conductivity type, for example, n-type, embedded inside the well region.
  • the photoelectric conversion element PD shown in FIG. 3 is configured in the photoelectric conversion region 20a including the well region and photoelectric conversion section of the first semiconductor layer 20.
  • the photoelectric conversion region 20a may be provided with a charge accumulation region (not shown) that is a semiconductor region of the second conductivity type, for example, n-type, and a transistor T1, although this is not limited thereto.
  • the transistor T1 is, for example, the transfer transistor TR shown in FIG. 3.
  • the isolation region has, for example and without limitation, a trench structure in which an isolation groove is formed in the first semiconductor layer 20 and an insulating film is embedded in the isolation groove.
  • an insulating film and a metal are embedded in the isolation groove.
  • the first wiring layer 30 includes an insulating film 31, wiring 32, a connection pad 33, and a via (contact) 34.
  • the wiring 32 and the connection pad 33 are stacked via the insulating film 31 as shown in the figure.
  • the connection pad 33 faces the surface of the first wiring layer 30 opposite the first semiconductor layer 20 side.
  • the via 34 connects the first semiconductor layer 20 and the wiring 32, the wiring 32 with each other, and the wiring 32 and the connection pad 33, etc.
  • the wiring 32 and the connection pad 33 may be made of, but are not limited to, copper (Cu), for example, and may be formed by the damascene method.
  • the second wiring layer 40 includes an insulating film 41, wiring 42, a connection pad 43, and a via (contact) 44.
  • the wiring 42 and the connection pad 43 are stacked via the insulating film 41 as shown in the figure.
  • the connection pad 43 faces the surface of the second wiring layer 40 opposite the second semiconductor layer 50 side, and is joined to the connection pad 33.
  • the via 44 connects the second semiconductor layer 50 and wiring 42, the wiring 42 with each other, and the wiring 42 and the connection pad 43, etc.
  • the wiring 42 and the connection pad 43 may be made of, but are not limited to, copper, for example, and may be formed by the damascene method.
  • the second semiconductor layer 50 is composed of a semiconductor substrate.
  • the second semiconductor layer 50 is composed of a single crystal silicon substrate, although not limited thereto.
  • the second semiconductor layer 50 exhibits a first conductivity type, for example, p-type.
  • a plurality of transistors T2 are provided in the second semiconductor layer 50. More specifically, the transistors T2 are provided in a region of the second semiconductor layer 50 that overlaps with the pixel region 2A in a planar view.
  • the transistors T2 are, for example, transistors that constitute the readout circuit 15 shown in FIG. 3.
  • the "first circuit” of the present disclosure may be a logic circuit, an analog circuit, or a circuit that combines a logic circuit and an analog circuit.
  • the region that overlaps with the peripheral region 2B is called the first region 50a
  • the region that overlaps with the pixel region 2A is called the second region 50b.
  • the second semiconductor layer 50 is provided with a first conductor 51 and a second conductor 52. More specifically, the first region 50a is provided with a first conductor 51 having a first width, made of a first material, and penetrating the second semiconductor layer 50 along the thickness direction. The second region 50b is provided with a second conductor 52 having a second width smaller than the first width, made of a second material different from the first material, and penetrating the second semiconductor layer 50 along the thickness direction.
  • the first conductor 51 and the second conductor 52 are conductors (electrodes) penetrating the semiconductor layer.
  • the semiconductor layer is made of, for example, silicon, so the first conductor 51 and the second conductor 52 are silicon through electrodes (TSV, Through-Silicon Via).
  • the first conductor 51 is used, for example, as a power line, but is not limited to this. For this reason, it is preferable that the first conductor 51 has low electrical resistance. Therefore, it is preferable to use a conductive material with low electrical resistivity as the first material constituting the first conductor 51. Here, copper, which is an example of such a conductive material, is used as the first material. Furthermore, by increasing the first width, the resistance of the first conductor 51 can be reduced.
  • the first region 50a in which the first conductor 51 is provided has a low density of elements and wiring, so the first width can be increased.
  • the second conductor 52 is provided in the second region 50b where a plurality of transistors T2 are provided, so the second conductor 52 may have to be provided in a narrow region between the transistors T2. For this reason, it is necessary to reduce the second width.
  • the aspect ratio of the second conductor 52 may be, for example, 5 or more, but is not limited to this. With such an aspect ratio, it may be difficult to fill the hole with the same material as the first material (here, for example, copper). Therefore, a conductive material that has good embedding properties in holes with a high aspect ratio may be used as the second material constituting the second conductor 52.
  • Such a conductive material may be a high-melting point metal. Examples of high-melting point metals include tungsten (W), cobalt (Co), ruthenium (Ru), or a metal material containing at least one of them. For example, tungsten may be used as the second material.
  • the third wiring layer 60 includes an insulating film 61, wiring 62, a connection pad 63 (an example of a "first connection pad” in this disclosure), and a silicon cover film 65.
  • the wiring 62 and the connection pad 63 are stacked via the insulating film 61 as shown.
  • the connection pad 63 faces the side of the third wiring layer 60 opposite the second semiconductor layer 50 side.
  • the wiring 62 and the connection pad 63 are made of, but are not limited to, copper, and may be formed by a damascene process, for example.
  • the silicon cover film 65 is provided to prevent the light emitted by the element from being reflected in other images, and is made of a high melting point oxide.
  • the fourth wiring layer 70 includes an insulating film 71, a wiring 72, a connection pad 73 (an example of a "second connection pad” in this disclosure), and a via (contact) 74.
  • the wiring 72 and the connection pad 73 are stacked via the insulating film 71 as shown.
  • the connection pad 73 faces the surface of the fourth wiring layer 70 opposite the third semiconductor layer 80 side, and is bonded (e.g., Cu-Cu bonded) to the connection pad 63.
  • the via 74 connects the third semiconductor layer 80 and the wiring 72, the wirings 72 with each other, and the wiring 72 and the connection pad 73, etc.
  • the wiring 72 and the connection pad 73 may be made of, but are not limited to, copper, for example, and may be formed by a damascene method.
  • the third semiconductor layer 80 is composed of a semiconductor substrate.
  • the third semiconductor layer 80 is composed of a single crystal silicon substrate of a first conductivity type, for example, p-type.
  • a plurality of transistors T3 are provided in the third semiconductor layer 80. More specifically, the transistors T3 are provided in a region of the third semiconductor layer 80 that overlaps with the pixel region 2A and the peripheral region 2B in a planar view.
  • the transistors T3 are, for example, a transistor that constitutes the logic circuit 13 shown in FIG. 2.
  • the "second circuit" of the present disclosure may be a logic circuit, an analog circuit, or a circuit that combines a logic circuit and an analog circuit.
  • the photodetector 1 shown in FIG. 4 is manufactured by dicing a laminated substrate having a laminated section 201 in which a first substrate section 110, a second substrate section 120, and a third substrate section 130 are laminated.
  • FIG. 5 is a plan view showing a configuration example of the laminated substrate 200 according to the first embodiment of the present disclosure.
  • the laminated substrate 200 shown in Fig. 5 is a wafer having a laminated portion 201 in which the first substrate portion 110, the second substrate portion 120, and the third substrate portion 130 shown in Fig. 4 are laminated, for example.
  • the laminated substrate 200 has a plurality of chip regions R1.
  • Each of the plurality of chip regions R1 includes a pixel region 2A and a peripheral region 2B located around the pixel region 2A.
  • the plurality of chip regions R1 are arranged side by side in the X-axis direction and the Y-axis direction perpendicular to the X-axis direction when viewed from a plane in the thickness direction of the laminated substrate 200 (i.e., the thickness direction of the laminated portion 201 having the first substrate portion 110, the second substrate portion 120, and the third substrate portion 130; for example, the Z-axis direction).
  • a scribe region R2 is provided between adjacent chip regions R1 among the multiple chip regions R1.
  • the scribe region R2 is provided to extend in both the X-axis direction and the Y-axis direction. By cutting the scribe region R2, each of the multiple chip regions R1 is separated into individual semiconductor chips 2.
  • FIG. 6 is a cross-sectional view showing a configuration example of a cross section including a heat dissipation cell 250 of the photodetector 1 according to the first embodiment of the present disclosure.
  • FIG. 7 is a diagram showing an example of the arrangement of the high heat generating circuit HFC and the low heat generating circuit LFC in a plan view in the photodetector 1 according to the first embodiment of the present disclosure.
  • the upper diagram shows a circuit arranged in the first substrate part 110
  • the middle diagram shows a circuit arranged in the second substrate part 120
  • the lower diagram shows a circuit arranged in the third substrate part 130.
  • the cross sections cut along the line A-A' in the upper, middle, and lower diagrams of FIG. 7 correspond to the cross-sectional view of FIG. 6.
  • the photodetector 1 includes a plurality of through electrode units 150 provided in the laminated portion 201 and electrically connecting a first circuit provided in the second substrate portion 120 and a second circuit provided in the third substrate portion 130, and a plurality of heat dissipation cells 250 provided in the laminated portion 201, insulated from both the first circuit and the second circuit, and electrically floating or fixed to a reference potential (e.g., ground potential (0 V)).
  • a reference potential e.g., ground potential (0 V)
  • the first circuit is, for example, at least a part of the logic circuit 13 shown in FIG. 2 and the readout circuit 15 shown in FIG. 3 that is provided in the second semiconductor layer 50.
  • the second circuit is, for example, at least a part of the logic circuit 13 shown in FIG. 2 and the readout circuit 15 shown in FIG. 3 that is provided in the third semiconductor layer 80.
  • the through electrode unit 150 has a through electrode 152 that penetrates between the main surface S3 and the back surface S4 of the second semiconductor layer 50, a wire 42 provided in the second wiring layer 40 and connected to one end of the through electrode 152, a wire 62 provided in the third wiring layer 60 and connected to the other end of the through electrode 152, a wire 72 provided in the fourth wiring layer 70, and a connection pad provided at the boundary BR between the third wiring layer 60 and the fourth wiring layer 70.
  • the through electrode 152 is a conductor (electrode) that penetrates the second semiconductor layer 50. Since the second semiconductor layer 50 is made of silicon, for example, the through electrode 152 is a through-silicon via (TSV). The through electrode 152 is formed so as to be embedded in a through hole that penetrates the second semiconductor layer 50 via an insulating film (not shown).
  • the through electrode 152 has, for example, the same shape and structure as the second conductor 52 (see FIG. 4).
  • the through electrode 152 is made of the same material as the second conductor 52, for example, copper (Cu) or a Cu alloy, or aluminum (Al) or an Al alloy.
  • the material constituting the through electrode 152 may be a conductive material that has good embedding properties in holes with a high aspect ratio.
  • a conductive material is a high-melting point metal.
  • high-melting point metals include tungsten (W), cobalt (Co), ruthenium (Ru), or a metal material containing at least one of these.
  • the material constituting the through electrode 152 may be tungsten.
  • the through electrode 152 may have the same shape and structure as, for example, the first conductor 51 (see FIG. 4).
  • the through electrode 152 may be made of the same material (e.g., Cu) as the first conductor 51.
  • the wiring 42, 62, 72 is made of, for example, copper (Cu) or a Cu alloy.
  • the wiring 42, 62, 72 may be made of aluminum (Al) or an Al alloy.
  • the connection pads 63, 73 are made of, for example, Cu or a Cu alloy.
  • the wiring 62 is composed of vias (contacts) only, but this is merely an example.
  • the wiring 62 may be a multi-layer wiring that is stacked in multiple layers via vias (contacts), like the wirings 42 and 72.
  • the wirings 42 and 72 are multi-layer wiring, but this is merely an example.
  • the wirings 42 and 72 may be composed of vias (contacts) only.
  • connection pad connects the wiring 62 and the wiring 72, and also joins the second substrate part 120 and the third substrate part 130.
  • This connection pad has, for example, a connection pad 63 provided on the third wiring layer 60 side of the boundary part BR, and a connection pad 73 provided on the fourth wiring layer 70 side of the boundary part BR.
  • the photodetector 1 includes a plurality of through electrode units 150 provided in the laminated portion 201 and electrically connecting a first circuit provided in the second substrate portion 120 and a second circuit provided in the third substrate portion 130, and a plurality of heat dissipation cells 250 provided in the laminated portion 201, insulated from both the first circuit and the second circuit, and electrically floating or fixed to a reference potential (e.g., ground potential (0 V)).
  • a reference potential e.g., ground potential (0 V)
  • the heat dissipation cell 250 has a heat dissipation non-penetrating electrode 252 (one example of a "heat dissipation electrode” in this disclosure) embedded in the second semiconductor layer 50 from the rear surface S4 side of the second semiconductor layer 50.
  • the heat dissipation non-penetrating electrode 252 is a dummy electrode for heat dissipation that is insulated from both the first circuit and the second circuit and is electrically floating or fixed to a reference potential (e.g., ground potential (0 V)).
  • the non-penetrating electrode for heat dissipation 252 is a non-penetrating conductor (electrode) embedded in the second semiconductor layer 50.
  • the non-penetrating electrode for heat dissipation 252 does not penetrate the second semiconductor layer 50.
  • the non-penetrating electrode for heat dissipation 252 is disposed so as to face the third substrate portion 130.
  • the non-penetrating electrode 252 for heat dissipation is disposed in a position facing the connection pads 63, 73, which are Cu-Cu bonded to each other, in the thickness direction (e.g., Z-axis direction) of the laminated portion 201. This improves the heat dissipation from the non-penetrating electrode 252 for heat dissipation to the third substrate portion 130.
  • the non-through electrode 252 for heat dissipation protrudes from the back surface S4 of the second semiconductor layer 50 toward the third wiring layer 60.
  • the other end of the non-through electrode 252 for heat dissipation does not protrude from the main surface S3 of the second semiconductor layer 50 toward the second wiring layer 40.
  • the other end of the non-through electrode 252 for heat dissipation is located midway in the thickness direction (e.g., the Z-axis direction) of the second semiconductor layer 50.
  • the non-through electrode 252 for heat dissipation is formed so as to be embedded in an opening that opens into the back surface S4 of the second semiconductor layer 50 via an insulating film 251.
  • the non-penetrating electrode for heat dissipation 252 is made of a material having a higher thermal conductivity than the second semiconductor layer 50.
  • the second semiconductor layer 50 is made of silicon (Si).
  • the non-penetrating electrode for heat dissipation 252 is made of a material having a higher thermal conductivity than Si.
  • materials having a higher thermal conductivity than Si include copper (Cu) or a Cu alloy, aluminum (Al) or an Al alloy, and tungsten (W).
  • tungsten (W) is a conductive material with high thermal conductivity and good embedding properties in holes with a high aspect ratio, and is therefore preferable as a material for forming the non-penetrating electrode for heat dissipation 252.
  • the shape of the non-penetrating electrode 252 for heat dissipation is not particularly limited, but one example is a dot shape.
  • Example of arrangement of heat dissipation non-through electrodes As shown in Fig. 6, the heat dissipation non-through electrodes 252 are arranged in both the pixel region 2A and the peripheral region 2B. Alternatively, the heat dissipation non-through electrodes 252 may be arranged only in the pixel region 2A or only in the peripheral region 2B. In other words, it is sufficient that the heat dissipation non-through electrodes 252 are arranged in at least one of the pixel region 2A and the peripheral region 2B. This can improve the heat dissipation properties of the second semiconductor layer 50.
  • the non-penetrating electrode for heat dissipation 252 is not arranged in the scribe region R2 (see FIG. 5). If the non-penetrating electrode for heat dissipation 252 is arranged in the scribe region R2, the non-penetrating electrode for heat dissipation 252 will come into contact with the dicing blade during dicing, making the edge of the dicing blade more likely to become clogged. From the viewpoint of preventing and suppressing this clogging, it is preferable that the non-penetrating electrode for heat dissipation 252 is not arranged in the scribe region R2.
  • the non-through electrodes 252 for heat dissipation may be arranged densely near the circuit that is the heat source, and sparsely arranged far from the circuit that is the heat source. This point will be explained in detail with reference to FIG. 7.
  • the high heat generating circuit HFC and the low heat generating circuit LFC are arranged on the second substrate unit 120.
  • the logic circuit 13 shown in FIG. 2 and the readout circuit 15 shown in FIG. 3 that are provided on the second semiconductor layer 50 of the second substrate unit 120 is an example of the "first circuit" of the present disclosure.
  • the high heat generating circuit HFC and the low heat generating circuit LFC arranged on the second substrate unit 120 are at least a part of this first circuit.
  • the high heat generating circuit HFC is a circuit that is the main heat source when the logic circuit 13 and the readout circuit 15 are driven.
  • the low heat generating circuit LFC of the second substrate unit 120 is a circuit that generates a lower heat temperature than the high heat generating circuit HFC when the logic circuit 13 and the readout circuit 15 are driven.
  • the higher the power consumption of a circuit the higher the heat generating temperature tends to be.
  • the circuits provided in the third semiconductor layer 80 of the third substrate unit 130 among the logic circuit 13 shown in FIG. 2 and the readout circuit 15 shown in FIG. 3 is an example of the "second circuit" of the present disclosure.
  • the low heat generating circuit LFC arranged in the third substrate unit 130 is at least a portion of this second circuit.
  • the low heat generating circuit LFC of the third substrate unit 130 is also a circuit that generates a lower heat temperature than the high heat generating circuit HFC when the logic circuit 13 and the readout circuit 15 are driven.
  • the non-through electrodes 252 for heat dissipation are preferably arranged more densely in the thickness direction (e.g., Z-axis direction) of the laminated portion 201 at positions facing the high heat generating circuit HTC than at positions facing the low heat generating circuit LTC.
  • the non-through electrodes 252 for heat dissipation are preferably arranged more densely at positions overlapping the high heat generating circuit HFC of the second substrate portion 120 than at positions overlapping the low heat generating circuit LFC of the second substrate portion 120. This makes it possible to efficiently dissipate heat generated in the high heat generating circuit HFC to the third substrate portion 130 located directly below the second substrate portion 120 via the non-through electrodes 252 for heat dissipation.
  • the photodetector 1 is manufactured using various types of apparatus, such as a film forming apparatus (including a CVD (chemical vapor deposition) apparatus and a sputtering apparatus), an exposure apparatus, an etching apparatus, a CMP (chemical mechanical polishing) apparatus, and a bonding apparatus.
  • a film forming apparatus including a CVD (chemical vapor deposition) apparatus and a sputtering apparatus
  • an exposure apparatus including a CVD (chemical vapor deposition) apparatus and a sputtering apparatus
  • an exposure apparatus including a etching apparatus, a CMP (chemical mechanical polishing) apparatus, and a bonding apparatus.
  • CMP chemical mechanical polishing
  • FIGS. 8A to 8I are cross-sectional views showing a manufacturing method of the photodetector 1 according to embodiment 1 of the present disclosure.
  • the manufacturing equipment manufactures a first substrate unit 110.
  • the connection pads 33 are exposed on the surface of the insulating film 31.
  • the manufacturing equipment manufactures a second substrate unit 120 separately from the first substrate unit 110.
  • the connection pads 43 are exposed on the surface of the insulating film 41.
  • the manufacturing equipment places the surface of the first substrate portion 110 on which the connection pads 33 are formed and the surface of the second substrate portion 120 on which the connection pads 43 are formed facing each other, and in this state, bonds the first substrate portion 110 and the second substrate portion 120 together.
  • This bonding causes the surfaces of the insulating films 31 and 41 to face each other and be bonded together.
  • the connection pads 33 exposed on the surface of the insulating film 31 and the connection pads 43 exposed on the surface of the insulating film 41 face each other and are Cu-Cu bonded.
  • FIG. 8D is a diagram obtained by inverting FIG. 8C upside down and then inverting it left to right (i.e., rotating it 180°).
  • the manufacturing equipment forms a part of the insulating film 61 constituting the third wiring layer 60 on the rear surface S4 of the second substrate portion 120.
  • the manufacturing equipment forms a through hole H1 penetrating the second semiconductor layer 50 in the part of the insulating film 61 and the second semiconductor layer 50 using photolithography technology and dry etching technology.
  • the manufacturing equipment forms an opening H2 with the second semiconductor layer 50 as the bottom surface in the part of the insulating film 61 and the second semiconductor layer 50 using photolithography technology and dry etching technology.
  • the opening H2 is a non-through hole, and is formed to a position halfway in the thickness direction of the second semiconductor layer 50.
  • the manufacturing equipment then deposits a metal film on the rear surface S4 side of the second substrate portion 120 to fill the through-hole H1 and the opening H2.
  • the metal film is a material that is more conductive than the material that constitutes the second semiconductor layer 50.
  • the metal film may be made of copper (Cu) or a Cu alloy, aluminum (Al) or an Al alloy, or tungsten (W).
  • the manufacturing equipment performs a planarization process on the metal film by CMP to remove the metal film from above the insulating film 61 of the third wiring layer 60.
  • the manufacturing equipment forms a through electrode 152 and a non-through electrode 252 for heat dissipation from the metal film.
  • the manufacturing equipment forms another part of the insulating film 61 and wiring 62, and then forms a connection pad 63.
  • the connection pad 63 is exposed on the surface of the insulating film 61.
  • FIG. 8G is a diagram obtained by inverting FIG. 8F upside down and then flipping it left to right (i.e., rotating it 180 degrees). As shown in FIG. 8G, the manufacturing equipment performs a CMP process on the back surface S2 of the first semiconductor layer 20 to flatten the back surface S2. This causes the first semiconductor layer 20 to be thinned.
  • the manufacturing equipment bonds the third substrate portion 130, which is manufactured separately from the first substrate portion 110 and the second substrate portion 120, to the second substrate portion 120.
  • the manufacturing equipment faces the surface of the second substrate portion 120 on which the connection pads 63 are formed and the surface of the third substrate portion 130 on which the connection pads 73 are formed, and bonds the second substrate portion 120 and the third substrate portion 130 together in this state.
  • This bonding causes the surfaces of the insulating films 61 and 71 to face each other and be bonded together.
  • the connection pads 63 exposed on the surface of the insulating film 61 and the connection pads 73 exposed on the surface of the insulating film 71 face each other and are Cu-Cu bonded.
  • the manufacturing equipment forms a color filter 91 and an on-chip lens 92 on the back surface S2 side of the first substrate portion 110.
  • the manufacturing equipment forms a pad opening H3 in the first substrate portion 110 and the second substrate portion 120.
  • the pad opening H3 penetrates the first substrate portion 110 and has the bonding pad 14 as its bottom surface.
  • the manufacturing equipment bonds one end of a bonding wire BW made of a conductive material such as gold (Au) to the bonding pad 14 exposed from the pad opening H3.
  • Au gold
  • the photodetector 1 comprises a stacked portion 201 having a first substrate portion 110, a second substrate portion 120 provided on one side of the first substrate portion 110, and a third substrate portion 130 provided on one side of the first substrate portion 110 via the second substrate portion 120, and a plurality of heat dissipation cells 250 provided within the stacked portion 201, insulated from a first circuit provided in the second substrate portion 120, and electrically floating or fixed to a reference potential.
  • the first substrate unit 110 has a main surface S1 and a back surface S2 located opposite the main surface S1, and has a first semiconductor layer 20 on which a photoelectric conversion element PD is provided, and a first wiring layer 30 provided on the main surface S1 side of the first semiconductor layer 20.
  • the second substrate unit 120 has a second semiconductor layer 50 having a main surface S3 facing the first semiconductor layer 20 and a back surface S4 located opposite the main surface S3, a second wiring layer 40 provided on the main surface S3 side of the second semiconductor layer 50, and a third wiring layer 60 provided on the back surface S4 side of the second semiconductor layer 50.
  • the third substrate unit 130 has a third semiconductor layer 80 having a main surface S5 facing the second semiconductor layer 50, and a fourth wiring layer 70 provided on the main surface S5 side of the third semiconductor layer 80.
  • the heat dissipation cell 250 has a heat dissipation non-penetrating electrode 252 at least partially embedded in the second semiconductor layer 50.
  • the first circuit is a heat source in the second substrate portion 120 located between the first substrate portion 110 and the third substrate portion 130
  • the heat generated from the first circuit can be efficiently dissipated to the third substrate portion 130 via the heat dissipation non-penetrating electrode 252.
  • This makes it possible to suppress thermal noise and white floating caused by heat accumulation in the second substrate portion 120.
  • the number of layers of the substrate portions constituting the photodetector 1 is increased to three or more layers, deterioration of the characteristics of the photodetector 1 can be suppressed.
  • the laminated substrate 200 includes a laminated section 201 and a plurality of heat dissipation cells 250 provided within the laminated section 201.
  • the laminated section 201 has a pixel region 2A, a peripheral region 2B located around the pixel region 2A in a plan view from the thickness direction of the laminated section 201, and a scribe region R2 located outside the pixel region 2A via the peripheral region 2B.
  • the heat dissipation non-penetrating electrode 252 of the heat dissipation cell 250 is disposed in at least one of the pixel region 2A and the peripheral region 2B, and is not disposed in the scribe region R2.
  • the above-mentioned photodetector 1 can be obtained by dicing the laminated substrate 200 along the scribe region R2.
  • the photodetector 1 can suppress thermal noise and white floating caused by heat accumulation in the second substrate portion 120. Even when the number of laminated layers of the substrate portion is increased to three or more layers, deterioration of the characteristics of the photodetector 1 can be suppressed.
  • no heat dissipation electrodes e.g., non-penetrating electrodes 252 for heat dissipation or penetrating electrodes for heat dissipation, described below
  • no heat dissipation electrodes are arranged in the scribe region R2 of the laminated substrate 200. This makes it possible to prevent the dicing blade from coming into contact with the heat dissipation electrodes and clogging the blade when dicing the laminated substrate 200. This makes it possible to suppress a decrease in the lifespan of the dicing blade.
  • the non-through heat dissipation electrode 252 arranged to face the third substrate unit 130 is used as the "heat dissipation electrode" of the present disclosure.
  • the "heat dissipation electrode” of the present disclosure is not limited to a non-through electrode.
  • the “heat dissipation electrode” of the present disclosure may be a heat dissipation through electrode that penetrates the second semiconductor layer 50.
  • FIG. 9 is a cross-sectional view showing an example of the configuration of a photodetector 1A according to embodiment 2 of the present disclosure.
  • the heat dissipation cell 250 is embedded in the second semiconductor layer 50 and has a heat dissipation through electrode 262 (an example of a "heat dissipation electrode” in the present disclosure) that penetrates between the main surface S3 and the back surface S4 of the second semiconductor layer 50.
  • the heat dissipation through electrode 262 is a dummy electrode for heat dissipation that is insulated from both the first circuit provided in the second substrate unit 120 and the second circuit provided in the third substrate unit 130 and is electrically floating or fixed to a reference potential (for example, ground potential (0 V)).
  • a reference potential for example, ground potential (0 V)
  • the heat dissipation through electrode 262 is a through conductor (electrode) embedded in the second semiconductor layer 50.
  • one end of the heat dissipation through electrode 262 protrudes from the back surface S4 of the second semiconductor layer 50 toward the third wiring layer 60.
  • the other end of the heat dissipation through electrode 262 protrudes from the main surface S3 of the second semiconductor layer 50 toward the second wiring layer 40.
  • the heat dissipation through electrode 262 is formed so as to be embedded in a through hole penetrating the second semiconductor layer 50 in the thickness direction (for example, the Z-axis direction) via the insulating film 261.
  • the heat dissipation through electrode 262 is made of a material with a higher thermal conductivity than the second semiconductor layer 50.
  • the second semiconductor layer 50 is made of silicon (Si).
  • the heat dissipation through electrode 262 is made of a material with a higher thermal conductivity than Si.
  • materials with a higher thermal conductivity than Si include copper (Cu) or a Cu alloy, aluminum (Al) or an Al alloy, and tungsten (W).
  • tungsten (W) is a conductive material with high thermal conductivity and good embeddability in holes with a high aspect ratio, and is therefore preferable as a material for forming the heat dissipation through electrode 262.
  • the shape of the heat dissipation through electrode 262 is not particularly limited, but one example is a dot shape.
  • the heat dissipation through electrodes 262 are disposed in both the pixel region 2A and the peripheral region 2B.
  • the heat dissipation through electrodes 262 may be disposed only in the pixel region 2A, or only in the peripheral region 2B. In other words, it is sufficient that the heat dissipation through electrodes 262 are disposed in at least one of the pixel region 2A and the peripheral region 2B. This can improve the heat dissipation properties of the second semiconductor layer 50.
  • the heat dissipation through electrode 262 is not arranged in the scribe region R2 (see FIG. 5). If the heat dissipation through electrode 262 is arranged in the scribe region R2, the heat dissipation through electrode 262 will come into contact with the edge of the dicing blade during dicing, making the blade more likely to become clogged. From the viewpoint of preventing and suppressing this clogging, it is preferable that the heat dissipation through electrode 262 is not arranged in the scribe region.
  • the heat dissipation through electrodes 262 may be arranged densely in positions close to the circuit that is the heat source and sparsely in positions far from the circuit that is the heat source. For example, it is preferable that the heat dissipation through electrodes 262 are arranged densely in positions facing the high heat generation circuit HTC (see FIG. 8) rather than in positions facing the low heat generation circuit LTC (see FIG. 8) in the thickness direction (e.g., Z-axis direction) of the laminated portion 201.
  • the heat dissipation through electrodes 262 are densely arranged at positions overlapping the high heat generating circuit HFC of the second substrate portion 120 rather than at positions overlapping the low heat generating circuit LFC of the second substrate portion 120. This makes it possible to efficiently dissipate heat generated in the high heat generating circuit HFC to the first substrate portion 110 and the third substrate portion 130 located above and below the second substrate portion 120 via the heat dissipation through electrodes 262.
  • the heat dissipation cell 250 is embedded in the second semiconductor layer 50 and has a heat dissipation through electrode 262 that penetrates between the main surface S3 and the back surface S4 of the second semiconductor layer 50.
  • the heat dissipation through electrode 262 is insulated from both the first circuit provided in the second substrate portion 120 and the second circuit provided in the third substrate portion 130, and is electrically floating or fixed to a reference potential (e.g., ground potential (0 V)).
  • the heat generated from the first circuit can be efficiently dissipated to the first substrate unit 110 and the third substrate unit 130 via the heat dissipation through electrode 262. This makes it possible to further suppress thermal noise and whitening caused by heat accumulation in the second substrate unit 120. Even if the number of layers of the substrate units constituting the light detection device 1A is increased to three or more layers, deterioration of the characteristics of the light detection device 1A can be suppressed.
  • the heat dissipation cell 250 may have both the heat dissipation non-through electrode 252 described in embodiment 1 and the heat dissipation through electrode 262 described in embodiment 2.
  • the heat dissipation cell 250 may have a dummy wiring for heat dissipation or a dummy connection pad for heat dissipation.
  • the heat dissipation cell 250 has a heat dissipation non-penetrating electrode 252 embedded in the second semiconductor layer 50 from the back surface S4 side of the second semiconductor layer 50, and a heat dissipation penetrating electrode 262 embedded in the second semiconductor layer 50 and penetrating between the main surface S3 and the back surface S4 of the second semiconductor layer 50.
  • Each of the heat dissipation non-penetrating electrode 252 and the heat dissipation penetrating electrode 262 is an example of a "heat dissipation electrode" of the present disclosure.
  • the heat dissipation non-penetrating electrode 252 and the heat dissipation penetrating electrode 262 are each insulated from both the first circuit provided in the second substrate unit 120 and the second circuit provided in the third substrate unit 130, and are electrically floating or fixed to a reference potential (for example, ground potential (0 V)).
  • the heat dissipation cell 250 may have at least one of the heat dissipation wiring 321, 421, 621, 721 and the heat dissipation connection pads 331, 431, 631, 731.
  • the heat dissipation wiring 321, 421, 621, 721 is a dummy wiring for heat dissipation that is insulated from both the first circuit provided on the second substrate unit 120 and the second circuit provided on the third substrate unit 130 and is electrically floating or fixed to a reference potential (e.g., ground potential (0V)).
  • the heat dissipation connection pads 331, 431, 631, 731 are dummy connection pads for heat dissipation that are insulated from both the first circuit and the second circuit and are electrically floating or fixed to a reference potential.
  • the heat dissipation wiring 321, 421, 621, and 721 are made of, for example, copper (Cu) or a Cu alloy, aluminum (Al) or an Al alloy.
  • the heat dissipation connection pads 331, 431, 631, and 731 are made of, for example, Cu or a Cu alloy.
  • the heat dissipation wiring 321 is provided in the first wiring layer 30, and is formed simultaneously in the same process as the wiring 32.
  • the heat dissipation connection pad 331 is provided in the first wiring layer 30, and is formed simultaneously in the same process as the connection pad 33.
  • the heat dissipation connection pad 431 is provided in the second wiring layer 40, and is formed simultaneously in the same process as the connection pad 43.
  • the heat dissipation wiring 421 is provided in the second wiring layer 40, and is formed simultaneously in the same process as the wiring 42.
  • the heat dissipation wiring 621 is provided in the third wiring layer 60, and is formed simultaneously in the same process as the wiring 62.
  • the heat dissipation connection pad 631 is provided in the third wiring layer 60, and is formed simultaneously in the same process as the connection pad 63.
  • the heat dissipation connection pad 731 is provided in the fourth wiring layer 70, and is formed simultaneously in the same process as the connection pad 73.
  • the heat dissipation wiring 721 is provided in the fourth wiring layer 70, and is formed simultaneously in the same process as the wiring 72.
  • the heat dissipation wiring 621 is connected to one end of the heat dissipation through electrode 262.
  • the heat dissipation wiring 421 is connected to the other end of the heat dissipation through electrode 262.
  • the heat dissipation connection pads 331, 431 are Cu-Cu bonded to each other, similar to the connection pads 33, 43. From the viewpoint of improving heat dissipation, it is preferable that the heat dissipation connection pads 331, 431 are arranged at positions overlapping the heat dissipation through electrodes 262 in the thickness direction (e.g., Z-axis direction) of the laminated portion 201. From the same viewpoint, it is also preferable that the heat dissipation connection pad 431 is connected to the heat dissipation wiring 421, and it is also preferable that the heat dissipation connection pad 331 is connected to the heat dissipation wiring 321.
  • the heat dissipation connection pads 631, 731 are Cu-Cu bonded to each other, similar to the connection pads 63, 73. From the viewpoint of improving heat dissipation, it is preferable that the heat dissipation connection pads 631, 731 are arranged at positions overlapping the heat dissipation through electrodes 262 in the thickness direction (e.g., Z-axis direction) of the laminated portion 201. From the same viewpoint, it is also preferable that the heat dissipation connection pad 631 is connected to the heat dissipation wiring 621, and it is also preferable that the heat dissipation connection pad 731 is connected to the heat dissipation wiring 721.
  • heat dissipation wiring 421 is an example of the "first heat dissipation wiring” of the present disclosure.
  • Heat dissipation wiring 621 is an example of the “second heat dissipation wiring” of the present disclosure.
  • Heat dissipation wiring 721 is an example of the "third heat dissipation wiring” of the present disclosure.
  • the heat dissipation connection pad 631 is located on the third wiring layer 60 side of the boundary portion BR between the third wiring layer 60 and the fourth wiring layer 70.
  • the heat dissipation connection pad 631 is an example of a "first heat dissipation connection pad” in the present disclosure.
  • the heat dissipation connection pad 731 is located on the fourth wiring layer 70 side of the boundary portion BR.
  • the heat dissipation connection pad 731 is an example of a "second heat dissipation connection pad" in the present disclosure.
  • the heat dissipation non-penetrating electrode 252 may be arranged in the pixel region 2A, and the heat dissipation penetrating electrode 262 may be arranged in the peripheral region 2B.
  • the photodetector 1B having the above configuration has the same effect as the photodetector 1 and 1A.
  • the heat generated from the first circuit can be efficiently dissipated to the first substrate unit 110 and the third substrate unit 130 via the heat dissipation non-penetrating electrode 252, the heat dissipation penetrating electrode 262, the heat dissipation wiring 321, 421, 621, 721, the heat dissipation connection pads 331, 431, 631, 731, etc.
  • This can further suppress thermal noise and whitening caused by heat accumulation in the second substrate unit 120.
  • Even if the number of layers of the substrate units constituting the photodetector 1B is increased to three or more layers, deterioration of the characteristics of the photodetector 1B can be suppressed.
  • the heat dissipation cell 250 may have a dummy bonding pad for heat dissipation or a dummy bonding wire for heat dissipation.
  • FIG. 11 is a cross-sectional view showing an example of the configuration of a photodetector 1C according to embodiment 4 of the present disclosure.
  • the heat dissipation cell 250 has a heat dissipation bonding pad 141, a heat dissipation pad opening H31 that exposes the heat dissipation bonding pad 141 on the bottom surface, and a heat dissipation bonding wire BW1.
  • the heat dissipation bonding pad 141 is a dummy bonding pad for heat dissipation that is insulated from both the first circuit provided on the second substrate portion 120 and the second circuit provided on the third substrate portion 130, and is electrically floating or fixed to a reference potential (e.g., ground potential (0V)).
  • a reference potential e.g., ground potential (0V)
  • the heat dissipation bonding wire BW1 is a dummy bonding wire for heat dissipation that is insulated from both the first circuit and the second circuit, and is electrically floating or fixed to a reference potential.
  • the heat dissipation bonding pad 141 is made of, for example, aluminum (Al) or an Al alloy.
  • the heat dissipation bonding pad 141 is provided in the second wiring layer 40 and is formed simultaneously in the same process as part of the wiring 32.
  • the heat dissipation bonding wire BW1 is made of, for example, a conductive material such as gold (Au). One end of the heat dissipation bonding wire BW1 is joined to the heat dissipation bonding pad 141 through the heat dissipation pad opening H31.
  • the heat dissipation bonding pad 141 is disposed at a position overlapping the heat dissipation through electrode 262 in the thickness direction (e.g., Z-axis direction) of the laminated portion 201. From the same viewpoint, it is also preferable that the heat dissipation bonding pad 141 is connected to the heat dissipation wiring 421.
  • the photodetector 1C having the above configuration achieves the same effects as the photodetector 1, 1A, and 1B described above.
  • heat dissipated from the second substrate portion 120 to the first substrate portion 110 can be efficiently dissipated to the outside of the photodetector 1C via the heat dissipation bonding pad 141 and the heat dissipation bonding wire BW1.
  • This can further suppress thermal noise and whitening caused by heat accumulation in the second substrate portion 120.
  • the heat dissipation cell 250 may have a heat dissipation slit that penetrates the first substrate portion 110, or a heat dissipation slit that continuously penetrates the first substrate portion 110 and the second substrate portion 120 in the thickness direction (e.g., the Z-axis direction).
  • FIG. 12 is a cross-sectional view showing an example of the configuration of a photodetector 1D according to embodiment 5 of the present disclosure.
  • the heat dissipation cell 250 has heat dissipation slits SL1 and SL2.
  • the heat dissipation slit SL1 penetrates the first substrate unit 110 in the thickness direction (e.g., the Z-axis direction) of the laminated unit 201, and has a bottom surface in the dummy pad portion 421P that is part of the heat dissipation wiring 421.
  • the heat dissipation slit SL2 penetrates the first substrate unit 110 and the second substrate unit 120 continuously in the Z-axis direction, and has a bottom surface in the dummy pad portion 721P that is part of the heat dissipation wiring 721.
  • the shape of the heat dissipation slits SL1, SL2 in plan view from the Z-axis direction is not particularly limited, but as an example, they have the shape of a frame that continuously surrounds the pixel region 2A.
  • the shape of the dummy pad portions 421P, 721P in plan view from the Z-axis direction is also the shape of a frame that continuously surrounds the pixel region 2A.
  • the bottom surfaces of the heat dissipation slits SL1, SL2 all become the dummy pad portions 421P, 721P.
  • the second semiconductor layer 50 can dissipate heat via the dummy pad portions 421P, 721P and the heat dissipation slits SL1, SL2.
  • the shape of the heat dissipation slits SL1, SL2 in plan view from the Z-axis direction may be a frame shape that discontinuously surrounds the pixel region 2A.
  • the shape of the dummy pad portions 421P, 721P in plan view from the Z-axis direction may also be a frame shape that discontinuously surrounds the pixel region 2A.
  • the formation positions of the heat dissipation slits SL1, SL2 may be adjusted with respect to the dummy pad portions 421P, 721P so that the bottom surfaces of the heat dissipation slits SL1, SL2 become the dummy pad portions 421P, 721P. Even with this configuration, the second semiconductor layer 50 can dissipate heat via the dummy pad portions 421P, 721P and the heat dissipation slits SL1, SL2.
  • the shape of the heat dissipation through electrode 262 in a plan view from the Z-axis direction is not particularly limited, but one example is a dot shape.
  • the photodetector 1D having the above configuration achieves the same effects as the photodetector 1, 1A, 1B, and 1C described above.
  • heat dissipated from the second substrate portion 120 to the first substrate portion 110 and the third substrate portion 130 can be efficiently dissipated to the outside of the photodetector 1D via the heat dissipation slits SL1 and SL2. This can further suppress thermal noise and whitening caused by heat accumulation in the second substrate portion 120. Even when the number of layers of the substrate portions constituting the photodetector 1D is increased to three or more layers, deterioration of the characteristics of the photodetector 1D can be suppressed.
  • the heat dissipation cell 250 has the heat dissipation through electrode 262 and the heat dissipation slits SL1 and SL2. In the embodiment of the present disclosure, these may be combined with the heat dissipation non-through electrode 252.
  • FIG. 13 is a cross-sectional view showing an example of the configuration of a photodetector 1E according to embodiment 6 of the present disclosure.
  • the heat dissipation cell 250 has a heat dissipation non-penetrating electrode 252, a heat dissipation penetrating electrode 262, and heat dissipation slits SL1 and SL2. Even with this configuration, the same effects as those of the above-mentioned photodetectors 1, 1A, 1B, 1C, and 1D can be achieved.
  • the technology according to the present disclosure (the present technology) can be applied to various products.
  • the technology according to the present disclosure may be applied to an endoscopic surgery system.
  • FIG. 14 is a diagram showing an example of the general configuration of an endoscopic surgery system to which the technology disclosed herein (the present technology) can be applied.
  • an operator (doctor) 11131 is shown using an endoscopic surgery system 11000 to perform surgery on a patient 11132 on a patient bed 11133.
  • the endoscopic surgery system 11000 is composed of an endoscope 11100, other surgical tools 11110 such as an insufflation tube 11111 and an energy treatment tool 11112, a support arm device 11120 that supports the endoscope 11100, and a cart 11200 on which various devices for endoscopic surgery are mounted.
  • the endoscope 11100 is composed of a lens barrel 11101, the tip of which is inserted into the body cavity of the patient 11132 at a predetermined length, and a camera head 11102 connected to the base end of the lens barrel 11101.
  • the endoscope 11100 is configured as a so-called rigid scope having a rigid lens barrel 11101, but the endoscope 11100 may also be configured as a so-called flexible scope having a flexible lens barrel.
  • the tip of the tube 11101 has an opening into which an objective lens is fitted.
  • a light source device 11203 is connected to the endoscope 11100, and light generated by the light source device 11203 is guided to the tip of the tube by a light guide extending inside the tube 11101, and is irradiated via the objective lens towards an object to be observed inside the body cavity of the patient 11132.
  • the endoscope 11100 may be a direct-viewing endoscope, an oblique-viewing endoscope, or a side-viewing endoscope.
  • An optical system and an image sensor are provided inside the camera head 11102, and the reflected light (observation light) from the object of observation is focused on the image sensor by the optical system.
  • the observation light is photoelectrically converted by the image sensor to generate an electrical signal corresponding to the observation light, i.e., an image signal corresponding to the observed image.
  • the image signal is sent to the camera control unit (CCU: Camera Control Unit) 11201 as RAW data.
  • CCU Camera Control Unit
  • the CCU 11201 is composed of a CPU (Central Processing Unit), a GPU (Graphics Processing Unit), etc., and controls the overall operation of the endoscope 11100 and the display device 11202. Furthermore, the CCU 11201 receives an image signal from the camera head 11102, and performs various image processing on the image signal, such as development processing (demosaic processing), in order to display an image based on the image signal.
  • a CPU Central Processing Unit
  • GPU Graphics Processing Unit
  • the display device 11202 under the control of the CCU 11201, displays an image based on the image signal that has been subjected to image processing by the CCU 11201.
  • the light source device 11203 is composed of a light source such as an LED (Light Emitting Diode) and supplies irradiation light to the endoscope 11100 when photographing the surgical site, etc.
  • a light source such as an LED (Light Emitting Diode) and supplies irradiation light to the endoscope 11100 when photographing the surgical site, etc.
  • the input device 11204 is an input interface for the endoscopic surgery system 11000.
  • a user can input various information and instructions to the endoscopic surgery system 11000 via the input device 11204.
  • the user inputs an instruction to change the imaging conditions (type of irradiation light, magnification, focal length, etc.) of the endoscope 11100.
  • the treatment tool control device 11205 controls the operation of the energy treatment tool 11112 for cauterizing tissue, incising, sealing blood vessels, etc.
  • the insufflation device 11206 sends gas into the body cavity of the patient 11132 via the insufflation tube 11111 to inflate the body cavity in order to ensure a clear field of view for the endoscope 11100 and to ensure a working space for the surgeon.
  • the recorder 11207 is a device capable of recording various types of information related to the surgery.
  • the printer 11208 is a device capable of printing various types of information related to the surgery in various formats such as text, images, or graphs.
  • the light source device 11203 that supplies illumination light to the endoscope 11100 when photographing the surgical site can be composed of a white light source composed of, for example, an LED, a laser light source, or a combination of these.
  • a white light source composed of, for example, an LED, a laser light source, or a combination of these.
  • the white light source is composed of a combination of RGB laser light sources, the output intensity and output timing of each color (each wavelength) can be controlled with high precision, so that the white balance of the captured image can be adjusted in the light source device 11203.
  • the light source device 11203 may be controlled to change the intensity of the light it outputs at predetermined time intervals.
  • the image sensor of the camera head 11102 may be controlled to acquire images in a time-division manner in synchronization with the timing of the change in the light intensity, and the images may be synthesized to generate an image with a high dynamic range that is free of so-called blackout and whiteout.
  • the light source device 11203 may be configured to supply light of a predetermined wavelength band corresponding to special light observation.
  • special light observation for example, by utilizing the wavelength dependency of light absorption in body tissue, a narrow band of light is irradiated compared to the light irradiated during normal observation (i.e., white light), and a predetermined tissue such as blood vessels on the surface of the mucosa is photographed with high contrast, so-called narrow band imaging is performed.
  • fluorescent observation may be performed in which an image is obtained by fluorescence generated by irradiating excitation light.
  • excitation light is irradiated to the body tissue and the fluorescence from the body tissue is observed (autofluorescence observation), or a reagent such as indocyanine green (ICG) is locally injected into the body tissue and excitation light corresponding to the fluorescent wavelength of the reagent is irradiated to the body tissue to obtain a fluorescent image.
  • the light source device 11203 may be configured to supply narrow band light and/or excitation light corresponding to such special light observation.
  • FIG. 15 is a block diagram showing an example of the functional configuration of the camera head 11102 and CCU 11201 shown in FIG. 14.
  • the camera head 11102 has a lens unit 11401, an imaging unit 11402, a drive unit 11403, a communication unit 11404, and a camera head control unit 11405.
  • the CCU 11201 has a communication unit 11411, an image processing unit 11412, and a control unit 11413.
  • the camera head 11102 and the CCU 11201 are connected to each other via a transmission cable 11400 so that they can communicate with each other.
  • the lens unit 11401 is an optical system provided at the connection with the lens barrel 11101. Observation light taken in from the tip of the lens barrel 11101 is guided to the camera head 11102 and enters the lens unit 11401.
  • the lens unit 11401 is composed of a combination of multiple lenses including a zoom lens and a focus lens.
  • the imaging unit 11402 is composed of an imaging element.
  • the imaging element constituting the imaging unit 11402 may be one (so-called single-plate type) or multiple (so-called multi-plate type).
  • each imaging element may generate an image signal corresponding to each of RGB, and a color image may be obtained by combining these.
  • the imaging unit 11402 may be configured to have a pair of imaging elements for acquiring image signals for the right eye and the left eye corresponding to 3D (dimensional) display. By performing 3D display, the surgeon 11131 can more accurately grasp the depth of the biological tissue in the surgical site.
  • 3D dimensional
  • the imaging unit 11402 does not necessarily have to be provided in the camera head 11102.
  • the imaging unit 11402 may be provided inside the lens barrel 11101, immediately after the objective lens.
  • the driving unit 11403 is composed of an actuator, and moves the zoom lens and focus lens of the lens unit 11401 a predetermined distance along the optical axis under the control of the camera head control unit 11405. This allows the magnification and focus of the image captured by the imaging unit 11402 to be adjusted appropriately.
  • the communication unit 11404 is configured with a communication device for transmitting and receiving various information to and from the CCU 11201.
  • the communication unit 11404 transmits the image signal obtained from the imaging unit 11402 as RAW data to the CCU 11201 via the transmission cable 11400.
  • the communication unit 11404 also receives control signals for controlling the operation of the camera head 11102 from the CCU 11201, and supplies them to the camera head control unit 11405.
  • the control signals include information on the imaging conditions, such as information specifying the frame rate of the captured image, information specifying the exposure value during imaging, and/or information specifying the magnification and focus of the captured image.
  • the above-mentioned frame rate, exposure value, magnification, focus, and other imaging conditions may be appropriately specified by the user, or may be automatically set by the control unit 11413 of the CCU 11201 based on the acquired image signal.
  • the endoscope 11100 is equipped with so-called AE (Auto Exposure) function, AF (Auto Focus) function, and AWB (Auto White Balance) function.
  • the camera head control unit 11405 controls the operation of the camera head 11102 based on a control signal from the CCU 11201 received via the communication unit 11404.
  • the communication unit 11411 is configured with a communication device for transmitting and receiving various information to and from the camera head 11102.
  • the communication unit 11411 receives an image signal transmitted from the camera head 11102 via the transmission cable 11400.
  • the communication unit 11411 also transmits to the camera head 11102 a control signal for controlling the operation of the camera head 11102.
  • the image signal and the control signal can be transmitted by electrical communication, optical communication, etc.
  • the image processing unit 11412 performs various image processing operations on the image signal, which is the RAW data transmitted from the camera head 11102.
  • the control unit 11413 performs various controls related to the imaging of the surgical site, etc. by the endoscope 11100, and the display of the captured images obtained by imaging the surgical site, etc. For example, the control unit 11413 generates a control signal for controlling the driving of the camera head 11102.
  • the control unit 11413 also causes the display device 11202 to display the captured image showing the surgical site, etc., based on the image signal that has been image-processed by the image processing unit 11412. At this time, the control unit 11413 may recognize various objects in the captured image using various image recognition techniques. For example, the control unit 11413 can recognize surgical tools such as forceps, specific body parts, bleeding, mist generated when the energy treatment tool 11112 is used, etc., by detecting the shape and color of the edges of objects included in the captured image. When the control unit 11413 causes the display device 11202 to display the captured image, it may use the recognition result to superimpose various types of surgical support information on the image of the surgical site. By superimposing the surgical support information and presenting it to the surgeon 11131, the burden on the surgeon 11131 can be reduced and the surgeon 11131 can proceed with the surgery reliably.
  • various image recognition techniques such as forceps, specific body parts, bleeding, mist generated when the energy treatment tool 11112 is used, etc.
  • the transmission cable 11400 that connects the camera head 11102 and the CCU 11201 is an electrical signal cable that supports electrical signal communication, an optical fiber that supports optical communication, or a composite cable of these.
  • communication is performed wired using a transmission cable 11400, but communication between the camera head 11102 and the CCU 11201 may also be performed wirelessly.
  • the technology disclosed herein can be applied to, for example, the endoscope 11100, the camera head 11102 (the imaging unit 11402), the CCU 11201 (the image processing unit 11412), etc.
  • the light detection devices 1, 1A, 1B, 1C, 1D, and 1E can be applied to the imaging unit 10402.
  • the technology according to the present disclosure can be applied to various products.
  • the technology according to the present disclosure may be realized as a device mounted on any type of moving body such as an automobile, an electric vehicle, a hybrid electric vehicle, a motorcycle, a bicycle, a personal mobility device, an airplane, a drone, a ship, or a robot.
  • FIG. 16 is a block diagram showing a schematic configuration example of a vehicle control system, which is an example of a mobile object control system to which the technology disclosed herein can be applied.
  • the vehicle control system 12000 includes a plurality of electronic control units connected via a communication network 12001.
  • the vehicle control system 12000 includes a drive system control unit 12010, a body system control unit 12020, an outside vehicle information detection unit 12030, an inside vehicle information detection unit 12040, and an integrated control unit 12050.
  • Also shown as functional components of the integrated control unit 12050 are a microcomputer 12051, an audio/video output unit 12052, and an in-vehicle network I/F (interface) 12053.
  • the drive system control unit 12010 controls the operation of devices related to the drive system of the vehicle according to various programs.
  • the drive system control unit 12010 functions as a control device for a drive force generating device for generating the drive force of the vehicle, such as an internal combustion engine or a drive motor, a drive force transmission mechanism for transmitting the drive force to the wheels, a steering mechanism for adjusting the steering angle of the vehicle, and a braking device for generating a braking force for the vehicle.
  • the body system control unit 12020 controls the operation of various devices installed in the vehicle body according to various programs.
  • the body system control unit 12020 functions as a control device for a keyless entry system, a smart key system, a power window device, or various lamps such as headlamps, tail lamps, brake lamps, turn signals, and fog lamps.
  • radio waves or signals from various switches transmitted from a portable device that replaces a key can be input to the body system control unit 12020.
  • the body system control unit 12020 accepts the input of these radio waves or signals and controls the vehicle's door lock device, power window device, lamps, etc.
  • the outside-vehicle information detection unit 12030 detects information outside the vehicle equipped with the vehicle control system 12000.
  • the image capturing unit 12031 is connected to the outside-vehicle information detection unit 12030.
  • the outside-vehicle information detection unit 12030 causes the image capturing unit 12031 to capture images outside the vehicle and receives the captured images.
  • the outside-vehicle information detection unit 12030 may perform object detection processing or distance detection processing for people, cars, obstacles, signs, or characters on the road surface based on the received images.
  • the imaging unit 12031 is an optical sensor that receives light and outputs an electrical signal according to the amount of light received.
  • the imaging unit 12031 can output the electrical signal as an image, or as distance measurement information.
  • the light received by the imaging unit 12031 may be visible light, or may be invisible light such as infrared light.
  • the in-vehicle information detection unit 12040 detects information inside the vehicle.
  • a driver state detection unit 12041 that detects the state of the driver is connected.
  • the driver state detection unit 12041 includes, for example, a camera that captures an image of the driver, and the in-vehicle information detection unit 12040 may calculate the driver's degree of fatigue or concentration based on the detection information input from the driver state detection unit 12041, or may determine whether the driver is dozing off.
  • the microcomputer 12051 can calculate the control target values of the driving force generating device, steering mechanism, or braking device based on the information inside and outside the vehicle acquired by the outside vehicle information detection unit 12030 or the inside vehicle information detection unit 12040, and output a control command to the drive system control unit 12010.
  • the microcomputer 12051 can perform cooperative control aimed at realizing the functions of an ADAS (Advanced Driver Assistance System), including avoiding or mitigating vehicle collisions, following based on the distance between vehicles, maintaining vehicle speed, vehicle collision warning, or vehicle lane departure warning.
  • ADAS Advanced Driver Assistance System
  • the microcomputer 12051 can also control the driving force generating device, steering mechanism, braking device, etc. based on information about the surroundings of the vehicle acquired by the outside vehicle information detection unit 12030 or the inside vehicle information detection unit 12040, thereby performing cooperative control aimed at automatic driving, which allows the vehicle to travel autonomously without relying on the driver's operation.
  • the microcomputer 12051 can also output control commands to the body system control unit 12020 based on information outside the vehicle acquired by the outside-vehicle information detection unit 12030. For example, the microcomputer 12051 can control the headlamps according to the position of a preceding vehicle or an oncoming vehicle detected by the outside-vehicle information detection unit 12030, and perform cooperative control aimed at preventing glare, such as switching high beams to low beams.
  • the audio/image output unit 12052 transmits at least one output signal of audio and image to an output device capable of visually or audibly notifying the occupants of the vehicle or the outside of the vehicle of information.
  • an audio speaker 12061, a display unit 12062, and an instrument panel 12063 are exemplified as output devices.
  • the display unit 12062 may include, for example, at least one of an on-board display and a head-up display.
  • FIG. 17 shows an example of the installation position of the imaging unit 12031.
  • the vehicle 12100 has imaging units 12101, 12102, 12103, 12104, and 12105 as the imaging unit 12031.
  • the imaging units 12101, 12102, 12103, 12104, and 12105 are provided, for example, at the front nose, side mirrors, rear bumper, back door, and the top of the windshield inside the vehicle cabin of the vehicle 12100.
  • the imaging unit 12101 provided at the front nose and the imaging unit 12105 provided at the top of the windshield inside the vehicle cabin mainly acquire images of the front of the vehicle 12100.
  • the imaging units 12102 and 12103 provided at the side mirrors mainly acquire images of the sides of the vehicle 12100.
  • the imaging unit 12104 provided at the rear bumper or back door mainly acquires images of the rear of the vehicle 12100.
  • the images of the front acquired by the imaging units 12101 and 12105 are mainly used to detect preceding vehicles, pedestrians, obstacles, traffic lights, traffic signs, lanes, etc.
  • FIG. 17 shows an example of the imaging ranges of the imaging units 12101 to 12104.
  • Imaging range 12111 indicates the imaging range of the imaging unit 12101 provided on the front nose
  • imaging ranges 12112 and 12113 indicate the imaging ranges of the imaging units 12102 and 12103 provided on the side mirrors, respectively
  • imaging range 12114 indicates the imaging range of the imaging unit 12104 provided on the rear bumper or back door.
  • an overhead image of the vehicle 12100 viewed from above is obtained by superimposing the image data captured by the imaging units 12101 to 12104.
  • At least one of the imaging units 12101 to 12104 may have a function of acquiring distance information.
  • at least one of the imaging units 12101 to 12104 may be a stereo camera consisting of multiple imaging elements, or an imaging element having pixels for detecting phase differences.
  • the microcomputer 12051 can obtain the distance to each solid object within the imaging ranges 12111 to 12114 and the change in this distance over time (relative speed with respect to the vehicle 12100) based on the distance information obtained from the imaging units 12101 to 12104, and can extract as a preceding vehicle, in particular, the closest solid object on the path of the vehicle 12100 that is traveling in approximately the same direction as the vehicle 12100 at a predetermined speed (e.g., 0 km/h or faster). Furthermore, the microcomputer 12051 can set the inter-vehicle distance that should be maintained in advance in front of the preceding vehicle, and perform automatic braking control (including follow-up stop control) and automatic acceleration control (including follow-up start control). In this way, cooperative control can be performed for the purpose of automatic driving, which runs autonomously without relying on the driver's operation.
  • automatic braking control including follow-up stop control
  • automatic acceleration control including follow-up start control
  • the microcomputer 12051 classifies and extracts three-dimensional object data on three-dimensional objects, such as two-wheeled vehicles, ordinary vehicles, large vehicles, pedestrians, utility poles, and other three-dimensional objects, based on the distance information obtained from the imaging units 12101 to 12104, and can use the data to automatically avoid obstacles.
  • the microcomputer 12051 distinguishes obstacles around the vehicle 12100 into obstacles that are visible to the driver of the vehicle 12100 and obstacles that are difficult to see.
  • the microcomputer 12051 determines the collision risk, which indicates the risk of collision with each obstacle, and when the collision risk is equal to or exceeds a set value and there is a possibility of a collision, it can provide driving assistance for collision avoidance by outputting an alarm to the driver via the audio speaker 12061 or the display unit 12062, or by forcibly decelerating or steering the vehicle to avoid a collision via the drive system control unit 12010.
  • At least one of the imaging units 12101 to 12104 may be an infrared camera that detects infrared rays.
  • the microcomputer 12051 can recognize a pedestrian by determining whether or not a pedestrian is present in the captured image of the imaging units 12101 to 12104. The recognition of such a pedestrian is performed, for example, by a procedure of extracting feature points in the captured image of the imaging units 12101 to 12104 as infrared cameras, and a procedure of performing pattern matching processing on a series of feature points that indicate the contour of an object to determine whether or not it is a pedestrian.
  • the audio/image output unit 12052 controls the display unit 12062 to superimpose a rectangular contour line for emphasis on the recognized pedestrian.
  • the audio/image output unit 12052 may also control the display unit 12062 to display an icon or the like indicating a pedestrian at a desired position.
  • the technology disclosed herein can be applied to the imaging unit 12031 and the like among the configurations described above.
  • the light detection devices 1, 1A, 1B, 1C, 1D, and 1E can be applied to the imaging unit 12031.
  • the present disclosure can also be configured as follows. (1) a laminated section including a first substrate section, a second substrate section provided on one surface side of the first substrate section, and a third substrate section provided on one surface side of the first substrate section via the second substrate section; a heat dissipation cell provided in the laminated portion, insulated from a first circuit provided in the second substrate portion, and electrically floating or fixed to a reference potential;
  • the first substrate portion is a first semiconductor layer having a first surface and a second surface located opposite to the first surface, the first semiconductor layer including a photoelectric conversion element; a first wiring layer provided on the first surface side of the first semiconductor layer,
  • the second substrate portion is a second semiconductor layer having a third surface facing the first semiconductor layer and a fourth surface located on the opposite side to the third surface; a second wiring layer provided on the third surface side of the second semiconductor layer; a third wiring layer provided on the fourth surface side of the second semiconductor layer,
  • the third substrate portion is a third semiconductor layer having a fifth surface facing the second semiconductor layer
  • connection pad provided at a boundary between the third wiring layer and the fourth wiring layer, the connection pad joining the second substrate portion and the third substrate portion;
  • the connection pad is a first connection pad provided on the third wiring layer side of the boundary portion;
  • a second connection pad provided on the fourth wiring layer side of the boundary portion,
  • the first connection pad and the second connection pad are each made of copper (Cu) or a Cu alloy, In a thickness direction of the laminated portion, the non-through electrode for heat dissipation is disposed at a position facing the connection pad.
  • the heat dissipation cell is a heat dissipation through electrode that is embedded in the second semiconductor layer and penetrates between the third surface and the fourth surface;
  • the optical detection device according to any one of (1) to (4).
  • the heat dissipation cell is a first heat dissipation wiring provided in the second wiring layer and connected to the heat dissipation through electrode; a second heat dissipation wiring provided in the third wiring layer and connected to the heat dissipation through electrode; a third heat dissipation wiring provided in the fourth wiring layer; a heat dissipation connection pad provided at a boundary between the third wiring layer and the fourth wiring layer, connecting the second heat dissipation wiring and the third heat dissipation wiring and joining the second substrate portion and the third substrate portion;
  • the optical detection device according to (5) above.
  • the heat dissipation connection pad is a first heat dissipation connection pad provided on the third wiring layer side of the boundary portion; a second heat dissipation connection pad provided on the fourth wiring layer side of the boundary portion,
  • the first heat dissipation connection pad and the second heat dissipation connection pad are each made of copper (Cu) or a Cu alloy.
  • the heat dissipation cell is a heat dissipation bonding pad provided in the second wiring layer; a heat dissipation pad opening that penetrates the first substrate portion and exposes the heat dissipation bonding pad on a bottom surface, In a thickness direction of the laminated portion, the heat dissipation through electrode is disposed at a position facing the heat dissipation bonding pad.
  • the optical detection device according to any one of (5) to (7).
  • the heat dissipation cell is a heat dissipation wire having one end connected to the heat dissipation bonding pad; The optical detection device according to (8) above.
  • the laminated portion is A pixel area; a peripheral region located around the pixel region in a plan view from a thickness direction of the laminated unit,
  • the heat dissipation electrode is a non-through electrode for heat dissipation that is embedded in the second semiconductor layer and does not penetrate between the third surface and the fourth surface of the second semiconductor layer; a heat dissipation through electrode that is embedded in the second semiconductor layer and penetrates between the third surface and the fourth surface; the heat dissipation non-through electrode is disposed in the pixel region, The heat dissipation through electrodes are disposed in the peripheral region.
  • the optical detection device according to any one of (1) to (9).
  • the first circuit is a high heat generating circuit that becomes a heat source when the first circuit is driven; a low heat generating circuit that generates a lower heat temperature than the high heat generating circuit when the first circuit is driven, the heat dissipation electrodes are arranged more densely in a thickness direction of the laminated portion at positions facing the high heat generation circuits than at positions facing the low heat generation circuits;
  • the optical detection device according to any one of (1) to (10) above.
  • the second semiconductor layer is made of silicon (Si),
  • the heat dissipation electrode is made of a material having a higher thermal conductivity than Si.
  • a through electrode unit provided in the laminated portion and electrically connecting the first circuit provided in the second substrate portion and the second circuit provided in the third substrate portion;
  • the heat dissipation cell is insulated from both the first circuit and the second circuit.
  • the optical detection device according to any one of (1) to (12) above.
  • the laminated portion is A pixel area; a peripheral region located around the pixel region in a plan view from a thickness direction of the laminated unit, the optical lens being disposed in the pixel region and not disposed in the peripheral region,
  • a laminated section including a first substrate section, a second substrate section provided on one surface side of the first substrate section, and a third substrate section provided on one surface side of the first substrate section via the second substrate section; a heat dissipation cell provided in the laminated portion, insulated from a first circuit provided in the second substrate portion, and electrically floating or fixed to a reference potential;
  • the first substrate portion is a first semiconductor layer having a first surface and a second surface located opposite to the first surface, the first semiconductor layer including a photoelectric conversion element; a first wiring layer provided on the first surface side of the first semiconductor layer;
  • the second substrate portion is a second semiconductor layer having a third surface facing the first semiconductor layer and a fourth surface located on the opposite side to the third surface; a second wiring layer provided on the third surface side of the second semiconductor layer; a third wiring layer provided on the fourth surface side of the second semiconductor layer,
  • the third substrate portion is a third semiconductor layer having a fifth surface facing the second semiconductor layer; a fourth wiring layer provided on the fifth surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

特性の低下を抑制することが可能な光検出装置及び積層基板を提供する。光検出装置は、第1基板部、第2基板部及び第3基板部を有する積層部と、積層部内に設けられ、第2基板部に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された放熱用セルと、を備える。第1基板部は、光電変換素子が設けられた第1半導体層と、第1半導体層の第1面側に設けられた第1配線層とを有する。第2基板部は、第2半導体層と、第2半導体層の第3面側に設けられた第2配線層と、第2半導体層の第4面側に設けられた第3配線層とを有する。第3基板部は、第3半導体層と、第3半導体層の第5面側に設けられた第4配線層とを有する。放熱用セルは、第2半導体層に少なくとも一部が埋設された放熱用電極を有する。

Description

光検出装置及び積層基板
 本開示は、光検出装置及び積層基板に関する。
 従来より、半導体基板当たりの回路の規模や面積を削減するために、複数の半導体基板を積層して接合する技術が、固体撮像装置において用いられている。例えば、一対の半導体基板のそれぞれの接合面で銅の電極パッドを露出させ、電極パッド同士を接合して電気的導通も行う固体撮像装置が提案されている(例えば、特許文献1参照。)。この固体撮像装置では、電気的導通に用いられる電極パッドに加え、電気的導通に用いられないダミーパッド同士も接合することにより、半導体基板同士の接合強度の向上が図られている。
特開2012-164870号公報
 半導体基板を3層以上積層した積層構造の固体撮像装置において、上基板と下基板とに挟まれた中基板に発熱源となる回路領域が存在すると、中基板に熱が蓄積され易く、例えば熱ノイズや白浮きが発生する等の特性低下が生じる可能性がある。
 本開示はこのような事情に鑑みてなされたもので、特性の低下を抑制することが可能な光検出装置及び積層基板を提供することを目的とする。
 本開示の一態様に係る光検出装置は、第1基板部と、前記第1基板部の一方の面側に設けられた第2基板部と、前記第2基板部を介して前記第1基板部の一方の面側に設けられた第3基板部とを有する積層部と、前記積層部内に設けられ、前記第2基板部に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された放熱用セルと、を備える。前記第1基板部は、第1面及び前記第1面の反対側に位置する第2面とを有し、光電変換素子が設けられた第1半導体層と、前記第1半導体層の前記第1面側に設けられた第1配線層とを有する。前記第2基板部は、前記第1半導体層と向かい合う第3面及び前記第3面の反対側に位置する第4面とを有する第2半導体層と、前記第2半導体層の前記第3面側に設けられた第2配線層と、前記第2半導体層の前記第4面側に設けられた第3配線層とを有する。前記第3基板部は、前記第2半導体層と向かい合う第5面を有する第3半導体層と、前記第3半導体層の前記第5面側に設けられた第4配線層とを有する。前記放熱用セルは、前記第2半導体層に少なくとも一部が埋設された放熱用電極を有する。
 これによれば、第1基板部と第3基板部との間に位置する第2基板部において、第1回路が発熱源となる場合でも、第1回路から発生した熱を放熱用電極を介して、第1基板部及び第3基板部の少なくとも一方に効率良く放熱することができる。これにより、第2基板部の蓄熱により発生する熱ノイズや白浮きを抑制することができる。光検出装置を構成する基板部の積層数が3層以上に多層化される場合でも、光検出装置の特性の低下を抑制することができる。
 本開示の一態様に係る積層基板は、第1基板部と、前記第1基板部の一方の面側に設けられた第2基板部と、前記第2基板部を介して前記第1基板部の一方の面側に設けられた第3基板部とを有する積層部と、前記積層部内に設けられ、前記第2基板部に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された放熱用セルと、を備える。前記第1基板部は、第1面及び前記第1面の反対側に位置する第2面とを有し、光電変換素子が設けられた第1半導体層と、前記第1半導体層の前記第1面側に設けられた第1配線層とを有する。前記第2基板部は、前記第1半導体層と向かい合う第3面及び前記第3面の反対側に位置する第4面とを有する第2半導体層と、前記第2半導体層の前記第3面側に設けられた第2配線層と、前記第2半導体層の前記第4面側に設けられた第3配線層とを有する。前記第3基板部は、前記第2半導体層と向かい合う第5面を有する第3半導体層と、前記第3半導体層の前記第5面側に設けられた第4配線層とを有する。前記放熱用セルは、前記第2半導体層に少なくとも一部が埋設された放熱用電極を有する。前記積層部は、画素領域と、前記積層部の厚さ方向からの平面視で前記画素領域の周辺に位置する周辺領域と、前記周辺領域を介して前記画素領域の外側に位置するスクライブ領域とを有する。前記放熱用電極は、前記画素領域及び前記周辺領域の少なくとも一方に配置され、かつ、前記スクライブ領域には配置されていない。
 これによれば、積層基板をスクライブ領域に沿ってダイシングすることで、上記の光検出装置を得ることができる。この光検出装置は、第2基板部の蓄熱により発生する熱ノイズや白浮きを抑制することができる。基板部の積層数が3層以上に多層化される場合でも、光検出装置の特性の低下を抑制することができる。
 また、積層基板のスクライブ領域には放熱用電極が配置されていない。積層基板をダイシングする際に、ダイシングブレードが放熱用電極と接触して、刃が目詰まりすることを防ぐことができる。これにより、ダイシングブレードの寿命低下を抑制することができる。
図1は、本開示の実施形態1に係る光検出装置の構成例を示すチップレイアウト図である。 図2は、本開示の実施形態1に係る光検出装置の構成例を示すブロック図である。 図3は、本開示の実施形態1に係る光検出装置の画素の構成例を示す等価回路図である。 図4は、本開示の実施形態1に係る光検出装置の積層構造の一例を示す断面図である。 図5は、本開示の実施形態1に係る積層基板の構成例を示す平面図である。 図6は、本開示の実施形態1に係る光検出装置の放熱用セルを含む断面の構成例を示す断面図である。 図7は、本開示の実施形態1に係る光検出装置において、高発熱回路と低発熱回路の平面視による配置の一例を示す図である。 図8Aは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Bは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Cは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Dは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Eは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Fは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Gは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Hは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図8Iは、本開示の実施形態1に係る光検出装置の製造方法を示す断面図である。 図9は、本開示の実施形態2に係る光検出装置の構成例を示す断面図である。 図10は、本開示の実施形態3に係る光検出装置の構成例を示す断面図である。 図11は、本開示の実施形態4に係る光検出装置の構成例を示す断面図である。 図12は、本開示の実施形態5に係る光検出装置の構成例を示す断面図である。 図13は、本開示の実施形態6に係る光検出装置の構成例を示す断面図である。 図14は、本開示に係る技術が適用され得る内視鏡手術システムの概略的な構成の一例を示す図である。 図15は、図14に示すカメラヘッド及びCCUの機能構成の一例を示すブロック図である。 図16は、本開示に係る技術が適用され得る移動体制御システムの一例である車両制御システムの概略的な構成例を示すブロック図である。 図17は、撮像部の設置位置の例を示す図である。
 以下において、図面を参照して本開示の実施形態を説明する。以下の説明で参照する図面の記載において、同一又は類似の部分には同一又は類似の符号を付している。ただし、図面は模式的なものであり、厚みと平面寸法との関係、各層の厚みの比率等は現実のものとは異なることに留意すべきである。したがって、具体的な厚みや寸法は以下の説明を参酌して判断すべきものである。また、図面相互間においても互いの寸法の関係や比率が異なる部分が含まれていることは勿論である。
 また、以下の説明における上下等の方向の定義は、単に説明の便宜上の定義であって、本開示の技術的思想を限定するものではない。例えば、対象を90°回転して観察すれば上下は左右に変換して読まれ、180°回転して観察すれば上下は反転して読まれることは勿論である。
 また、以下の説明では、X軸方向、Y軸方向及びZ軸方向の文言を用いて、方向を説明する場合がある。例えば、Z軸方向は、後述する積層部201の厚さ方向である。X軸方向及びY軸方向は、Z軸方向と直交する方向である。X軸方向、Y軸方向及びZ軸方向は、互いに直交する。
<実施形態1>
 実施形態1では、裏面照射型のCMOS(Complementary Metal Oxide Semiconductor)イメージセンサである光検出装置に本技術を適用した一例について説明する。
(光検出装置の全体構成)
 図1は、本開示の実施形態1に係る光検出装置1の構成例を示すチップレイアウト図である。まず、光検出装置1の全体構成について説明する。図1に示すように、本開示の実施形態1に係る光検出装置1は、平面視したときの二次元平面形状が方形状の半導体チップ2を主体に構成されている。すなわち、光検出装置1は、半導体チップ2に搭載されている。光検出装置1は、光学レンズを介して被写体からの像光(入射光)を取り込み、撮像面上に結像された入射光の光量を画素単位で電気信号に変換して画素信号として出力する。
 図1に示すように、光検出装置1が搭載された半導体チップ2は、互いに交差するX軸方向及びY軸方向を含む二次元平面において、中央部に設けられた方形状の画素領域2Aと、画素領域2Aの外側に画素領域2Aを囲むようにして設けられた周辺領域2Bとを備えている。
 画素領域2Aは、光学系により集光される光を受光する受光面である。そして、画素領域2Aには、X軸方向及びY軸方向を含む二次元平面において複数の画素3が行列状に配置されている。換言すれば、画素3は、二次元平面内で互いに交差するX軸方向及びY軸方向のそれぞれの方向に繰り返し配置されている。なお、本実施形態においては、一例としてX軸方向とY軸方向とが直交している。また、X軸方向とY軸方向との両方に直交する方向がZ軸方向(厚み方向)である。
 図1に示すように、周辺領域2Bには、複数のボンディングパッド14が配置されている。複数のボンディングパッド14の各々は、例えば、半導体チップ2の二次元平面における4つの辺の各々の辺に沿って配列されている。複数のボンディングパッド14の各々は、半導体チップ2を外部装置と電気的に接続する際に用いられる入出力端子である。
 図2は、本開示の実施形態1に係る光検出装置1の構成例を示すブロック図である。図2に示すように、半導体チップ2は、垂直駆動回路4、カラム信号処理回路5、水平駆動回路6、出力回路7及び制御回路8などを含むロジック回路13を備えている。ロジック回路13は、電界効果トランジスタとして、例えば、nチャネル導電型のMOSFET(Metal Oxide Semiconductor Field Effect Transistor)及びpチャネル導電型のMOSFETを有するCMOS(Complenentary MOS)回路で構成されている。
 垂直駆動回路4は、例えばシフトレジスタによって構成されている。垂直駆動回路4は、所望の画素駆動線10を順次選択し、選択した画素駆動線10に画素3を駆動するためのパルスを供給し、各画素3を行単位で駆動する。即ち、垂直駆動回路4は、画素領域2Aの各画素3を行単位で順次垂直方向に選択走査し、各画素3の光電変換素子が受光量に応じて生成した信号電荷に基づく画素3からの画素信号を、垂直信号線11を通してカラム信号処理回路5に供給する。
 カラム信号処理回路5は、例えば画素3の列毎に配置されており、1行分の画素3から出力される信号に対して画素列毎にノイズ除去等の信号処理を行う。例えばカラム信号処理回路5は、画素固有の固定パターンノイズを除去するためのCDS(Correlated Double Sampling:相関2重サンプリング)及びAD(Analog Digital)変換等の信号処理を行う。カラム信号処理回路5の出力段には水平選択スイッチ(図示せず)が水平信号線12との間に接続されて設けられる。
 水平駆動回路6は、例えばシフトレジスタによって構成されている。水平駆動回路6は、水平走査パルスをカラム信号処理回路5に順次出力することによって、カラム信号処理回路5の各々を順番に選択し、カラム信号処理回路5の各々から信号処理が行われた画素信号を水平信号線12に出力させる。
 出力回路7は、カラム信号処理回路5の各々から水平信号線12を通して順次に供給される画素信号に対し、信号処理を行って出力する。信号処理としては、例えば、バッファリング、黒レベル調整、列ばらつき補正、各種デジタル信号処理等を用いることができる。
 制御回路8は、垂直同期信号、水平同期信号、及びマスタクロック信号に基づいて、垂直駆動回路4、カラム信号処理回路5、及び水平駆動回路6等の動作の基準となるクロック信号や制御信号を生成する。そして、制御回路8は、生成したクロック信号や制御信号を、垂直駆動回路4、カラム信号処理回路5、及び水平駆動回路6等に出力する。
 図3は、本開示の実施形態1に係る光検出装置1の画素3の構成例を示す等価回路図である。図3に示すように、画素3は、光電変換素子PDと、光電変換素子PDで光電変換された信号電荷を蓄積(保持)する電荷蓄積領域(フローティングディフュージョン:Floating Diffusion)FDと、この光電変換素子PDで光電変換された信号電荷を電荷蓄積領域FDに転送する転送トランジスタTRと、を備えている。また、画素3は、電荷蓄積領域FDに電気的に接続された読出し回路15を備えている。
 光電変換素子PDは、受光量に応じた信号電荷を生成する。光電変換素子PDはまた、生成された信号電荷を一時的に蓄積(保持)する。光電変換素子PDは、カソード側が転送トランジスタTRのソース領域と電気的に接続され、アノード側が基準電位線(例えばグランド)と電気的に接続されている。光電変換素子PDとしては、例えばフォトダイオードが用いられている。
 転送トランジスタTRのドレイン領域は、電荷蓄積領域FDと電気的に接続されている。転送トランジスタTRのゲート電極は、画素駆動線10(図2参照)のうちの転送トランジスタ駆動線と電気的に接続されている。
 電荷蓄積領域FDは、光電変換素子PDから転送トランジスタTRを介して転送された信号電荷を一時的に蓄積して保持する。
 読出し回路15は、電荷蓄積領域FDに蓄積された信号電荷を読み出し、信号電荷に基づく画素信号を出力する。読出し回路15は、これに限定されないが、画素トランジスタとして、例えば、増幅トランジスタAMPと、選択トランジスタSELと、リセットトランジスタRSTと、を備えている。これらのトランジスタ(AMP,SEL,RST)は、例えば、酸化シリコン膜(SiO2膜)からなるゲート絶縁膜と、ゲート電極と、ソース領域及びドレイン領域として機能する一対の主電極領域と、を有するMOSFETで構成されている。また、これらのトランジスタとしては、ゲート絶縁膜が窒化シリコン膜(Si3N4膜)、或いは窒化シリコン膜及び酸化シリコン膜などの積層膜からなるMISFET(Metal Insulator Semiconductor FET)でも構わない。
 増幅トランジスタAMPは、ソース領域が選択トランジスタSELのドレイン領域と電気的に接続され、ドレイン領域が電源線Vdd及びリセットトランジスタのドレイン領域と電気的に接続されている。そして、増幅トランジスタAMPのゲート電極は、電荷蓄積領域FD及びリセットトランジスタRSTのソース領域と電気的に接続されている。
 選択トランジスタSELは、ソース領域が垂直信号線11(VSL)と電気的に接続され、ドレインが増幅トランジスタAMPのソース領域と電気的に接続されている。そして、選択トランジスタSELのゲート電極は、画素駆動線10(図2参照)のうちの選択トランジスタ駆動線と電気的に接続されている。
 リセットトランジスタRSTは、ソース領域が電荷蓄積領域FD及び増幅トランジスタAMPのゲート電極と電気的に接続され、ドレイン領域が電源線Vdd及び増幅トランジスタAMPのドレイン領域と電気的に接続されている。リセットトランジスタRSTのゲート電極は、画素駆動線10(図2参照)のうちのリセットトランジスタ駆動線と電気的に接続されている。
(光検出装置の具体的な構成)
 次に、光検出装置1の具体的な構成について、図4を用いて説明する。
(1)積層構造
 図4は、本開示の実施形態1に係る光検出装置1の積層構造の一例を示す断面図である。図4に示すように、光検出装置1(半導体チップ2)は、集光層90と、第1基板部110と、第2基板部120と、第3基板部130とを備える。第1基板部110と、第2基板部120と、第3基板部130は、集光層90の側からこの順で積層した積層構造を有する。この積層構造を積層部201ともいう。
 第1基板部110は、集光層90の側から順に積層された、第1半導体層20と、第1配線層30とを有する。第2基板部120は、集光層90の側から順に積層された、第2配線層40と、第2半導体層50と、第3配線層60と、を含む。第3基板部130は、集光層90の側から順に積層された、第4配線層70と、第3半導体層80と、を含む。
 集光層90は、第1半導体層20の裏面S2側から、これに限定されないが、例えば、カラーフィルタ91と、オンチップレンズ92(本開示の「光学レンズ」の一例)とがその順で積層された積層構造を有する。オンチップレンズ92は、第1基板部110に入射する入射光を光電変換素子PDに集光する。オンチップレンズ92は、例えば画素領域2Aに配置され、周辺領域2Bには配置されていない。
 第1基板部110において、第1半導体層20は、後述の光電変換領域を有する。第1半導体層20の一方の面が主面S1(本開示の「第1面」の一例)であり、他方の面が光入射面である裏面S2(本開示の「第2面」の一例)である。第1配線層30は、第1半導体層20の主面S1に重ね合わされている。
 第2基板部120において、第2配線層40は、第1配線層30の第1半導体層20側の面と反対側の面に重ね合わされている。第2半導体層50は、トランジスタを複数有し、一方の面が主面S3(本開示の「第3面」の一例)であり他方の面が裏面S4(本開示の「第4面」の一例)である。主面S3が第2配線層40の第1配線層30側の面と反対側の面に重ね合わされている。第3配線層60は、第2半導体層50の裏面S4に重ね合わされている。
 第3基板部130において、第4配線層70は、第3配線層60の第2半導体層50側の面と反対側の面に重ね合わされている。第3半導体層80の主面S5(本開示の「第5面」の一例)は、第4配線層70の第3配線層60側の面と反対側の面に重ね合わされている。
 ここで、第1半導体層20の主面S1と、第2半導体層50の主面S3と、第3半導体層80の主面S5とをそれぞれ、素子形成面と呼ぶことがある。
 また、第1半導体層20と第2半導体層50とは、第1配線層30及び第2配線層40を介して、F2F(Face to Face)法で、すなわち素子形成面同士が向かい合うように、接合されている。さらに、第2半導体層50と第3半導体層80とは、第3配線層60及び第4配線層70を介して、B2F(Back to Face)法で、すなわち裏面と素子形成面とが向かい合うように、接合されている。
(2)各部の構成
 第1半導体層20は、半導体基板で構成されている。第1半導体層20は、第1導電型、例えばp型の、単結晶シリコン基板で構成されている。また、第1半導体層20のうち平面視で周辺領域2Bと重なる領域には、例えば、ボンディングパッド14が設けられている。そして、第1半導体層20のうち平面視で画素領域2Aと重なる領域には、光電変換領域20aが画素3毎に設けられている。例えば、分離領域で区画された島状の光電変換領域20aが画素3毎に設けられている。なお、画素3の数は、図4に限定されるものではない。
 光電変換領域20aは、図示は省略するが、第1導電型、例えばp型のウエル領域と、ウエル領域の内部に埋設された、第2導電型、例えばn型の半導体領域(光電変換部)とを有する。図3に示した光電変換素子PDは、第1半導体層20のウエル領域と光電変換部とを含む光電変換領域20aに構成されている。また、光電変換領域20aには、これに限定されないが、第2導電型、例えばn型の半導体領域である図示しない電荷蓄積領域とトランジスタT1とが設けられていても良い。トランジスタT1は、例えば、図3に示す転送トランジスタTRである。
 分離領域は、これに限定されないが、例えば、第1半導体層20に分離溝を形成し、この分離溝内に絶縁膜を埋め込んだトレンチ構造を有する。図4に示す例では、分離溝内に絶縁膜及び金属が埋め込まれている。
 第1配線層30は、絶縁膜31と、配線32と、接続パッド33と、ビア(コンタクト)34とを含む。配線32及び接続パッド33は、図示のように絶縁膜31を介して積層されている。接続パッド33は、第1配線層30の第1半導体層20側とは反対側の面に臨んでいる。ビア34は、第1半導体層20と配線32、配線32同士、及び配線32と接続パッド33等を接続している。また、配線32及び接続パッド33は、これに限定されないが、例えば、銅(Cu)製であり、ダマシン法により形成されていても良い。
 第2配線層40は、絶縁膜41と、配線42と、接続パッド43と、ビア(コンタクト)44とを含む。配線42及び接続パッド43は、図示のように絶縁膜41を介して積層されている。接続パッド43は、第2配線層40の第2半導体層50側とは反対側の面に臨んでいて、接続パッド33と接合されている。ビア44は、第2半導体層50と配線42、配線42同士、及び配線42と接続パッド43等を接続している。また、配線42及び接続パッド43は、これに限定されないが、例えば、銅製であり、ダマシン法により形成されていても良い。
 第2半導体層50は、半導体基板で構成されている。第2半導体層50は、これには限定されないが、単結晶シリコン基板で構成されている。第2半導体層50は、第1導電型、例えばp型を呈する。第2半導体層50には、トランジスタT2が複数設けられている。より具体的には、トランジスタT2は、第2半導体層50のうち平面視で画素領域2Aと重なる領域に設けられている。トランジスタT2は、例えば、図3に示す読出し回路15を構成するトランジスタである。
 なお、図2に示したロジック回路13及び図3に示した読出し回路15のうち、第2半導体層50に設けられた回路の少なくとも一部が、本開示の「第1回路」の一例となる。本開示の「第1回路」はロジック回路であってもよいし、アナログ回路であってもよいし、ロジック回路とアナログ回路とを混載した回路であってもよい。
 なお、第2半導体層50のうち、平面視で画素領域2Aと重なる領域と、平面視で周辺領域2Bと重なる領域と、を区別するために、周辺領域2Bと重なる領域を第1領域50aと呼び、画素領域2Aと重なる領域を第2領域50bと呼ぶ。
 第2半導体層50には、第1導体51及び第2導体52が設けられている。より具体的には、第1領域50aには、第1の幅を有し、第1の材料により構成されていて、第2半導体層50を厚み方向に沿って貫通している第1導体51が設けられている。そして、第2領域50bには、第1の幅より小さい第2の幅を有し、第1の材料とは異なる第2の材料により構成されていて、第2半導体層50を厚み方向に沿って貫通している第2導体52が設けられている。第1導体51及び第2導体52は、半導体層を貫通する導体(電極)である。本実施形態では半導体層は例えばシリコン製であるので、第1導体51及び第2導体52は、シリコン貫通電極(TSV、Through-Silicon Via)である。
 第1導体51は、これに限定されないが、例えば、電源線として用いられる。そのため、第1導体51は、電気的に低抵抗であることが好ましい。そこで、第1導体51を構成する第1の材料として、電気抵抗率が低い導電材料を用いることが好ましい。ここでは、第1の材料として、そのような導電材料の一例である銅を用いる。また、第1の幅を大きくすることにより、第1導体51の抵抗を小さくすることができる。第1導体51が設けられた第1領域50aは、素子や配線の配置密度が低いので、第1の幅を大きくすることができる。
 第2導体52はトランジスタT2が複数設けられた第2領域50bに設けられているので、第2導体52を、トランジスタT2同士の間の狭い領域に設けなければならない場合がある。そのため、第2の幅を小さくする必要がある。第2の幅を小さくすると、第2導体52のアスペクト比は高くなる。第2導体52のアスペクト比は、これに限定されないが、例えば、5以上になる場合がある。このようなアスペクト比では、第1の材料と同じ材料(ここでは、例えば銅)による埋め込みが難しい場合がある。そこで、第2導体52を構成する第2の材料として、アスペクト比の高い穴に対する埋込性が良好な導電材料を用いてもよい。そのような導電材料として、高融点金属を挙げることができる。高融点金属としては、例えば、タングステン(W)、コバルト(Co)、ルテニウム(Ru)又はそれらの少なくとも一つを含んだ金属材料を挙げることができる。例えば、第2の材料として、タングステンを用いてもよい。
 図4に示すように、第3配線層60は、絶縁膜61と、配線62と、接続パッド63(本開示の「第1接続パッド」の一例)と、シリコンカバー膜65とを含む。配線62及び接続パッド63は、図示のように絶縁膜61を介して積層されている。接続パッド63は、第3配線層60の第2半導体層50側とは反対側の面に臨んでいる。配線62及び接続パッド63は、これに限定されないが、例えば、銅製であり、ダマシン法により形成されていても良い。
 シリコンカバー膜65は、素子の発光映り込みを防止するために設けられていて、高融点酸化物により構成されている。
 図4に示すように、第4配線層70は、絶縁膜71と、配線72と、接続パッド73(本開示の「第2接続パッド」の一例)と、ビア(コンタクト)74とを含む。配線72及び接続パッド73は、図示のように絶縁膜71を介して積層されている。接続パッド73は、第4配線層70の第3半導体層80側とは反対側の面に臨んでいて、接続パッド63と接合(例えば、Cu-Cu接合)されている。ビア74は、第3半導体層80と配線72、配線72同士、及び配線72と接続パッド73等を接続している。また、配線72及び接続パッド73は、これに限定されないが、例えば、銅製であり、ダマシン法により形成されていても良い。
 第3半導体層80は、半導体基板で構成されている。第3半導体層80は、第1導電型、例えばp型の、単結晶シリコン基板で構成されている。第3半導体層80には、トランジスタT3が複数設けられている。より具体的には、トランジスタT3は、第3半導体層80のうち平面視で画素領域2A及び周辺領域2Bと重なる領域に設けられている。トランジスタT3は、例えば、図2に示すロジック回路13を構成するトランジスタである。
 なお、図2に示したロジック回路13及び図3に示した読出し回路15のうち、第3半導体層80に設けられた回路の少なくとも一部が、本開示の「第2回路」の一例となる。本開示の「第2回路」はロジック回路であってもよいし、アナログ回路であってもよいし、ロジック回路とアナログ回路とを混載した回路であってもよい。
 図4に示した光検出装置1は、第1基板部110と、第2基板部120と、第3基板部130とが積層された積層部201を有する積層基板をダイシングにより個片化することで製造される。
(3)チップ領域とスクライブ領域
 図5は、本開示の実施形態1に係る積層基板200の構成例を示す平面図である。図5に示す積層基板200は、例えば、図4に示した第1基板部110と、第2基板部120と、第3基板部130とが積層された積層部201を有するウェハである。
 積層基板200には、複数のチップ領域R1が設けられている。複数のチップ領域R1の各々は、画素領域2Aと、画素領域2Aの周辺に位置する周辺領域2Bとを含む。複数のチップ領域R1は、積層基板200の厚さ方向(すなわち、第1基板部110と、第2基板部120と、第3基板部130とを有する積層部201の厚さ方向;例えば、Z軸方向)からの平面視で、X軸方向と、X軸方向と直交するY軸方向とにそれぞれ並んで配置されている。
 複数のチップ領域R1のうち、隣り合う一のチップ領域R1と他のチップ領域R1との間にスクライブ領域R2が設けられている。スクライブ領域R2は、X軸方向と、Y軸方向とにそれぞれ延びるように設けられている。スクライブ領域R2を切削することで、複数のチップ領域R1はそれぞれ個片化されて、半導体チップ2となる。
(4)貫通電極ユニット及び放熱用セルの構成例
 図6は、本開示の実施形態1に係る光検出装置1の放熱用セル250を含む断面の構成例を示す断面図である。図7は、本開示の実施形態1に係る光検出装置1において、高発熱回路HFCと低発熱回路LFCの平面視による配置の一例を示す図である。なお、図7において、上段の図は第1基板部110に配置された回路を示し、中段の図は第2基板部120に配置された回路を示し、下段の図は第3基板部130に配置された回路を示す。また、図7の上段、中段、下段の各図をA-A´線で切断した断面が、図6の断面図に対応している。
 図6に示すように、光検出装置1は、積層部201内に設けられ、第2基板部120に設けられた第1回路と、第3基板部130に設けられた第2回路とを電気的に接続する複数の貫通電極ユニット150と、積層部201内に設けられ、第1回路及び第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定された複数の放熱用セル250と、を備える。
 上述したように、第1回路は、例えば図2に示したロジック回路13及び図3に示した読出し回路15のうち、第2半導体層50に設けられた回路の少なくとも一部である。第2回路は、例えば図2に示したロジック回路13及び図3に示した読出し回路15のうち、第3半導体層80に設けられた回路の少なくとも一部である。
 貫通電極ユニット150は、第2半導体層50の主面S3と裏面S4との間を貫通する貫通電極152と、第2配線層40内に設けられ、貫通電極152の一端に接続する配線42と、第3配線層60内に設けられ、貫通電極152の他端に接続する配線62と、第4配線層70内に設けられた配線72と、第3配線層60と第4配線層70との境界部BRに設けられた接続パッドとを有する。
 貫通電極152は、第2半導体層50を貫通する導体(電極)である。第2半導体層50は例えばシリコン製であるので、貫通電極152はシリコン貫通電極(TSV)である。貫通電極152は、第2半導体層50を貫通する貫通孔内に絶縁膜(図示せず)を介して埋め込むように形成されている。
 貫通電極152は、例えば第2導体52(図4参照)と同じ形状で、同じ構造を有する。貫通電極152は、第2導体52と同じ材料、例えば銅(Cu)若しくはCu合金、又は、アルミニウム(Al)若しくはAl合金で構成されている。
 または、貫通電極152を構成する材料は、アスペクト比の高い穴に対する埋込性が良好な導電材料であってもよい。そのような導電材料として、高融点金属を挙げることができる。高融点金属としては、例えば、タングステン(W)、コバルト(Co)、ルテニウム(Ru)又はそれらの少なくとも一つを含んだ金属材料を挙げることができる。例えば、貫通電極152を構成する材料は、タングステンであってもよい。
 あるいは、貫通電極152の少なくとも一部は、例えば第1導体51(図4参照)と同じ形状で、同じ構造を有してもよい。この場合、貫通電極152は、第1導体51と同じ材料(例えば、Cu)で構成されていてもよい。
 配線42、62、72は、例えば銅(Cu)又はCu合金で構成されている。または、配線42、62、72は、アルミニウム(Al)又はAl合金で構成されていてもよい。接続パッド63、73は、例えばCu又はCu合金で構成されている。
 なお、図6に示す例では、配線62がビア(コンタクト)のみで構成されている場合を示しているが、これはあくまで一例である。配線62は、配線42、72と同様に、ビア(コンタクト)を介して多層に積層された多層配線であってもよい。また、図6に示す例では、配線42、72が多層配線である場合を示しているが、これはあくまで一例である。配線42、72は、ビア(コンタクト)のみで構成されていてもよい。
 接続パッドは、配線62と配線72とを接続するともに、第2基板部120と第3基板部130とを接合する。この接続パッドは、例えば、境界部BRの第3配線層60側に設けられた接続パッド63と、境界部BRの第4配線層70側に設けられた接続パッド73とを有する。
 図6に示すように、光検出装置1は、積層部201内に設けられ、第2基板部120に設けられた第1回路と、第3基板部130に設けられた第2回路とを電気的に接続する複数の貫通電極ユニット150と、積層部201内に設けられ、第1回路及び第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定された複数の放熱用セル250、を備える。
 放熱用セル250は、第2半導体層50の裏面S4の側から第2半導体層50内に埋め込まれた放熱用非貫通電極252(本開示の「放熱用電極」の一例)を有する。放熱用非貫通電極252は、第1回路及び第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定された、放熱用のダミー電極である。
 放熱用非貫通電極252は、第2半導体層50に埋め込まれた非貫通の導体(電極)である。放熱用非貫通電極252は、第2半導体層50を貫通していない。例えば、放熱用非貫通電極252は、第3基板部130と向かい合うように配置されている。
 また、放熱用非貫通電極252は、積層部201の厚さ方向(例えば、Z軸方向)で、互いにCu-Cu接合された接続パッド63、73と向かい合う位置に配置されている。これにより、放熱用非貫通電極252から第3基板部130側への放熱性が高められている。
 放熱用非貫通電極252の一方の端部は、第2半導体層50の裏面S4から第3配線層60側へ突き出ている。放熱用非貫通電極252の他方の端部は、第2半導体層50の主面S3から第2配線層40側へ突き出ていない。放熱用非貫通電極252の他方の端部は、第2半導体層50の厚さ方向(例えば、Z軸方向)の途中位置に存在する。放熱用非貫通電極252は、第2半導体層50の裏面S4に開口する開口部に絶縁膜251を介して埋め込むように形成されている。
 放熱用非貫通電極252は、第2半導体層50よりも熱伝導率が高い材料で構成されている。例えば、第2半導体層50はシリコン(Si)で構成されている。放熱用非貫通電極252は、Siよりも熱伝導率が高い材料で構成されている。Siよりも熱伝導率が高い材料として、例えば、銅(Cu)若しくはCu合金、アルミニウム(Al)若しくはAl合金、又は、タングステン(W)が挙げられる。例えば、タングステン(W)は、熱伝導率が高く、しかも、アスペクト比の高い穴に対する埋込性が良好な導電材料であるため、放熱用非貫通電極252を構成する材料として好ましい。
 積層部201の厚さ方向(例えば、Z軸方向)からの平面視で、放熱用非貫通電極252の形状は特に限定されないが、一例を挙げると、ドット状である。
(5)放熱用非貫通電極の配置例
 図6に示すように、放熱用非貫通電極252は、画素領域2A及び周辺領域2Bの両方にそれぞれ配置されている。または、放熱用非貫通電極252は、画素領域2Aのみに配置されていてもよいし、周辺領域2Bのみに配置されていてもよい。つまり、放熱用非貫通電極252は、画素領域2A及び周辺領域2Bの少なくとも一方に配置されていればよい。これにより、第2半導体層50の放熱性を高めることができる。
 放熱用非貫通電極252は、スクライブ領域R2(図5参照)には、配置されていないことが好ましい。スクライブ領域R2に放熱用非貫通電極252が配置されていると、ダイシングの際に放熱用非貫通電極252がダイシングブレードと接触して、ダイシングブレードの刃が目詰まりし易くなる。この目詰まりを防止、抑制する観点から、放熱用非貫通電極252はスクライブ領域R2に配置されていないことが好ましい。
 また、放熱用非貫通電極252は、発熱源となる回路に近い位置では密に配置し、発熱源となる回路から遠い位置では疎となるように配置してもよい。この点について、図7を参照しながら具体的に説明する。
 図7に示すように、光検出装置1において、第2基板部120には、高発熱回路HFCと低発熱回路LFCとが配置されている。上述したように、図2に示したロジック回路13及び図3に示した読出し回路15のうち、第2基板部120の第2半導体層50に設けられた回路の少なくとも一部が、本開示の「第1回路」の一例となる。第2基板部120に配置された高発熱回路HFCと低発熱回路LFCは、この第1回路の少なくとも一部である。高発熱回路HFCは、ロジック回路13及び読出し回路15の駆動時に主たる発熱源となる回路である。第2基板部120の低発熱回路LFCは、ロジック回路13及び読出し回路15の駆動時に高発熱回路HFCよりも発熱温度が低い回路である。一般に、消費電力の高い回路ほど、発熱温度が高くなる傾向がある。
 同様に、図2に示したロジック回路13及び図3に示した読出し回路15のうち、第3基板部130の第3半導体層80に設けられた回路の少なくとも一部が、本開示の「第2回路」の一例となる。第3基板部130に配置された低発熱回路LFCは、この第2回路の少なくとも一部である。第3基板部130の低発熱回路LFCも、ロジック回路13及び読出し回路15の駆動時に高発熱回路HFCよりも発熱温度が低い回路である。
 放熱用非貫通電極252は、積層部201の厚さ方向(例えば、Z軸方向)において、低発熱回路LTCと向かい合う位置よりも高発熱回路HTCと向かい合う位置に密に配置されていることが好ましい。例えば、図7のZ軸方向からの平面視で、第2基板部120の低発熱回路LFCと重なる位置よりも、第2基板部120の高発熱回路HFCと重なる位置に、放熱用非貫通電極252が密に配置されていることが好ましい。これにより、高発熱回路HFCで生じた熱を、放熱用非貫通電極252を介して、第2基板部120の直下に位置する第3基板部130に効率良く放熱することが可能となる。
 熱は前後左右(平面)に伝搬するため、平面方向へ伝搬する前に上下方向に放熱するのが望ましい。上記のように、第2基板部120の高発熱回路HFCと重なる位置に、放熱用非貫通電極252が密に配置されていれば、平面方向へ伝搬する前に下方向に放熱することができる。
(製造方法)
 次に、本開示の実施形態1に係る光検出装置1の製造方法を説明する。なお、光検出装置1は、成膜装置(CVD(chemical vapor deposition)装置、スパッタ装置を含む)、露光装置、エッチング装置、CMP(Chemical Mechanical Polishing)装置、貼り合わせ装置など、各種の装置を用いて製造される。以下、これらの装置を、製造装置と総称する。
 図8Aから図8Iは、本開示の実施形態1に係る光検出装置1の製造方法を示す断面図である。図8Aに示すように、製造装置は、第1基板部110を製造する。図8Aに示すように、第1基板部110において、接続パッド33は絶縁膜31の表面に露出している。また、図8Bに示すように、製造装置は、第1基板部110とは別に、第2基板部120を製造する。図8Bに示すように、第2基板部120において、接続パッド43は絶縁膜41の表面に露出している。
 次に、図8Cに示すように、製造装置は、第1基板部110の接続パッド33が形成されている面と、第2基板部120の接続パッド43が形成されている面とを互いに向い合せ、この状態で第1基板部110と第2基板部120とを貼り合わせる。この貼り合わせにより、絶縁膜31の表面と絶縁膜41の表面とが互いに向かい合って接合される。また、絶縁膜31の表面に露出している接続パッド33と、絶縁膜41の表面に露出している接続パッド43とが互いに向かい合ってCu-Cu接合される。
 図8Dは、図8Cを上下反転し、さらに左右反転させた図(すなわち、180°回転した図)である。図8Dに示すように、製造装置は、第2基板部120の裏面S4上に第3配線層60を構成する絶縁膜61の一部を形成する。次に、製造装置は、フォトリソグラフィ技術及びドライエッチング技術を用いて、絶縁膜61の一部と第2半導体層50とに、第2半導体層50を貫通する貫通孔H1を形成する。また、この貫通孔H1の形成工程と前後して、製造装置は、フォトリソグラフィ技術及びドライエッチング技術を用いて、絶縁膜61の一部と第2半導体層50とに、第2半導体層50を底面とする開口部H2を形成する。開口部H2は非貫通孔であり、第2半導体層50の厚さ方向の途中位置まで形成される。
 次に、製造装置は、第2基板部120の裏面S4側に金属膜を成膜して貫通孔H1と開口部H2とを埋め込む。金属膜は、第2半導体層50を構成する材料よりも導電性が高い材料である。例えば、第2半導体層50がシリコンで構成される場合、金属膜は、銅(Cu)若しくはCu合金、アルミニウム(Al)若しくはAl合金、又は、タングステン(W)が挙げられる。
 次に、製造装置は、金属膜にCMPによる平坦化処理を施して、第3配線層60の絶縁膜61上から金属膜を除去する。これにより、図8Eに示すように、製造装置は、金属膜から、貫通電極152と放熱用非貫通電極252とを形成する。
 次に、図8Fに示すように、製造装置は、絶縁膜61の他の一部と配線62とを形成し、さらに接続パッド63を形成する。接続パッド63は絶縁膜61の表面に露出している。
 図8Gは、図8Fを上下反転し、さらに左右反転させた図(すなわち、180°回転した図)である。図8Gに示すように、製造装置は、第1半導体層20の裏面S2にCMP処理を施して、裏面S2を平坦化する。これにより、第1半導体層20は薄層化される。
 次に、図8Hに示すように、製造装置は、第1基板部110及び第2基板部120とは別に製造された第3基板部130を第2基板部120に貼り合わせる。例えば、製造装置は、第2基板部120の接続パッド63が形成されている面と、第3基板部130の接続パッド73が形成されている面とを互いに向い合せ、この状態で第2基板部120と第3基板部130とを貼り合わせる。この貼り合わせにより、絶縁膜61の表面と絶縁膜71の表面とが互いに向かい合って接合される。また、絶縁膜61の表面に露出している接続パッド63と、絶縁膜71の表面に露出している接続パッド73とが互いに向かい合ってCu-Cu接合される。
 次に、図8Iに示すように、製造装置は、第1基板部110の裏面S2側にカラーフィルタ91と、オンチップレンズ92とを形成する。次に、製造装置は、第1基板部110及び第2基板部120にパッド開口部H3を形成する。パッド開口部H3は、第1基板部110を貫通し、ボンディングパッド14を底面とする。次に、製造装置は、パッド開口部H3から露出しているボンディングパッド14に、金(Au)等の導電性材料で構成されるボンディングワイヤーBWの一端を接合する。このような工程を経て、光検出装置1が完成する。
(実施形態1の効果)
 以上説明したように、本開示の実施形態1に係る光検出装置1は、第1基板部110と、第1基板部110の一方の面側に設けられた第2基板部120と、第2基板部120を介して第1基板部110の一方の面側に設けられた第3基板部130とを有する積層部201と、積層部201内に設けられ、第2基板部120に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された複数の放熱用セル250と、を備える。
 第1基板部110は、主面S1及び主面S1の反対側に位置する裏面S2とを有し、光電変換素子PDが設けられた第1半導体層20と、第1半導体層20の主面S1側に設けられた第1配線層30とを有する。第2基板部120は、第1半導体層20と向かい合う主面S3及び主面S3の反対側に位置する裏面S4とを有する第2半導体層50と、第2半導体層50の主面S3側に設けられた第2配線層40と、第2半導体層50の裏面S4側に設けられた第3配線層60とを有する。第3基板部130は、第2半導体層50と向かい合う主面S5を有する第3半導体層80と、第3半導体層80の主面S5側に設けられた第4配線層70とを有する。放熱用セル250は、第2半導体層50に少なくとも一部が埋設された放熱用非貫通電極252を有する。
 これによれば、第1基板部110と第3基板部130との間に位置する第2基板部120において、第1回路が発熱源となる場合でも、第1回路から発生した熱を放熱用非貫通電極252を介して、第3基板部130に効率良く放熱することができる。これにより、第2基板部120の蓄熱により発生する熱ノイズや白浮きを抑制することができる。光検出装置1を構成する基板部の積層数が3層以上に多層化される場合でも、光検出装置1の特性の低下を抑制することができる。
 本開示の実施形態1に係る積層基板200は、積層部201と、積層部201内に設けられた複数の放熱用セル250と、を備える。積層部201は、画素領域2Aと、積層部201の厚さ方向からの平面視で画素領域2Aの周辺に位置する周辺領域2Bと、周辺領域2Bを介して画素領域2Aの外側に位置するスクライブ領域R2とを有する。放熱用セル250が有する放熱用非貫通電極252は、画素領域2A及び周辺領域2Bの少なくとも一方に配置され、かつ、スクライブ領域R2には配置されていない。
 これによれば、積層基板200をスクライブ領域R2に沿ってダイシングすることで、上記の光検出装置1を得ることができる。光検出装置1は、第2基板部120の蓄熱により発生する熱ノイズや白浮きを抑制することができる。基板部の積層数が3層以上に多層化される場合でも、光検出装置1の特性の低下を抑制することができる。
 また、積層基板200のスクライブ領域R2には放熱用電極(例えば、放熱用非貫通電極252や、後述の放熱用貫通電極)が配置されていない。これにより、積層基板200をダイシングする際に、ダイシングブレードが放熱用電極と接触して、刃が目詰まりすることを防ぐことができる。これにより、ダイシングブレードの寿命低下を抑制することができる。
<実施形態2>
 上記の実施形態1では、本開示の「放熱用電極」として、第3基板部130と向かい合うように配置された放熱用非貫通電極252を用いることを説明した。しかしながら、本開示の「放熱用電極」は非貫通に限定されない。本開示の「放熱用電極」は、第2半導体層50を貫通する放熱用貫通電極であってもよい。
 図9は、本開示の実施形態2に係る光検出装置1Aの構成例を示す断面図である。図9に示すように、実施形態2に係る光検出装置1Aにおいて、放熱用セル250は、第2半導体層50に埋設され、第2半導体層50の主面S3 と裏面S4との間を貫通する放熱用貫通電極262(本開示の「放熱用電極」の一例)を有する。放熱用貫通電極262は、第2基板部120に設けられた第1回路及び第3基板部130に設けられた第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定された、放熱用のダミー電極である。
 放熱用貫通電極262は、第2半導体層50に埋め込まれた貫通導体(電極)である。例えば、放熱用貫通電極262の一方の端部は、第2半導体層50の裏面S4から第3配線層60側へ突き出ている。放熱用貫通電極262の他方の端部は、第2半導体層50の主面S3から第2配線層40側へ突き出ている。放熱用貫通電極262は、第2半導体層50を厚さ方向(例えば、Z軸方向)に貫通する貫通孔に絶縁膜261を介して埋め込むように形成されている。
 放熱用貫通電極262は、第2半導体層50よりも熱伝導率が高い材料で構成されている。例えば、第2半導体層50はシリコン(Si)で構成されている。放熱用貫通電極262は、Siよりも熱伝導率が高い材料で構成されている。Siよりも熱伝導率が高い材料として、例えば、銅(Cu)若しくはCu合金、アルミニウム(Al)若しくはAl合金、又は、タングステン(W)が挙げられる。例えば、タングステン(W)は、熱伝導率が高く、しかも、アスペクト比の高い穴に対する埋込性が良好な導電材料であるため、放熱用貫通電極262を構成する材料として好ましい。
 積層部201の厚さ方向(例えば、Z軸方向)からの平面視で、放熱用貫通電極262の形状は特に限定されないが、一例を挙げると、ドット状である。
 図9に示すように、放熱用貫通電極262は、画素領域2A及び周辺領域2Bの両方にそれぞれ配置されている。または、放熱用貫通電極262は、画素領域2Aのみに配置されていてもよいし、周辺領域2Bのみに配置されていてもよい。つまり、放熱用貫通電極262は、画素領域2A及び周辺領域2Bの少なくとも一方に配置されていればよい。これにより、第2半導体層50の放熱性を高めることができる。
 放熱用貫通電極262は、スクライブ領域R2(図5参照)には、配置されていないことが好ましい。スクライブ領域R2に放熱用貫通電極262が配置されていると、ダイシングの際に放熱用貫通電極262がダイシングブレードの刃と接触して、刃が目詰まりし易くなる。この目詰まりを防止、抑制する観点から、放熱用貫通電極262はスクライブ領域に配置されていないことが好ましい。
 また、放熱用貫通電極262は、発熱源となる回路に近い位置では密に配置し、発熱源となる回路から遠い位置では疎となるように配置してもよい。例えば、放熱用貫通電極262は、積層部201の厚さ方向(例えば、Z軸方向)において、低発熱回路LTC(図8参照)と向かい合う位置よりも高発熱回路HTC(図8参照)と向かい合う位置に密に配置されていることが好ましい。
 一例を挙げると、図8のZ軸方向からの平面視で、第2基板部120の低発熱回路LFCと重なる位置よりも、第2基板部120の高発熱回路HFCと重なる位置に、放熱用貫通電極262が密に配置されていることが好ましい。これにより、高発熱回路HFCで生じた熱を、放熱用貫通電極262を介して、第2基板部120の上下に位置する第1基板部110と第3基板部130とに効率良く放熱することが可能となる。
 熱は前後左右(平面)に伝搬するため、平面方向へ伝搬する前に上下方向に放熱するのが望ましい。上記のように、第2基板部120の高発熱回路HFCと重なる位置に、放熱用貫通電極262が密に配置されていれば、平面方向へ伝搬する前に上下方向に放熱することができる。
 以上説明したように、本開示の実施形態2に係る光検出装置1Aよれば、放熱用セル250は、第2半導体層50に埋設され、第2半導体層50の主面S3 と裏面S4との間を貫通する放熱用貫通電極262を有する。放熱用貫通電極262は、第2基板部120に設けられた第1回路及び第3基板部130に設けられた第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定されている。
 これによれば、第2基板部120の第1回路が発熱源となる場合でも、第1回路から発生した熱を放熱用貫通電極262を介して、第1基板部110と第3基板部130とに効率良く放熱することができる。これにより、第2基板部120の蓄熱により発生する熱ノイズや白浮きをさらに抑制することができる。光検出装置1Aを構成する基板部の積層数が3層以上に多層化される場合でも、光検出装置1Aの特性の低下を抑制することができる。
<実施形態3>
 本開示の実施形態において、放熱用セル250は、実施形態1で説明した放熱用非貫通電極252と、実施形態2で説明した放熱用貫通電極262の両方を有してもよい。また、放熱用セル250は、放熱用のダミー配線や、放熱用のダミー接続パッドを有してもよい。
 図10は、本開示の実施形態3に係る光検出装置1Bの構成例を示す断面図である。図10に示すように、実施形態3に係る光検出装置1Bにおいて、放熱用セル250は、第2半導体層50の裏面S4の側から第2半導体層50内に埋め込まれた放熱用非貫通電極252と、第2半導体層50に埋設され、第2半導体層50の主面S3と裏面S4との間を貫通する放熱用貫通電極262と、を有する。放熱用非貫通電極252及び放熱用貫通電極262の各々が、本開示の「放熱用電極」の一例となる。放熱用非貫通電極252及び放熱用貫通電極262はそれぞれ、第2基板部120に設けられた第1回路及び第3基板部130に設けられた第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定されている。
 また、図10に示すように、放熱用セル250は、放熱用配線321、421、621、721や、放熱用接続パッド331、431、631、731の少なくとも一つ以上を有してもよい。放熱用配線321、421、621、721は、第2基板部120に設けられた第1回路及び第3基板部130に設けられた第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定された、放熱用のダミー配線である。同様に、放熱用接続パッド331、431、631、731は、第1回路及び第2回路の両方から絶縁され、電気的に浮遊又は基準電位に固定された、放熱用のダミー接続パッドである。
 放熱用配線321、421、621、721は、例えば、銅(Cu)若しくはCu合金、アルミニウム(Al)若しくはAl合金で構成されている。放熱用接続パッド331、431、631、731は、例えば、Cu若しくはCu合金で構成されている。
 一例を挙げると、放熱用配線321は、第1配線層30に設けられており、配線32と同一工程で同時に形成される。放熱用接続パッド331は、第1配線層30内に設けられており、接続パッド33と同一工程で同時に形成される。放熱用接続パッド431は、第2配線層40内に設けられており、接続パッド43と同一工程で同時に形成される。放熱用配線421は、第2配線層40内に設けられており、配線42と同一工程で同時に形成される。
 また、放熱用配線621は、第3配線層60内に設けられており、配線62と同一工程で同時に形成される。放熱用接続パッド631は、第3配線層60内に設けられており、接続パッド63と同一工程で同時に形成される。放熱用接続パッド731は、第4配線層70内に設けられており、接続パッド73と同一工程で同時に形成される。放熱用配線721は、第4配線層70内に設けられており、配線72と同一工程で同時に形成される。
 放熱用配線621は、放熱用貫通電極262の一端に接続している。また、放熱用配線421は、放熱用貫通電極262の他端に接続している。
 放熱用接続パッド331、431は、接続パッド33、43と同様に、互いにCu-Cu接合されている。放熱性を高める観点から、放熱用接続パッド331、431は、積層部201の厚さ方向(例えば、Z軸方向)において、放熱用貫通電極262と重なる位置に配置されていることが好ましい。また、同観点から、放熱用接続パッド431は放熱用配線421と接続していることが好ましく、放熱用接続パッド331は放熱用配線321と接続していることが好ましい。
 放熱用接続パッド631、731は、接続パッド63、73と同様に、互いにCu-Cu接合されている。放熱性を高める観点から、放熱用接続パッド631、731は、積層部201の厚さ方向(例えば、Z軸方向)において、放熱用貫通電極262と重なる位置に配置されていることが好ましい。また、同観点から、放熱用接続パッド631は放熱用配線621と接続していることが好ましく、放熱用接続パッド731は放熱用配線721と接続していることが好ましい。
 この例では、放熱用配線421が本開示の「第1放熱用配線」の一例となる。放熱用配線621が本開示の「第2放熱用配線」の一例となる。放熱用配線721が本開示の「第3放熱用配線」の一例となる。
 また、放熱用接続パッド631は、第3配線層60と第4配線層70との境界部BRの第3配線層60側に位置する。放熱用接続パッド631が本開示の「第1放熱用接続パッド」の一例となる。放熱用接続パッド731は、上記境界部BRの第4配線層70側に位置する。放熱用接続パッド731が本開示の「第2放熱用接続パッド」の一例となる。
 また、この実施形態3のように、放熱用セル250が、放熱用非貫通電極252と放熱用貫通電極262とを有する場合、画素領域2Aには放熱用非貫通電極252が配置され、周辺領域2Bには放熱用貫通電極262が配置されるようにしてもよい。これによれば、画素領域2Aに放熱用貫通電極262を配置する場合と比べて、画素領域2Aの主面S3側に素子(例えば、回路を構成するトランジスタ等)を配置するスペースを確保することが容易となる。
 以上の構成を有する光検出装置1Bは、上記の光検出装置1、1Aと同様の効果を奏する。例えば、第2基板部120の第1回路が発熱源となる場合でも、第1回路から発生した熱を、放熱用非貫通電極252、放熱用貫通電極262、放熱用配線321、421、621、721、放熱用接続パッド331、431、631、731等を介して、第1基板部110と第3基板部130とに効率良く放熱することができる。これにより、第2基板部120の蓄熱により発生する熱ノイズや白浮きをさらに抑制することができる。光検出装置1Bを構成する基板部の積層数が3層以上に多層化される場合でも、光検出装置1Bの特性の低下を抑制することができる。
<実施形態4>
 本開示の実施形態において、放熱用セル250は、放熱用のダミーボンディングパッドや、放熱用のダミーボンディングワイヤーを有してもよい。
 図11は、本開示の実施形態4に係る光検出装置1Cの構成例を示す断面図である。図11に示すように、実施形態4に係る光検出装置1Cにおいて、放熱用セル250は、放熱用ボンディングパッド141と、通し、放熱用ボンディングパッド141を底面に露出する放熱用パッド開口部H31と、放熱用ボンディングワイヤーBW1とを有する。
 放熱用ボンディングパッド141は、第2基板部120に設けられた第1回路及び第3基板部130に設けられた第2回路の両方から絶縁され、電気的に浮遊又は基準電位(例えば、接地電位(0V))に固定された、放熱用のダミーボンディングパッドである。同様に、放熱用ボンディングワイヤーBW1は、第1回路及び第2回路の両方から絶縁され、電気的に浮遊又は基準電位に固定された、放熱用のダミーボンディングワイヤーである。
 放熱用ボンディングパッド141は、例えば、アルミニウム(Al)若しくはAl合金で構成されている。放熱用ボンディングパッド141は、第2配線層40内に設けられており、配線32の一部と同一工程で同時に形成される。放熱用ボンディングワイヤーBW1は、例えば、金(Au)等の導電性材料で構成されている。放熱用ボンディングワイヤーBW1の一端は、放熱用パッド開口部H31を通して、放熱用ボンディングパッド141に接合されている。
 放熱性を高める観点から、放熱用ボンディングパッド141は、積層部201の厚さ方向(例えば、Z軸方向)において、放熱用貫通電極262と重なる位置に配置されていることが好ましい。また、同観点から、放熱用ボンディングパッド141は、放熱用配線421に接続していることが好ましい。
 以上の構成を有する光検出装置1Cは、上記の光検出装置1、1A、1Bと同様の効果を奏する。また、第2基板部120から第1基板部110に放散された熱を、放熱用ボンディングパッド141や放熱用ボンディングワイヤーBW1を介して、光検出装置1Cの外部へ効率良く放熱することができる。これにより、第2基板部120の蓄熱により発生する熱ノイズや白浮きをさらに抑制することができる。光検出装置1Cを構成する基板部の積層数が3層以上に多層化される場合でも、光検出装置1Cの特性の低下を抑制することができる。
<実施形態5>
 本開示の実施形態において、放熱用セル250は、第1基板部110を貫通する放熱用のスリットや、第1基板部110及び第2基板部120を厚さ方向(例えば、Z軸方向)に連続して貫通する放熱用のスリットを有してもよい。
 図12は、本開示の実施形態5に係る光検出装置1Dの構成例を示す断面図である。図12に示すように、実施形態5に係る光検出装置1Dにおいて、放熱用セル250は、放熱用スリットSL1、SL2を有する。放熱用スリットSL1は、積層部201の厚さ方向(例えば、Z軸方向)において、第1基板部110を貫通しており、放熱用配線421の一部であるダミーパッド部421Pを底面としている。放熱用スリットSL2は、Z軸方向において、第1基板部110及び第2基板部120を連続して貫通しており、放熱用配線721の一部であるダミーパッド部721Pを底面としている。
 放熱用スリットSL1、SL2のZ軸方向からの平面視による形状は特に限定されないが、一例を挙げると、画素領域2Aを連続して囲む枠体の形状を有する。この場合、ダミーパッド部421P、721PのZ軸方向からの平面視による形状も、画素領域2Aを連続して囲む枠体の形状であることが好ましい。これにより、放熱用スリットSL1、SL2の底面は全て、ダミーパッド部421P、721Pとなる。ダミーパッド部421P、721P及び放熱用スリットSL1、SL2を介して、第2半導体層50は放熱することができる。
 あるいは、放熱用スリットSL1、SL2のZ軸方向からの平面視による形状は、画素領域2Aを断続的に囲む枠体の形状であってもよい。また、ダミーパッド部421P、721PのZ軸方向からの平面視による形状も、画素領域2Aを断続的に囲む枠体の形状であってもよい。放熱用スリットSL1、SL2の底面がダミーパッド部421P、721Pとなるように、ダミーパッド部421P、721Pに対して放熱用スリットSL1、SL2の形成位置を調整してもよい。このような構成であっても、ダミーパッド部421P、721P及び放熱用スリットSL1、SL2を介して、第2半導体層50は放熱することができる。
 なお、実施形態5においても、放熱用貫通電極262のZ軸方向からの平面視による形状は特に限定されないが、一例を挙げると、ドット状である。
 以上の構成を有する光検出装置1Dは、上記の光検出装置1、1A、1B、1Cと同様の効果を奏する。また、第2基板部120から第1基板部110や第3基板部130に放散された熱を、放熱用スリットSL1、SL2を介して、光検出装置1Dの外部へ効率良く放熱することができる。これにより、第2基板部120の蓄熱により発生する熱ノイズや白浮きをさらに抑制することができる。光検出装置1Dを構成する基板部の積層数が3層以上に多層化される場合でも、光検出装置1Dの特性の低下を抑制することができる。
<実施形態6>
 上記の実施形態5では、放熱用セル250が、放熱用貫通電極262と放熱用スリットSL1、SL2を有することを説明した。本開示の実施形態では、これらに放熱用非貫通電極252を組み合わせてもよい。
 図13は、本開示の実施形態6に係る光検出装置1Eの構成例を示す断面図である。図13に示すように、実施形態5に係る光検出装置1Eにおいて、放熱用セル250は、放熱用非貫通電極252と、放熱用貫通電極262と、放熱用スリットSL1、SL2とを有する。このような構成であっても、上記の光検出装置1、1A、1B、1C、1Dと同様の効果を奏する。放熱用貫通電極262、放熱用スリットSL1、SL2に放熱用非貫通電極252を組み合わせることで、第2基板部120から第3基板部130への放熱性をさらに高めることができる。
<内視鏡手術システムへの応用例>
 本開示に係る技術(本技術)は、様々な製品へ応用することができる。例えば、本開示に係る技術は、内視鏡手術システムに適用されてもよい。
 図14は、本開示に係る技術(本技術)が適用され得る内視鏡手術システムの概略的な構成の一例を示す図である。
 図14では、術者(医師)11131が、内視鏡手術システム11000を用いて、患者ベッド11133上の患者11132に手術を行っている様子が図示されている。図示するように、内視鏡手術システム11000は、内視鏡11100と、気腹チューブ11111やエネルギー処置具11112等の、その他の術具11110と、内視鏡11100を支持する支持アーム装置11120と、内視鏡下手術のための各種の装置が搭載されたカート11200と、から構成される。
 内視鏡11100は、先端から所定の長さの領域が患者11132の体腔内に挿入される鏡筒11101と、鏡筒11101の基端に接続されるカメラヘッド11102と、から構成される。図示する例では、硬性の鏡筒11101を有するいわゆる硬性鏡として構成される内視鏡11100を図示しているが、内視鏡11100は、軟性の鏡筒を有するいわゆる軟性鏡として構成されてもよい。
 鏡筒11101の先端には、対物レンズが嵌め込まれた開口部が設けられている。内視鏡11100には光源装置11203が接続されており、当該光源装置11203によって生成された光が、鏡筒11101の内部に延設されるライトガイドによって当該鏡筒の先端まで導光され、対物レンズを介して患者11132の体腔内の観察対象に向かって照射される。なお、内視鏡11100は、直視鏡であってもよいし、斜視鏡又は側視鏡であってもよい。
 カメラヘッド11102の内部には光学系及び撮像素子が設けられており、観察対象からの反射光(観察光)は当該光学系によって当該撮像素子に集光される。当該撮像素子によって観察光が光電変換され、観察光に対応する電気信号、すなわち観察像に対応する画像信号が生成される。当該画像信号は、RAWデータとしてカメラコントロールユニット(CCU: Camera Control Unit)11201に送信される。
 CCU11201は、CPU(Central Processing Unit)やGPU(Graphics Processing Unit)等によって構成され、内視鏡11100及び表示装置11202の動作を統括的に制御する。さらに、CCU11201は、カメラヘッド11102から画像信号を受け取り、その画像信号に対して、例えば現像処理(デモザイク処理)等の、当該画像信号に基づく画像を表示するための各種の画像処理を施す。
 表示装置11202は、CCU11201からの制御により、当該CCU11201によって画像処理が施された画像信号に基づく画像を表示する。
 光源装置11203は、例えばLED(Light Emitting Diode)等の光源から構成され、術部等を撮影する際の照射光を内視鏡11100に供給する。
 入力装置11204は、内視鏡手術システム11000に対する入力インタフェースである。ユーザは、入力装置11204を介して、内視鏡手術システム11000に対して各種の情報の入力や指示入力を行うことができる。例えば、ユーザは、内視鏡11100による撮像条件(照射光の種類、倍率及び焦点距離等)を変更する旨の指示等を入力する。
 処置具制御装置11205は、組織の焼灼、切開又は血管の封止等のためのエネルギー処置具11112の駆動を制御する。気腹装置11206は、内視鏡11100による視野の確保及び術者の作業空間の確保の目的で、患者11132の体腔を膨らめるために、気腹チューブ11111を介して当該体腔内にガスを送り込む。レコーダ11207は、手術に関する各種の情報を記録可能な装置である。プリンタ11208は、手術に関する各種の情報を、テキスト、画像又はグラフ等各種の形式で印刷可能な装置である。
 なお、内視鏡11100に術部を撮影する際の照射光を供給する光源装置11203は、例えばLED、レーザ光源又はこれらの組み合わせによって構成される白色光源から構成することができる。RGBレーザ光源の組み合わせにより白色光源が構成される場合には、各色(各波長)の出力強度及び出力タイミングを高精度に制御することができるため、光源装置11203において撮像画像のホワイトバランスの調整を行うことができる。また、この場合には、RGBレーザ光源それぞれからのレーザ光を時分割で観察対象に照射し、その照射タイミングに同期してカメラヘッド11102の撮像素子の駆動を制御することにより、RGBそれぞれに対応した画像を時分割で撮像することも可能である。当該方法によれば、当該撮像素子にカラーフィルタを設けなくても、カラー画像を得ることができる。
 また、光源装置11203は、出力する光の強度を所定の時間ごとに変更するようにその駆動が制御されてもよい。その光の強度の変更のタイミングに同期してカメラヘッド11102の撮像素子の駆動を制御して時分割で画像を取得し、その画像を合成することにより、いわゆる黒つぶれ及び白とびのない高ダイナミックレンジの画像を生成することができる。
 また、光源装置11203は、特殊光観察に対応した所定の波長帯域の光を供給可能に構成されてもよい。特殊光観察では、例えば、体組織における光の吸収の波長依存性を利用して、通常の観察時における照射光(すなわち、白色光)に比べて狭帯域の光を照射することにより、粘膜表層の血管等の所定の組織を高コントラストで撮影する、いわゆる狭帯域光観察(Narrow Band Imaging)が行われる。あるいは、特殊光観察では、励起光を照射することにより発生する蛍光により画像を得る蛍光観察が行われてもよい。蛍光観察では、体組織に励起光を照射し当該体組織からの蛍光を観察すること(自家蛍光観察)、又はインドシアニングリーン(ICG)等の試薬を体組織に局注するとともに当該体組織にその試薬の蛍光波長に対応した励起光を照射し蛍光像を得ること等を行うことができる。光源装置11203は、このような特殊光観察に対応した狭帯域光及び/又は励起光を供給可能に構成され得る。
 図15は、図14に示すカメラヘッド11102及びCCU11201の機能構成の一例を示すブロック図である。
 カメラヘッド11102は、レンズユニット11401と、撮像部11402と、駆動部11403と、通信部11404と、カメラヘッド制御部11405と、を有する。CCU11201は、通信部11411と、画像処理部11412と、制御部11413と、を有する。カメラヘッド11102とCCU11201とは、伝送ケーブル11400によって互いに通信可能に接続されている。
 レンズユニット11401は、鏡筒11101との接続部に設けられる光学系である。鏡筒11101の先端から取り込まれた観察光は、カメラヘッド11102まで導光され、当該レンズユニット11401に入射する。レンズユニット11401は、ズームレンズ及びフォーカスレンズを含む複数のレンズが組み合わされて構成される。
 撮像部11402は、撮像素子で構成される。撮像部11402を構成する撮像素子は、1つ(いわゆる単板式)であってもよいし、複数(いわゆる多板式)であってもよい。撮像部11402が多板式で構成される場合には、例えば各撮像素子によってRGBそれぞれに対応する画像信号が生成され、それらが合成されることによりカラー画像が得られてもよい。あるいは、撮像部11402は、3D(Dimensional)表示に対応する右目用及び左目用の画像信号をそれぞれ取得するための1対の撮像素子を有するように構成されてもよい。3D表示が行われることにより、術者11131は術部における生体組織の奥行きをより正確に把握することが可能になる。なお、撮像部11402が多板式で構成される場合には、各撮像素子に対応して、レンズユニット11401も複数系統設けられ得る。
 また、撮像部11402は、必ずしもカメラヘッド11102に設けられなくてもよい。例えば、撮像部11402は、鏡筒11101の内部に、対物レンズの直後に設けられてもよい。
 駆動部11403は、アクチュエータによって構成され、カメラヘッド制御部11405からの制御により、レンズユニット11401のズームレンズ及びフォーカスレンズを光軸に沿って所定の距離だけ移動させる。これにより、撮像部11402による撮像画像の倍率及び焦点が適宜調整され得る。
 通信部11404は、CCU11201との間で各種の情報を送受信するための通信装置によって構成される。通信部11404は、撮像部11402から得た画像信号をRAWデータとして伝送ケーブル11400を介してCCU11201に送信する。
 また、通信部11404は、CCU11201から、カメラヘッド11102の駆動を制御するための制御信号を受信し、カメラヘッド制御部11405に供給する。当該制御信号には、例えば、撮像画像のフレームレートを指定する旨の情報、撮像時の露出値を指定する旨の情報、並びに/又は撮像画像の倍率及び焦点を指定する旨の情報等、撮像条件に関する情報が含まれる。
 なお、上記のフレームレートや露出値、倍率、焦点等の撮像条件は、ユーザによって適宜指定されてもよいし、取得された画像信号に基づいてCCU11201の制御部11413によって自動的に設定されてもよい。後者の場合には、いわゆるAE(Auto Exposure)機能、AF(Auto Focus)機能及びAWB(Auto White Balance)機能が内視鏡11100に搭載されていることになる。
 カメラヘッド制御部11405は、通信部11404を介して受信したCCU11201からの制御信号に基づいて、カメラヘッド11102の駆動を制御する。
 通信部11411は、カメラヘッド11102との間で各種の情報を送受信するための通信装置によって構成される。通信部11411は、カメラヘッド11102から、伝送ケーブル11400を介して送信される画像信号を受信する。
 また、通信部11411は、カメラヘッド11102に対して、カメラヘッド11102の駆動を制御するための制御信号を送信する。画像信号や制御信号は、電気通信や光通信等によって送信することができる。
 画像処理部11412は、カメラヘッド11102から送信されたRAWデータである画像信号に対して各種の画像処理を施す。
 制御部11413は、内視鏡11100による術部等の撮像、及び、術部等の撮像により得られる撮像画像の表示に関する各種の制御を行う。例えば、制御部11413は、カメラヘッド11102の駆動を制御するための制御信号を生成する。
 また、制御部11413は、画像処理部11412によって画像処理が施された画像信号に基づいて、術部等が映った撮像画像を表示装置11202に表示させる。この際、制御部11413は、各種の画像認識技術を用いて撮像画像内における各種の物体を認識してもよい。例えば、制御部11413は、撮像画像に含まれる物体のエッジの形状や色等を検出することにより、鉗子等の術具、特定の生体部位、出血、エネルギー処置具11112の使用時のミスト等を認識することができる。制御部11413は、表示装置11202に撮像画像を表示させる際に、その認識結果を用いて、各種の手術支援情報を当該術部の画像に重畳表示させてもよい。手術支援情報が重畳表示され、術者11131に提示されることにより、術者11131の負担を軽減することや、術者11131が確実に手術を進めることが可能になる。
 カメラヘッド11102及びCCU11201を接続する伝送ケーブル11400は、電気信号の通信に対応した電気信号ケーブル、光通信に対応した光ファイバ、又はこれらの複合ケーブルである。
 ここで、図示する例では、伝送ケーブル11400を用いて有線で通信が行われていたが、カメラヘッド11102とCCU11201との間の通信は無線で行われてもよい。
 以上、本開示に係る技術が適用され得る内視鏡手術システムの一例について説明した。本開示に係る技術は、以上説明した構成のうち、例えば、内視鏡11100や、カメラヘッド11102(の撮像部11402)、CCU11201(の画像処理部11412)等に適用され得る。具体的には、光検出装置1、1A、1B、1C、1D、1Eは、撮像部10402に適用することができる。
 なお、ここでは、一例として内視鏡手術システムについて説明したが、本開示に係る技術は、その他、例えば、顕微鏡手術システム等に適用されてもよい。
<移動体への応用例>
 本開示に係る技術(本技術)は、様々な製品へ応用することができる。例えば、本開示に係る技術は、自動車、電気自動車、ハイブリッド電気自動車、自動二輪車、自転車、パーソナルモビリティ、飛行機、ドローン、船舶、ロボット等のいずれかの種類の移動体に搭載される装置として実現されてもよい。
 図16は、本開示に係る技術が適用され得る移動体制御システムの一例である車両制御システムの概略的な構成例を示すブロック図である。
 車両制御システム12000は、通信ネットワーク12001を介して接続された複数の電子制御ユニットを備える。図16に示した例では、車両制御システム12000は、駆動系制御ユニット12010、ボディ系制御ユニット12020、車外情報検出ユニット12030、車内情報検出ユニット12040、及び統合制御ユニット12050を備える。また、統合制御ユニット12050の機能構成として、マイクロコンピュータ12051、音声画像出力部12052、及び車載ネットワークI/F(interface)12053が図示されている。
 駆動系制御ユニット12010は、各種プログラムにしたがって車両の駆動系に関連する装置の動作を制御する。例えば、駆動系制御ユニット12010は、内燃機関又は駆動用モータ等の車両の駆動力を発生させるための駆動力発生装置、駆動力を車輪に伝達するための駆動力伝達機構、車両の舵角を調節するステアリング機構、及び、車両の制動力を発生させる制動装置等の制御装置として機能する。
 ボディ系制御ユニット12020は、各種プログラムにしたがって車体に装備された各種装置の動作を制御する。例えば、ボディ系制御ユニット12020は、キーレスエントリシステム、スマートキーシステム、パワーウィンドウ装置、あるいは、ヘッドランプ、バックランプ、ブレーキランプ、ウィンカー又はフォグランプ等の各種ランプの制御装置として機能する。この場合、ボディ系制御ユニット12020には、鍵を代替する携帯機から発信される電波又は各種スイッチの信号が入力され得る。ボディ系制御ユニット12020は、これらの電波又は信号の入力を受け付け、車両のドアロック装置、パワーウィンドウ装置、ランプ等を制御する。
 車外情報検出ユニット12030は、車両制御システム12000を搭載した車両の外部の情報を検出する。例えば、車外情報検出ユニット12030には、撮像部12031が接続される。車外情報検出ユニット12030は、撮像部12031に車外の画像を撮像させるとともに、撮像された画像を受信する。車外情報検出ユニット12030は、受信した画像に基づいて、人、車、障害物、標識又は路面上の文字等の物体検出処理又は距離検出処理を行ってもよい。
 撮像部12031は、光を受光し、その光の受光量に応じた電気信号を出力する光センサである。撮像部12031は、電気信号を画像として出力することもできるし、測距の情報として出力することもできる。また、撮像部12031が受光する光は、可視光であっても良いし、赤外線等の非可視光であっても良い。
 車内情報検出ユニット12040は、車内の情報を検出する。車内情報検出ユニット12040には、例えば、運転者の状態を検出する運転者状態検出部12041が接続される。運転者状態検出部12041は、例えば運転者を撮像するカメラを含み、車内情報検出ユニット12040は、運転者状態検出部12041から入力される検出情報に基づいて、運転者の疲労度合い又は集中度合いを算出してもよいし、運転者が居眠りをしていないかを判別してもよい。
 マイクロコンピュータ12051は、車外情報検出ユニット12030又は車内情報検出ユニット12040で取得される車内外の情報に基づいて、駆動力発生装置、ステアリング機構又は制動装置の制御目標値を演算し、駆動系制御ユニット12010に対して制御指令を出力することができる。例えば、マイクロコンピュータ12051は、車両の衝突回避あるいは衝撃緩和、車間距離に基づく追従走行、車速維持走行、車両の衝突警告、又は車両のレーン逸脱警告等を含むADAS(Advanced Driver Assistance System)の機能実現を目的とした協調制御を行うことができる。
 また、マイクロコンピュータ12051は、車外情報検出ユニット12030又は車内情報検出ユニット12040で取得される車両の周囲の情報に基づいて駆動力発生装置、ステアリング機構又は制動装置等を制御することにより、運転者の操作に拠らずに自律的に走行する自動運転等を目的とした協調制御を行うことができる。
 また、マイクロコンピュータ12051は、車外情報検出ユニット12030で取得される車外の情報に基づいて、ボディ系制御ユニット12020に対して制御指令を出力することができる。例えば、マイクロコンピュータ12051は、車外情報検出ユニット12030で検知した先行車又は対向車の位置に応じてヘッドランプを制御し、ハイビームをロービームに切り替える等の防眩を図ることを目的とした協調制御を行うことができる。
 音声画像出力部12052は、車両の搭乗者又は車外に対して、視覚的又は聴覚的に情報を通知することが可能な出力装置へ音声及び画像のうちの少なくとも一方の出力信号を送信する。図16の例では、出力装置として、オーディオスピーカ12061、表示部12062及びインストルメントパネル12063が例示されている。表示部12062は、例えば、オンボードディスプレイ及びヘッドアップディスプレイの少なくとも一つを含んでいてもよい。
 図17は、撮像部12031の設置位置の例を示す図である。
 図17では、車両12100は、撮像部12031として、撮像部12101,12102,12103,12104,12105を有する。
 撮像部12101,12102,12103,12104,12105は、例えば、車両12100のフロントノーズ、サイドミラー、リアバンパ、バックドア及び車室内のフロントガラスの上部等の位置に設けられる。フロントノーズに備えられる撮像部12101及び車室内のフロントガラスの上部に備えられる撮像部12105は、主として車両12100の前方の画像を取得する。サイドミラーに備えられる撮像部12102,12103は、主として車両12100の側方の画像を取得する。リアバンパ又はバックドアに備えられる撮像部12104は、主として車両12100の後方の画像を取得する。撮像部12101及び12105で取得される前方の画像は、主として先行車両又は、歩行者、障害物、信号機、交通標識又は車線等の検出に用いられる。
 なお、図17には、撮像部12101ないし12104の撮影範囲の一例が示されている。撮像範囲12111は、フロントノーズに設けられた撮像部12101の撮像範囲を示し、撮像範囲12112,12113は、それぞれサイドミラーに設けられた撮像部12102,12103の撮像範囲を示し、撮像範囲12114は、リアバンパ又はバックドアに設けられた撮像部12104の撮像範囲を示す。例えば、撮像部12101ないし12104で撮像された画像データが重ね合わせられることにより、車両12100を上方から見た俯瞰画像が得られる。
 撮像部12101ないし12104の少なくとも1つは、距離情報を取得する機能を有していてもよい。例えば、撮像部12101ないし12104の少なくとも1つは、複数の撮像素子からなるステレオカメラであってもよいし、位相差検出用の画素を有する撮像素子であってもよい。
 例えば、マイクロコンピュータ12051は、撮像部12101ないし12104から得られた距離情報を基に、撮像範囲12111ないし12114内における各立体物までの距離と、この距離の時間的変化(車両12100に対する相対速度)を求めることにより、特に車両12100の進行路上にある最も近い立体物で、車両12100と略同じ方向に所定の速度(例えば、0km/h以上)で走行する立体物を先行車として抽出することができる。さらに、マイクロコンピュータ12051は、先行車の手前に予め確保すべき車間距離を設定し、自動ブレーキ制御(追従停止制御も含む)や自動加速制御(追従発進制御も含む)等を行うことができる。このように運転者の操作に拠らずに自律的に走行する自動運転等を目的とした協調制御を行うことができる。
 例えば、マイクロコンピュータ12051は、撮像部12101ないし12104から得られた距離情報を元に、立体物に関する立体物データを、2輪車、普通車両、大型車両、歩行者、電柱等その他の立体物に分類して抽出し、障害物の自動回避に用いることができる。例えば、マイクロコンピュータ12051は、車両12100の周辺の障害物を、車両12100のドライバが視認可能な障害物と視認困難な障害物とに識別する。そして、マイクロコンピュータ12051は、各障害物との衝突の危険度を示す衝突リスクを判断し、衝突リスクが設定値以上で衝突可能性がある状況であるときには、オーディオスピーカ12061や表示部12062を介してドライバに警報を出力することや、駆動系制御ユニット12010を介して強制減速や回避操舵を行うことで、衝突回避のための運転支援を行うことができる。
 撮像部12101ないし12104の少なくとも1つは、赤外線を検出する赤外線カメラであってもよい。例えば、マイクロコンピュータ12051は、撮像部12101ないし12104の撮像画像中に歩行者が存在するか否かを判定することで歩行者を認識することができる。かかる歩行者の認識は、例えば赤外線カメラとしての撮像部12101ないし12104の撮像画像における特徴点を抽出する手順と、物体の輪郭を示す一連の特徴点にパターンマッチング処理を行って歩行者か否かを判別する手順によって行われる。マイクロコンピュータ12051が、撮像部12101ないし12104の撮像画像中に歩行者が存在すると判定し、歩行者を認識すると、音声画像出力部12052は、当該認識された歩行者に強調のための方形輪郭線を重畳表示するように、表示部12062を制御する。また、音声画像出力部12052は、歩行者を示すアイコン等を所望の位置に表示するように表示部12062を制御してもよい。
 以上、本開示に係る技術が適用され得る車両制御システムの一例について説明した。本開示に係る技術は、以上説明した構成のうち、撮像部12031等に適用され得る。具体的には、光検出装置1、1A、1B、1C、1D、1Eは、撮像部12031に適用することができる。
<その他の実施形態>
 上記のように、本開示は実施形態及び変形例、応用例によって記載したが、この開示の一部をなす論述及び図面は本開示を限定するものであると理解すべきではない。この開示から当業者には様々な代替実施の形態、実施例及び運用技術が明らかとなろう。本技術はここでは記載していない様々な実施形態等を含むことは勿論である。上述した実施形態及び変形例の要旨を逸脱しない範囲で、構成要素の種々の省略、置換及び変更のうち少なくとも1つを行うことができる。また、本明細書に記載された効果はあくまでも例示であって限定されるものでは無く、また他の効果があってもよい。
 なお、本開示は以下のような構成も取ることができる。
(1)
 第1基板部と、前記第1基板部の一方の面側に設けられた第2基板部と、前記第2基板部を介して前記第1基板部の一方の面側に設けられた第3基板部とを有する積層部と、
 前記積層部内に設けられ、前記第2基板部に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された放熱用セルと、を備え、
 前記第1基板部は、
 第1面及び前記第1面の反対側に位置する第2面とを有し、光電変換素子が設けられた第1半導体層と、
 前記第1半導体層の前記第1面側に設けられた第1配線層とを有し、
 前記第2基板部は、
 前記第1半導体層と向かい合う第3面及び前記第3面の反対側に位置する第4面とを有する第2半導体層と、
 前記第2半導体層の前記第3面側に設けられた第2配線層と、
 前記第2半導体層の前記第4面側に設けられた第3配線層とを有し、
 前記第3基板部は、
 前記第2半導体層と向かい合う第5面を有する第3半導体層と、
 前記第3半導体層の前記第5面側に設けられた第4配線層とを有し、
 前記放熱用セルは、
 前記第2半導体層に少なくとも一部が埋設された放熱用電極を有する、光検出装置。
(2)
 前記放熱用電極は、
 前記第2半導体層に埋設され、前記第2半導体層の前記第3面と前記第4面との間は貫通しない放熱用非貫通電極を有する、
前記(1)に記載の光検出装置。
(3)
 前記放熱用非貫通電極の一方の端部が、前記第4面から前記第3配線層側へ突き出ている、
前記(2)に記載の光検出装置。
(4)
 前記第3配線層と前記第4配線層との境界部に設けられ、前記第2基板部と前記第3基板部とを接合する接続パッドを備え、
 前記接続パッドは、
 前記境界部の前記第3配線層側に設けられた第1接続パッドと、
 前記境界部の前記第4配線層側に設けられた第2接続パッドとを有し、
 前記第1接続パッドと前記第2接続パッドはそれぞれ銅(Cu)又はCu合金で構成されており、
 前記積層部の厚さ方向において、前記放熱用非貫通電極は前記接続パッドと向かい合う位置に配置されている、
前記(2)又は(3)に記載の光検出装置。
(5)
 前記放熱用セルは、
 前記第2半導体層に埋設され、前記第3面と前記第4面との間を貫通する放熱用貫通電極を有する、
前記(1)から(4)のいずれか1項に記載の光検出装置。
(6)
 前記放熱用セルは、
 前記第2配線層内に設けられ、前記放熱用貫通電極に接続する第1放熱用配線と、
 前記第3配線層内に設けられ、前記放熱用貫通電極に接続する第2放熱用配線と、
 前記第4配線層内に設けられた第3放熱用配線と、
 前記第3配線層と前記第4配線層との境界部に設けられ、前記第2放熱用配線と前記第3放熱用配線とを接続するともに、前記第2基板部と前記第3基板部とを接合する放熱用接続パッドとを有する、
前記(5)に記載の光検出装置。
(7)
 前記放熱用接続パッドは、
 前記境界部の前記第3配線層側に設けられた第1放熱用接続パッドと、
 前記境界部の前記第4配線層側に設けられた第2放熱用接続パッドとを有し、
 前記第1放熱用接続パッドと前記第2放熱用接続パッドは、それぞれ銅(Cu)又はCu合金で構成されている、
前記(6)に記載の光検出装置。
(8)
 前記放熱用セルは、
 前記第2配線層内に設けられた放熱用ボンディングパッドと、
 前記第1基板部を貫通し、前記放熱用ボンディングパッドを底面に露出する放熱用パッド開口部とを有し、
 前記積層部の厚さ方向において、前記放熱用貫通電極は前記放熱用ボンディングパッドと向かい合う位置に配置されている、
前記(5)から(7)のいずれか1項に記載の光検出装置。
(9)
 前記放熱用セルは、
 前記放熱用ボンディングパッドに一端が接続された放熱用ワイヤーを有する、
前記(8)に記載の光検出装置。
(10)
 前記積層部は、
 画素領域と、
 前記積層部の厚さ方向からの平面視で前記画素領域の周辺に位置する周辺領域とを有し、
 前記放熱用電極は、
 前記第2半導体層に埋設され、前記第2半導体層の前記第3面と前記第4面との間は貫通しない放熱用非貫通電極と、
 前記第2半導体層に埋設され、前記第3面と前記第4面との間を貫通する放熱用貫通電極とを有し、
 前記画素領域には前記放熱用非貫通電極が配置され、
 前記周辺領域には前記放熱用貫通電極が配置されている、
前記(1)から(9)のいずれか1項に記載の光検出装置。
(11)
 前記第1回路は、
 前記第1回路の駆動時に発熱源となる高発熱回路と、
 前記第1回路の駆動時に前記高発熱回路よりも発熱温度が低い低発熱回路とを有し、
 前記積層部の厚さ方向において、前記低発熱回路と向かい合う位置よりも前記高発熱回路と向かい合う位置に、前記放熱用電極が密に配置されている、
前記(1)から(10)のいずれか1項に記載の光検出装置。
(12)
 前記第2半導体層はシリコン(Si)で構成されており、
 前記放熱用電極は、前記Siよりも熱伝導率が高い材料で構成されている、
前記(1)から(11)のいずれか1項に記載の光検出装置。
(13)
 前記積層部内に設けられ、前記第2基板部に設けられた前記第1回路と前記第3基板部に設けられた第2回路とを電気的に接続する貫通電極ユニットを備え、
 前記放熱用セルは、前記第1回路及び前記第2回路の両方から絶縁されている、
前記(1)から(12)のいずれか1項に記載の光検出装置。
(14)
 前記第1基板部を挟んで前記第2基板部の反対側に配置され、前記第1基板部に入射する入射光を前記光電変換素子に集光する光学レンズ、をさらに備える
前記(1)から(13)のいずれか1項に記載の光検出装置。
(15)
 前記積層部は、
 画素領域と、
 前記積層部の厚さ方向からの平面視で前記画素領域の周辺に位置する周辺領域とを有し
 前記光学レンズは、前記画素領域に配置され、前記周辺領域には配置されていない、
前記(14)に記載の光検出装置。
(16)
 第1基板部と、前記第1基板部の一方の面側に設けられた第2基板部と、前記第2基板部を介して前記第1基板部の一方の面側に設けられた第3基板部とを有する積層部と、
 前記積層部内に設けられ、前記第2基板部に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された放熱用セルと、を備え、
 前記第1基板部は、
 第1面及び前記第1面の反対側に位置する第2面とを有し、光電変換素子が設けられた第1半導体層と、
 前記第1半導体層の前記第1面側に設けられた第1配線層とを有し、
 前記第2基板部は、
 前記第1半導体層と向かい合う第3面及び前記第3面の反対側に位置する第4面とを有する第2半導体層と、
 前記第2半導体層の前記第3面側に設けられた第2配線層と、
 前記第2半導体層の前記第4面側に設けられた第3配線層とを有し、
 前記第3基板部は、
 前記第2半導体層と向かい合う第5面を有する第3半導体層と、
 前記第3半導体層の前記第5面側に設けられた第4配線層とを有し、
 前記放熱用セルは、
 前記第2半導体層に少なくとも一部が埋設された放熱用電極を有し、
 前記積層部は、
 画素領域と、
 前記積層部の厚さ方向からの平面視で前記画素領域の周辺に位置する周辺領域と、
 前記周辺領域を介して前記画素領域の外側に位置するスクライブ領域とを有し、
 前記放熱用電極は、前記画素領域及び前記周辺領域の少なくとも一方に配置され、かつ、前記スクライブ領域には配置されていない、積層基板。
1、1A、1B、1C、1D,1E 光検出装置
2 半導体チップ
2A 画素領域
2B 周辺領域
3 画素
4 垂直駆動回路
5 カラム信号処理回路
6 水平駆動回路
7 出力回路
8 制御回路
10 画素駆動線
11 垂直信号線
12 水平信号線
13 ロジック回路
14 ボンディングパッド
15 読出し回路
20 第1半導体層
20a 光電変換領域
30 第1配線層
31、41、61、71、251、261 絶縁膜
32、42、62、72 配線
33、43、63、73 接続パッド
34、44、74 ビア(コンタクト)
40 第2配線層
50 第2半導体層
50a 第1領域
50b 第2領域
51 第1導体
52 第2導体
60 第3配線層
65 シリコンカバー膜
70 第4配線層
80 第3半導体層
90 集光層
91 カラーフィルタ
92 オンチップレンズ
110 第1基板部
120 第2基板部
130 第3基板部
141 放熱用ボンディングパッド
150 貫通電極ユニット
152 貫通電極
200 積層基板
201 積層部
250 放熱用セル
252 放熱用非貫通電極
262 放熱用貫通電極
321、421、621 放熱用配線
331、431、631、731 放熱用接続パッド
421、721 放熱用配線
421P、721P ダミーパッド部
10402 撮像部
11000 内視鏡手術システム
11100 内視鏡
11101 鏡筒
11102 カメラヘッド
11110 術具
11111 気腹チューブ
11112 エネルギー処置具
11120 支持アーム装置
11131 術者(医師)
11132 患者
11133 患者ベッド
11200 カート
11201 カメラコントロールユニット(CCU)
11202 表示装置
11203 光源装置
11204 入力装置
11205 処置具制御装置
11206 気腹装置
11207 レコーダ
11208 プリンタ
11400 伝送ケーブル
11401 レンズユニット
11402 撮像部
11403 駆動部
11404 通信部
11405 カメラヘッド制御部
11411 通信部
11412 画像処理部
11413 制御部
12000 車両制御システム
12001 通信ネットワーク
12010 駆動系制御ユニット
12020 ボディ系制御ユニット
12030 車外情報検出ユニット
12031 撮像部
12040 車内情報検出ユニット
12041 運転者状態検出部
12050 統合制御ユニット
12051 マイクロコンピュータ
12052 音声画像出力部
12061 オーディオスピーカ
12062 表示部
12063 インストルメントパネル
12100 車両
12101、12102、12103、12104、12105 撮像部
12111、12112、12113、12114 撮像範囲
AMP 増幅トランジスタ
BR 境界部
BW ボンディングワイヤー
BW1 放熱用ボンディングワイヤー
FD 電荷蓄積領域
H1 貫通孔
H2 開口部
H3 パッド開口部
H31 放熱用パッド開口部
HFC 高発熱回路
HTC 高発熱回路
I 車載ネットワーク
ICG インドシアニングリーン
LFC 低発熱回路
LTC 低発熱回路
PD 光電変換素子
R1 チップ領域
R2 スクライブ領域
RST リセットトランジスタ
S1、S3、S5 主面
S2、S4 裏面
SEL 選択トランジスタ
SL1、SL2 放熱用スリット
T1、T2、T3 トランジスタ
TR 転送トランジスタ
TSV シリコン貫通電極
Vdd 電源線
VSL 垂直信号線

Claims (16)

  1.  第1基板部と、前記第1基板部の一方の面側に設けられた第2基板部と、前記第2基板部を介して前記第1基板部の一方の面側に設けられた第3基板部とを有する積層部と、
     前記積層部内に設けられ、前記第2基板部に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された放熱用セルと、を備え、
     前記第1基板部は、
     第1面及び前記第1面の反対側に位置する第2面とを有し、光電変換素子が設けられた第1半導体層と、
     前記第1半導体層の前記第1面側に設けられた第1配線層とを有し、
     前記第2基板部は、
     前記第1半導体層と向かい合う第3面及び前記第3面の反対側に位置する第4面とを有する第2半導体層と、
     前記第2半導体層の前記第3面側に設けられた第2配線層と、
     前記第2半導体層の前記第4面側に設けられた第3配線層とを有し、
     前記第3基板部は、
     前記第2半導体層と向かい合う第5面を有する第3半導体層と、
     前記第3半導体層の前記第5面側に設けられた第4配線層とを有し、
     前記放熱用セルは、
     前記第2半導体層に少なくとも一部が埋設された放熱用電極を有する、光検出装置。
  2.  前記放熱用電極は、
     前記第2半導体層に埋設され、前記第2半導体層の前記第3面と前記第4面との間は貫通しない放熱用非貫通電極を有する、
    請求項1に記載の光検出装置。
  3.  前記放熱用非貫通電極の一方の端部が、前記第4面から前記第3配線層側へ突き出ている、
    請求項2に記載の光検出装置。
  4.  前記第3配線層と前記第4配線層との境界部に設けられ、前記第2基板部と前記第3基板部とを接合する接続パッドを備え、
     前記接続パッドは、
     前記境界部の前記第3配線層側に設けられた第1接続パッドと、
     前記境界部の前記第4配線層側に設けられた第2接続パッドとを有し、
     前記第1接続パッドと前記第2接続パッドはそれぞれ銅(Cu)又はCu合金で構成されており、
     前記積層部の厚さ方向において、前記放熱用非貫通電極は前記接続パッドと向かい合う位置に配置されている、
    請求項2に記載の光検出装置。
  5.  前記放熱用セルは、
     前記第2半導体層に埋設され、前記第3面と前記第4面との間を貫通する放熱用貫通電極を有する、
    請求項1に記載の光検出装置。
  6.  前記放熱用セルは、
     前記第2配線層内に設けられ、前記放熱用貫通電極に接続する第1放熱用配線と、
     前記第3配線層内に設けられ、前記放熱用貫通電極に接続する第2放熱用配線と、
     前記第4配線層内に設けられた第3放熱用配線と、
     前記第3配線層と前記第4配線層との境界部に設けられ、前記第2放熱用配線と前記第3放熱用配線とを接続するともに、前記第2基板部と前記第3基板部とを接合する放熱用接続パッドとを有する、
    請求項5に記載の光検出装置。
  7.  前記放熱用接続パッドは、
     前記境界部の前記第3配線層側に設けられた第1放熱用接続パッドと、
     前記境界部の前記第4配線層側に設けられた第2放熱用接続パッドとを有し、
     前記第1放熱用接続パッドと前記第2放熱用接続パッドは、それぞれ銅(Cu)又はCu合金で構成されている、
    請求項6に記載の光検出装置。
  8.  前記放熱用セルは、
     前記第2配線層内に設けられた放熱用ボンディングパッドと、
     前記第1基板部を貫通し、前記放熱用ボンディングパッドを底面に露出する放熱用パッド開口部とを有し、
     前記積層部の厚さ方向において、前記放熱用貫通電極は前記放熱用ボンディングパッドと向かい合う位置に配置されている、
    請求項5に記載の光検出装置。
  9.  前記放熱用セルは、
     前記放熱用ボンディングパッドに一端が接続された放熱用ワイヤーを有する、
    請求項8に記載の光検出装置。
  10.  前記積層部は、
     画素領域と、
     前記積層部の厚さ方向からの平面視で前記画素領域の周辺に位置する周辺領域とを有し、
     前記放熱用電極は、
     前記第2半導体層に埋設され、前記第2半導体層の前記第3面と前記第4面との間は貫通しない放熱用非貫通電極と、
     前記第2半導体層に埋設され、前記第3面と前記第4面との間を貫通する放熱用貫通電極とを有し、
     前記画素領域には前記放熱用非貫通電極が配置され、
     前記周辺領域には前記放熱用貫通電極が配置されている、
    請求項1に記載の光検出装置。
  11.  前記第1回路は、
     前記第1回路の駆動時に発熱源となる高発熱回路と、
     前記第1回路の駆動時に前記高発熱回路よりも発熱温度が低い低発熱回路とを有し、
     前記積層部の厚さ方向において、前記低発熱回路と向かい合う位置よりも前記高発熱回路と向かい合う位置に、前記放熱用電極が密に配置されている、
    請求項1に記載の光検出装置。
  12.  前記第2半導体層はシリコン(Si)で構成されており、
     前記放熱用電極は、前記Siよりも熱伝導率が高い材料で構成されている、
    請求項1に記載の光検出装置。
  13.  前記積層部内に設けられ、前記第2基板部に設けられた前記第1回路と前記第3基板部に設けられた第2回路とを電気的に接続する貫通電極ユニットを備え、
     前記放熱用セルは、前記第1回路及び前記第2回路の両方から絶縁されている、
    請求項1に記載の光検出装置。
  14.  前記第1基板部を挟んで前記第2基板部の反対側に配置され、前記第1基板部に入射する入射光を前記光電変換素子に集光する光学レンズ、をさらに備える
    請求項1に記載の光検出装置。
  15.  前記積層部は、
     画素領域と、
     前記積層部の厚さ方向からの平面視で前記画素領域の周辺に位置する周辺領域とを有し
     前記光学レンズは、前記画素領域に配置され、前記周辺領域には配置されていない、
    請求項14に記載の光検出装置。
  16.  第1基板部と、前記第1基板部の一方の面側に設けられた第2基板部と、前記第2基板部を介して前記第1基板部の一方の面側に設けられた第3基板部とを有する積層部と、
     前記積層部内に設けられ、前記第2基板部に設けられた第1回路から絶縁され、電気的に浮遊又は基準電位に固定された放熱用セルと、を備え、
     前記第1基板部は、
     第1面及び前記第1面の反対側に位置する第2面とを有し、光電変換素子が設けられた第1半導体層と、
     前記第1半導体層の前記第1面側に設けられた第1配線層とを有し、
     前記第2基板部は、
     前記第1半導体層と向かい合う第3面及び前記第3面の反対側に位置する第4面とを有する第2半導体層と、
     前記第2半導体層の前記第3面側に設けられた第2配線層と、
     前記第2半導体層の前記第4面側に設けられた第3配線層とを有し、
     前記第3基板部は、
     前記第2半導体層と向かい合う第5面を有する第3半導体層と、
     前記第3半導体層の前記第5面側に設けられた第4配線層とを有し、
     前記放熱用セルは、
     前記第2半導体層に少なくとも一部が埋設された放熱用電極を有し、
     前記積層部は、
     画素領域と、
     前記積層部の厚さ方向からの平面視で前記画素領域の周辺に位置する周辺領域と、
     前記周辺領域を介して前記画素領域の外側に位置するスクライブ領域とを有し、
     前記放熱用電極は、前記画素領域及び前記周辺領域の少なくとも一方に配置され、かつ、前記スクライブ領域には配置されていない、積層基板。
PCT/JP2023/039119 2022-11-10 2023-10-30 光検出装置及び積層基板 WO2024101204A1 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022-180491 2022-11-10
JP2022180491 2022-11-10

Publications (1)

Publication Number Publication Date
WO2024101204A1 true WO2024101204A1 (ja) 2024-05-16

Family

ID=91032836

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/039119 WO2024101204A1 (ja) 2022-11-10 2023-10-30 光検出装置及び積層基板

Country Status (1)

Country Link
WO (1) WO2024101204A1 (ja)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010007714A1 (ja) * 2008-07-14 2010-01-21 パナソニック株式会社 固体撮像装置およびその製造方法
JP2010103195A (ja) * 2008-10-21 2010-05-06 Nikon Corp 積層型半導体装置、積層型半導体装置の製造方法
JP2018006507A (ja) * 2016-06-30 2018-01-11 株式会社デンソー 半導体装置およびその製造方法
WO2018186192A1 (ja) * 2017-04-04 2018-10-11 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置、及び電子機器
WO2020045122A1 (ja) * 2018-08-31 2020-03-05 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置およびその駆動方法、並びに電子機器
WO2021066069A1 (ja) * 2019-09-30 2021-04-08 株式会社ニコン 撮像素子及び撮像装置
JP2022119382A (ja) * 2021-02-04 2022-08-17 キヤノン株式会社 光電変換装置、光電変換システム、移動体

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010007714A1 (ja) * 2008-07-14 2010-01-21 パナソニック株式会社 固体撮像装置およびその製造方法
JP2010103195A (ja) * 2008-10-21 2010-05-06 Nikon Corp 積層型半導体装置、積層型半導体装置の製造方法
JP2018006507A (ja) * 2016-06-30 2018-01-11 株式会社デンソー 半導体装置およびその製造方法
WO2018186192A1 (ja) * 2017-04-04 2018-10-11 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置、及び電子機器
WO2020045122A1 (ja) * 2018-08-31 2020-03-05 ソニーセミコンダクタソリューションズ株式会社 固体撮像装置およびその駆動方法、並びに電子機器
WO2021066069A1 (ja) * 2019-09-30 2021-04-08 株式会社ニコン 撮像素子及び撮像装置
JP2022119382A (ja) * 2021-02-04 2022-08-17 キヤノン株式会社 光電変換装置、光電変換システム、移動体

Similar Documents

Publication Publication Date Title
JP7211935B2 (ja) 半導体素子およびその製造方法、並びに電子機器
TWI782058B (zh) 固體攝像裝置
JP7291148B2 (ja) 半導体装置
WO2020189534A1 (ja) 撮像素子および半導体素子
TWI831883B (zh) 固體攝像元件、電子機器及固體攝像元件之製造方法
JP7419476B2 (ja) 半導体装置およびその製造方法、並びに電子機器
US20220139853A1 (en) Semiconductor device and manufacturing method of semiconductor device
WO2020179494A1 (ja) 半導体装置および撮像装置
WO2024042996A1 (ja) 積層基板及び半導体装置
WO2020189473A1 (ja) 撮像装置および撮像装置の製造方法ならびに半導体装置
TWI821431B (zh) 半導體元件及其製造方法
WO2024101204A1 (ja) 光検出装置及び積層基板
WO2024101203A1 (ja) 光検出装置及び積層基板
CN114051657A (zh) 半导体元件和电子设备
WO2024111457A1 (ja) 光検出装置、その製造方法、及び電子機器
WO2024095751A1 (ja) 光検出装置及び電子機器
WO2023112520A1 (ja) 半導体装置、電子機器、及びウエハ
WO2024024573A1 (ja) 撮像装置及び電子機器
WO2024057814A1 (ja) 光検出装置および電子機器
WO2023106308A1 (ja) 受光装置
WO2023058484A1 (ja) 撮像装置
WO2024127853A1 (ja) 光検出装置及び電子機器
WO2023106023A1 (ja) 光検出装置及び電子機器
WO2024142692A1 (ja) 固体撮像装置
WO2021187151A1 (ja) 撮像素子、半導体チップ

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23888552

Country of ref document: EP

Kind code of ref document: A1