WO2023074777A1 - 添加剤含有シリコン含有レジスト下層膜形成組成物 - Google Patents

添加剤含有シリコン含有レジスト下層膜形成組成物 Download PDF

Info

Publication number
WO2023074777A1
WO2023074777A1 PCT/JP2022/040061 JP2022040061W WO2023074777A1 WO 2023074777 A1 WO2023074777 A1 WO 2023074777A1 JP 2022040061 W JP2022040061 W JP 2022040061W WO 2023074777 A1 WO2023074777 A1 WO 2023074777A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
underlayer film
silicon
resist underlayer
composition
Prior art date
Application number
PCT/JP2022/040061
Other languages
English (en)
French (fr)
Inventor
修平 志垣
太規 西條
亘 柴山
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Priority to JP2023550293A priority Critical patent/JP7495015B2/ja
Priority to CN202280071698.9A priority patent/CN118159910A/zh
Priority to KR1020247017733A priority patent/KR20240091099A/ko
Publication of WO2023074777A1 publication Critical patent/WO2023074777A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Definitions

  • the present invention relates to a composition for forming a resist underlayer film, which can form a low-roughness pattern in fine patterning, and is suitable for semiconductor substrates and coating-type organic underlayer films required in patterning processes and CVD films containing carbon as a main component.
  • Formation of a silicon-containing resist underlayer film that can be easily stripped with a stripping solution that does not cause damage, is particularly soluble in alkaline chemicals (basic chemicals), and can form a silicon-containing film that can maintain strippability even after dry etching.
  • Microfabrication by lithography using a photoresist has been conventionally performed in the manufacture of semiconductor devices.
  • the fine processing is obtained by forming a thin film of photoresist on a semiconductor substrate such as a silicon wafer, irradiating actinic rays such as ultraviolet rays through a mask pattern on which a semiconductor device pattern is drawn, and developing.
  • This is a processing method in which fine unevenness corresponding to the pattern is formed on the surface of the substrate by etching the substrate using the photoresist pattern as a protective film.
  • a film known as a hard mask containing metal elements such as silicon and titanium is used as an underlayer film between the semiconductor substrate and the photoresist.
  • the resist and the hard mask have a large difference in their constituent components, their removal rate by dry etching greatly depends on the type of gas used for dry etching.
  • the hard mask can be removed by dry etching without significantly reducing the film thickness of the photoresist.
  • a resist underlayer film has been placed between the semiconductor substrate and the photoresist in order to achieve various effects including an antireflection effect.
  • compositions for resist underlayer films have been studied so far, but the development of new materials for resist underlayer films is desired due to the diversity of properties required thereof.
  • coating-type BPSG (boron phosphorous glass) film-forming composition containing a structure having a specific silicic acid skeleton Patent Document 1
  • Patent Document 2 A composition for forming a silicon-containing resist underlayer film containing a carbonyl structure (Patent Document 2) is disclosed for the purpose of removing a chemical solution.
  • multi-layer processes are often used due to the miniaturization of implant layers.
  • transfer to the lower layer is performed by the above-mentioned dry etching, and finally the substrate is processed and processed.
  • Subsequent mask residues, such as resist films and underlayer films including resist underlayer films, may also be removed by dry etching or ashing.
  • dry etching and ashing do damage substrates, and there is a demand for improvement.
  • the present invention has been made in view of the above circumstances.
  • a silicon-containing resist underlayer film-forming composition for forming a resist underlayer film that can be stripped by wet etching using a chemical such as
  • Another object of the present invention is to provide a composition for forming a silicon-containing resist underlayer film which is excellent in storage stability and which leaves little residue in a dry etching step.
  • a specific hydrolytic condensate obtained from a hydrolyzable silane having a succinic anhydride skeleton or a hydrolyzable silane having a phosphonic acid-derived group A film obtained from a composition containing ( polysiloxane) exhibits excellent solubility in an alkaline solution (basic chemical solution ) , and a specific additive (compound It was found that a film obtained from a composition containing a hydrolytic condensate (polysiloxane) obtained from a hydrolyzable silane containing A) exhibits excellent solubility in an alkaline solution (basic chemical solution), and the present invention is based on this finding. completed.
  • R 1 is a group bonded to a silicon atom and represents an organic group containing a succinic anhydride skeleton
  • R 2 is a group that binds to a silicon atom and is independently an optionally substituted alkyl group, an optionally substituted halogenated alkyl group, or an optionally substituted alkoxyalkyl group; or organic groups including epoxy, acryloyl, methacryloyl, mercapto, amino, amido, alkoxy, sulfonyl, or cyano groups, or combinations thereof
  • R 3 is a group or atom bonded to a silicon atom and independently of each other represents an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom
  • a represents 1
  • b represents an integer of 0-2, and 4-(a+b) represents an integer of 1-3.
  • R 4 is a group bonded to a silicon atom and represents a monovalent group represented by the following formula (2-1), (In formula (2-1), R 201 to R 202 each independently represent an organic group containing a hydrogen atom or an optionally substituted alkyl group, R 203 represents an optionally substituted alkylene group, and * is bonded to a silicon atom. Represents a bond.
  • R 5 is a group that binds to a silicon atom and is independently an optionally substituted alkyl group, an optionally substituted halogenated alkyl group, or an optionally substituted alkoxyalkyl group; or organic groups including epoxy, acryloyl, methacryloyl, mercapto, amino, amido, alkoxy, sulfonyl, or cyano groups, or combinations thereof;
  • R 6 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom; a represents 1, b represents an integer of 0-2, and 4-(a+b) represents an integer of 1-3.
  • composition for forming a silicon-containing resist underlayer film has a chemical structure containing a cation AX + and an anion AZ ⁇ , and further contains a compound A in which the anion has a molecular weight of 65 or more.
  • a composition for forming a silicon-containing resist underlayer film [3]
  • the anion AZ ⁇ is at least one anion selected from the group consisting of anions represented by (A) to (E) below. Composition.
  • Z represents an aromatic ring, a cyclic alkane, or a cyclic alkene in a non-aromatic ring;
  • R 501 represents an alkyl group that may be partially or wholly substituted with a fluorine atom,
  • R 302 and R 303 independently represent an alkyl group,
  • R 304 and R 305 independently represent an alkyl group.
  • a composition for forming a silicon-containing resist underlayer film for forming a silicon-containing resist underlayer film soluble in a basic chemical A composition for forming a silicon-containing resist underlayer film, comprising a compound A having a chemical structure containing a cation AX + and an anion AZ ⁇ , wherein the anion has a molecular weight of 65 or more.
  • the anion AZ ⁇ is at least one anion selected from the group consisting of anions represented by (A) to (E) below. Composition.
  • Z represents an aromatic ring, a cyclic alkane, or a cyclic alkene in a non-aromatic ring;
  • R 501 represents an alkyl group that may be partially or wholly substituted with a fluorine atom,
  • R 302 and R 303 independently represent an alkyl group,
  • R 304 and R 305 independently represent an alkyl group.
  • a hydrolytic condensate obtained by using a silane compound having a specific structure containing a succinic anhydride skeleton or a group derived from phosphonic acid as a hydrolyzable silane is used as a composition for forming a resist underlayer film.
  • a silane compound having a specific structure containing a succinic anhydride skeleton or a group derived from phosphonic acid is used as a composition for forming a resist underlayer film.
  • a specific additive (compound A) having a chemical structure containing a cation AX + and an anion AZ ⁇ is used for forming a resist underlayer film containing a hydrolysis condensate obtained by using a silane compound.
  • compound A a specific additive having a chemical structure containing a cation AX + and an anion AZ ⁇ is used for forming a resist underlayer film containing a hydrolysis condensate obtained by using a silane compound.
  • the film formed from the composition even a silicon-based film, exhibits excellent solubility in a basic chemical solution, and can be improved in removability by a wet method. . Therefore, when the composition for forming a resist underlayer film of the present invention is used to form a pattern using a photoresist film or the like or to process a semiconductor substrate or the like, the residue of the mask after processing is removed.
  • composition for forming silicon-containing resist underlayer film is directed to a composition for forming a silicon-containing resist underlayer film that can be stripped by a wet method and that exhibits excellent solubility in basic chemical solutions.
  • the composition for forming a resist underlayer film of the present invention contains a hydrolytic condensate of a hydrolyzable silane mixture.
  • One feature of the composition for forming a resist underlayer film of the present invention is that it contains a product (hydrolytic condensate) obtained by hydrolytic condensation of a hydrolyzable silane mixture containing a hydrolyzable silane having a specific structure. do.
  • composition for forming a silicon-containing resist underlayer film of the first aspect contains a hydrolytic condensate of a hydrolyzable silane mixture and a specific additive (compound A) having a chemical structure containing a cation AX + and an anion AZ ⁇ . is one of the features.
  • compound A a specific additive having a chemical structure containing a cation AX + and an anion AZ ⁇ .
  • the composition for forming a resist underlayer film of the present invention may contain a solvent and other components described later in addition to the hydrolytic condensate of the hydrolyzable silane mixture and the specific additive (compound A).
  • the hydrolytic condensate includes not only a polyorganosiloxane polymer that is a condensate in which the condensation is completely completed, but also a polyorganosiloxane polymer that is a partially hydrolytic condensate in which the condensation is not completely completed.
  • a partially hydrolyzed condensate is also a polymer obtained by hydrolysis and condensation of a hydrolyzable silane compound like the condensate in which the condensation is completely completed, but the hydrolysis stops partially and the condensation does not occur. not, and therefore the Si--OH groups remain.
  • composition for forming a resist underlayer film of the present invention contains, in addition to the hydrolytic condensate, an uncondensed hydrolyzate (complete hydrolyzate, partial hydrolyzate) and a monomer (hydrolyzable silane compound). It may remain.
  • hydrolyzable silane may be simply referred to as "silane compound”.
  • composition for forming a silicon-containing resist underlayer film of the first aspect contains a hydrolytic condensate of a hydrolyzable silane mixture containing a hydrolyzable silane having a specific structure.
  • the hydrolyzable silane mixture contains a hydrolyzable silane represented by the following formula (1) or a hydrolyzable silane represented by the following formula (2), and optionally a hydrolyzable silane represented by the following formula (3). It may contain a decomposable silane, a hydrolyzable silane of tetraalkoxysilane represented by the following formula (4), a hydrolyzable silane represented by the following formula (5), and other hydrolyzable silanes.
  • the hydrolytic condensation product used in the composition for forming a resist underlayer film of the present invention can be a product of hydrolytic condensation of a hydrolyzable silane mixture containing a silane compound represented by the following formula (1). .
  • R 1 is a group bonded to a silicon atom and represents an organic group containing a succinic anhydride skeleton.
  • the organic group for R 1 is not particularly limited as long as it is an organic group containing the above skeleton.
  • organic groups containing a succinic anhydride skeleton include not only the skeleton itself, but also organic groups in which one or more hydrogen atoms in an alkyl group are substituted with a succinic anhydride skeleton.
  • the alkyl group in which a hydrogen atom is substituted by the succinic anhydride skeleton or the like is not particularly limited, and may be linear, branched, or cyclic, and usually has 40 carbon atoms. Below, for example, 30 or less, more for example, 20 or less, or 10 or less. Specific examples of the linear or branched alkyl groups include methyl, ethyl, n-propyl, i-propyl, n-butyl, i-butyl, s-butyl and t-butyl.
  • n-pentyl group 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl -n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl, 1-methyl-n-pentyl group, 2-methyl-n-pentyl group, 3- methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-dimethyl-n-butyl group, 2 , 2-dimethyl-n-butyl group, 2,3-dimethyl-n-butyl group, 3,3-dimethyl-n-butyl group, 1-ethyl-n-butyl group, 2-ethyl-n-butyl group
  • cyclic alkyl group examples include a cyclopropyl group, a cyclobutyl group, a 1-methyl-cyclopropyl group, a 2-methyl-cyclopropyl group, a cyclopentyl group, a 1-methyl-cyclobutyl group and a 2-methyl-cyclobutyl group.
  • Examples of the organic group for R 1 include monovalent groups represented by the following formula (1-1).
  • R 401 represents, for example, a divalent alkylene group derived by removing one hydrogen atom from the linear, branched or cyclic alkyl group described above. * represents a bond that bonds with a silicon atom.
  • R 2 is a group that bonds to a silicon atom and is independently of each other an optionally substituted alkyl group, an optionally substituted halogenated alkyl group, or a substituted alkoxyalkyl groups, or organic groups including epoxy, acryloyl, methacryloyl, mercapto, amino, amido, alkoxy, sulfonyl, or cyano groups, or combinations thereof.
  • alkyl group for R 2 in formula (1) examples include linear or branched alkyl groups having 1 to 10 carbon atoms, such as methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group, i-butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n -butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl group , 1-methyl-n-pentyl group, 2-methyl-n-pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,
  • Cyclic alkyl groups can also be used.
  • Examples of cyclic alkyl groups having 3 to 10 carbon atoms include cyclopropyl, cyclobutyl, 1-methyl-cyclopropyl, 2-methyl-cyclopropyl, cyclopentyl, 1 -methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2- ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group , 1,2-dimethyl-cyclobutyl group, 1,3-di
  • a halogenated alkyl group for R 2 in formula (1) refers to an alkyl group substituted with a halogen atom.
  • the halogen atom include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom, and specific examples of the alkyl group are the same as those described above.
  • the number of carbon atoms in the halogenated alkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, still more preferably 10 or less.
  • halogenated alkyl groups include monofluoromethyl group, difluoromethyl group, trifluoromethyl group, bromodifluoromethyl group, 2-chloroethyl group, 2-bromoethyl group, 1,1-difluoroethyl group, 2,2 ,2-trifluoroethyl group, 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2 , 3,3-tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropan-2-yl group, 3- Examples include, but are not limited to, bromo-2-methylpropyl group, 4-bromobutyl group, perfluoropentyl group and the like.
  • An alkoxyalkyl group for R 2 in formula (1) refers to an alkyl group substituted with an alkoxy group. Specific examples of the alkyl group are the same as those mentioned above. Specific examples of alkoxy groups include alkoxy groups having straight, branched or cyclic alkyl moieties having 1 to 20 carbon atoms.
  • linear or branched alkoxy groups include methoxy, ethoxy, n-propoxy, i-propoxy, n-butoxy, i-butoxy, s-butoxy, t-butoxy, n- pentyloxy group, 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl-n-propoxy group group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyloxy group, 2-methyl-n-pentyloxy group, 3-methyl-n -pentyloxy group, 4-methyl-n-pentyloxy group, 1,1-dimethyl-n-butoxy group, 1,2-dimethyl-n-butoxy group, 1,3-dimethyl-n-butoxy group, 2,2- dimethyl-n-butoxy group, 2,3-
  • cyclic alkoxy groups include cyclopropoxy, cyclobutoxy, 1-methyl-cyclopropoxy, 2-methyl-cyclopropoxy, cyclopentyloxy, 1-methyl-cyclobutoxy, 2-methyl- cyclobutoxy, 3-methyl-cyclobutoxy, 1,2-dimethyl-cyclopropoxy, 2,3-dimethyl-cyclopropoxy, 1-ethyl-cyclopropoxy, 2-ethyl-cyclopropoxy, cyclohexyloxy group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclo butoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group, 2,4-dimethyl-cyclobut
  • the number of carbon atoms in the alkoxyalkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, still more preferably 10 or less.
  • Specific examples of the alkoxyalkyl group include, but are not limited to, lower alkyloxy lower alkyl groups such as methoxymethyl group, ethoxymethyl group, 1-ethoxyethyl group, 2-ethoxyethyl group and ethoxymethyl group. .
  • substituents in the alkyl group, halogenated alkyl group, or alkoxyalkyl group include alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, alkoxyalkyl group, aryl oxy group, alkoxyaryl group, alkoxyaralkyl group, alkenyl group, alkoxy group, aralkyloxy group and the like.
  • specific examples of the alkyl group, halogenated alkyl group, alkoxyalkyl group, and alkoxy group and the preferred number of carbon atoms thereof are the same as those described above.
  • Examples of the aryl group mentioned in the above substituent include a phenyl group, an o-methylphenyl group, an m-methylphenyl group, a p-methylphenyl group, an o-chlorophenyl group, an m-chlorophenyl group, and a p-chlorophenyl group.
  • Examples of the aralkyl group mentioned in the above substituent include phenylmethyl group (benzyl group), 2-phenylethylene group, 3-phenyl-n-propyl group, 4-phenyl-n-butyl group, 5-phenyl-n -pentyl group, 6-phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, 10-phenyl-n-decyl group, etc. These include, but are not limited to:
  • the halogenated aryl group mentioned in the above substituent is an aryl group substituted with a halogen atom, and specific examples of such an aryl group are the same as those mentioned above.
  • Halogen atoms include fluorine, chlorine, bromine, and iodine atoms.
  • the number of carbon atoms in the halogenated aryl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • halogenated aryl groups include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group and 2,5-difluorophenyl group, 2,6-difluorophenyl group, 3,4-difluorophenyl group, 3,5-difluorophenyl group, 2,3,4-trifluorophenyl group, 2,3,5-trifluorophenyl group, 2, 3,6-trifluorophenyl group, 2,4,5-trifluorophenyl group, 2,4,6-trifluorophenyl group, 3,4,5-trifluorophenyl group, 2,3,4,5- tetrafluorophenyl group, 2,3,4,6-tetrafluorophenyl group, 2,3,5,6-tetrafluorophenyl group, pentafluorophenyl group, 2-fluoro
  • the halogenated aralkyl group mentioned in the above substituent is an aralkyl group substituted with a halogen atom, and specific examples of such an aralkyl group and a halogen atom are the same as those mentioned above.
  • the number of carbon atoms in the halogenated aralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of halogenated aralkyl groups include 2-fluorobenzyl, 3-fluorobenzyl, 4-fluorobenzyl, 2,3-difluorobenzyl, 2,4-difluorobenzyl and 2,5-difluorobenzyl.
  • the aryloxy group mentioned in the above substituent is a group to which an aryl group is bonded through an oxygen atom (--O--), and specific examples of such an aryl group include the same as those mentioned above.
  • the number of carbon atoms in the aryloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less. Specific examples thereof include a phenoxy group, naphthalene- Examples include, but are not limited to, 2-yloxy groups and the like.
  • the substituents may be combined to form a ring.
  • the alkoxyaryl group mentioned in the above substituent is an aryl group substituted with an alkoxy group, and specific examples of such alkoxy group and aryl group are the same as those mentioned above.
  • the number of carbon atoms in the alkoxyaryl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • alkoxyaryl groups include, for example, 2-methoxyphenyl group, 3-methoxyphenyl group, 4-methoxyphenyl group, 2-(1-ethoxy)phenyl group, 3-(1-ethoxy)phenyl group, 4 -(1-ethoxy)phenyl group, 2-(2-ethoxy)phenyl group, 3-(2-ethoxy)phenyl group, 4-(2-ethoxy)phenyl group, 2-methoxynaphthalen-1-yl group, 3 -Methoxynaphthalen-1-yl group, 4-methoxynaphthalen-1-yl group, 5-methoxynaphthalen-1-yl group, 6-methoxynaphthalen-1-yl group, 7-methoxynaphthalen-1-yl group and the like Examples include, but are not limited to:
  • the alkoxyaralkyl group mentioned in the above substituent is an aralkyl group substituted with an alkoxy group, and specific examples of such alkoxy group and aralkyl group are the same as those mentioned above.
  • the number of carbon atoms in the alkoxyaralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of the alkoxyaralkyl group include, but are not limited to, 3-(methoxyphenyl)benzyl group, 4-(methoxyphenyl)benzyl group and the like.
  • alkenyl groups mentioned in the above substituents include optionally substituted alkenyl groups, for example, alkenyl groups having 2 to 10 carbon atoms. More specifically, ethenyl group (vinyl group), 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2-methyl -1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2-pentenyl group, 3- pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2-ethyl-2- propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group
  • the aralkyloxy group mentioned in the above substituent is a group derived by removing a hydrogen atom from the hydroxy group of aralkyl alcohol, and specific examples of such an aralkyl group are the same as those mentioned above. .
  • the number of carbon atoms in the aralkyloxy group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of aralkyloxy groups include phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group and 5-phenyl-n.
  • -pentyloxy group 6-phenyl-n-hexyloxy group, 7-phenyl-n-heptyloxy group, 8-phenyl-n-octyloxy group, 9-phenyl-n-nonyloxy group, 10-phenyl-n- Examples include, but are not limited to, a decyloxy group and the like.
  • Examples of the organic group containing an epoxy group for R 2 in formula (1) include glycidoxymethyl group, glycidoxyethyl group, glycidoxypropyl group, glycidoxybutyl group and epoxycyclohexyl group. It is not limited to these.
  • Examples of the organic group containing an acryloyl group for R 2 in formula (1) include, but are not limited to, an acryloylmethyl group, an acryloylethyl group, an acryloylpropyl group, and the like.
  • Examples of the organic group containing a methacryloyl group for R 2 in formula (1) include, but are not limited to, a methacryloylmethyl group, a methacryloylethyl group, and a methacryloylpropyl group.
  • the organic group containing a mercapto group in R 2 of formula (1) includes, but is not limited to, an ethylmercapto group, a butylmercapto group, a hexylmercapto group, an octylmercapto group, and the like.
  • the organic group containing an amino group in R 2 of formula (1) includes, but is not limited to, an amino group, an aminomethyl group, an aminoethyl group, a dimethylaminoethyl group, a dimethylaminopropyl group, and the like.
  • Examples of the organic group containing an alkoxy group for R 2 in the above formula (1) include, but are not limited to, a methoxymethyl group and a methoxyethyl group. However, groups in which an alkoxy group is directly bonded to a silicon atom are excluded.
  • the organic group containing a sulfonyl group for R 2 in formula (1) includes, but is not limited to, a sulfonylalkyl group and a sulfonylaryl group.
  • Examples of the organic group containing a cyano group for R 2 in formula (1) include, but are not limited to, a cyanoethyl group and a cyanopropyl group.
  • R 3 is a silicon-bonded group or atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom.
  • alkoxy group and halogen atom include the same ones as described above.
  • An aralkyloxy group is a group derived by removing a hydrogen atom from a hydroxy group of an aralkyl alcohol, and specific examples of such an aralkyl group are the same as those described above.
  • the number of carbon atoms in the aralkyloxy group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of aralkyloxy groups include phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group and 5-phenyl-n.
  • -pentyloxy group 6-phenyl-n-hexyloxy group, 7-phenyl-n-heptyloxy group, 8-phenyl-n-octyloxy group, 9-phenyl-n-nonyloxy group, 10-phenyl-n- Examples include, but are not limited to, a decyloxy group and the like.
  • An acyloxy group is a group derived by removing a hydrogen atom from a carboxylic acid group of a carboxylic acid compound, typically by removing a hydrogen atom from a carboxylic acid group of an alkylcarboxylic acid, an arylcarboxylic acid or an aralkylcarboxylic acid.
  • Examples include, but are not limited to, derivatized alkylcarbonyloxy, arylcarbonyloxy or aralkylcarbonyloxy groups.
  • Specific examples of the alkyl group, aryl group and aralkyl group in such alkylcarboxylic acid, arylcarboxylic acid and aralkylcarboxylic acid are the same as those mentioned above.
  • acyloxy groups include acyloxy groups having 2 to 20 carbon atoms.
  • a represents 1, b represents an integer of 0-2, and 4-(a+b) represents an integer of 1-3.
  • b preferably represents 0 or 1, more preferably 0;
  • the compound represented by the above formula (1) include, for example, [(3-trimethoxysilyl)propyl]succinic anhydride, [(3-triethoxysilyl)propyl]succinic anhydride, [( Examples include silane compounds containing a succinic anhydride skeleton such as 3-trimethoxysilyl)ethyl]succinic anhydride and [(3-trimethoxysilyl)butyl]succinic anhydride.
  • the hydrolytic condensation product used in the composition for forming a resist underlayer film of the present invention can be a product of hydrolytic condensation of a hydrolyzable silane mixture containing a silane compound represented by the following formula (2). .
  • R 4 is a group bonded to a silicon atom and represents a monovalent group represented by the following formula (2-1).
  • R 201 to R 202 each independently represent an organic group containing a hydrogen atom or an optionally substituted alkyl group, and R 203 represents an optionally substituted alkylene group.
  • * represents a bond that bonds to a silicon atom.
  • the optionally substituted alkyl group in the monovalent group represented by formula (2-1) for R 4 is the substituted alkyl group described for R 2 in formula (1) above. It is the same as the alkyl group which is good.
  • organic groups containing optionally substituted alkyl groups include optionally substituted alkyl groups.
  • the optionally substituted alkylene group in the monovalent group represented by the formula (2-1) of R 4 means that the optionally substituted alkyl group further has one hydrogen atom.
  • linear alkylene group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group , 1,2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, branched alkylene groups such as 1-ethyltrimethylene group, 1,2-cyclopropipanediyl group, 1,2-cyclobutanediyl, 1,3-cyclobutanediyl group, cyclic alkylene such as 1,2-cyclohexanediyl, 1,3-cyclohexanediyl, etc., -CH 2 OCH 2 -, -CH 2 CH 2 OCH 2 -, -CH 2 CH 2 OCH2CH2- , -CH2CH2CH2OCH2CH2- , -CH2CH2OCH2CH2CH2- , -CH2CH2CH2OCH2CH2CH
  • R 5 is the same as R 2 in formula (1) above.
  • R6 is the same as R3 in formula (1) above.
  • a represents 1, b represents an integer of 0-2, and 4-(a+b) represents an integer of 1-3.
  • b preferably represents 0 or 1, more preferably 0;
  • Specific examples of the compound represented by the above formula (2) include silane compounds containing alkylphosphonic acids such as diethyl [(3-triethoxysilyl)ethyl]phosphonate.
  • the hydrolytic condensate used in the composition for forming a resist underlayer film of the present invention can further contain a hydrolyzable silane represented by the following formula (3).
  • R7 is a group bonded to a silicon atom and represents an organic group containing an alkenyl group.
  • the organic group for R 7 is not particularly limited as long as it is an organic group containing the above groups.
  • an organic group containing an alkenyl group includes not only the alkenyl group itself, but also an organic group in which one or more hydrogen atoms in an alkyl group are substituted with an alkenyl group.
  • alkenyl group for R 7 examples include optionally substituted alkenyl groups as described for R 2 in formula (1) above.
  • alkenyl groups having 2 to 10 carbon atoms are mentioned. More specifically, ethenyl group (vinyl group), 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2-methyl -1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2-pentenyl group, 3- pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2-ethyl-2- propenyl group, 2-methyl-1-butenyl group,
  • R 8 is the same as R 2 in formula (1) above.
  • R9 is the same as R3 in formula (1) above.
  • a represents 1, b represents an integer of 0-2, and 4-(a+b) represents an integer of 1-3.
  • b preferably represents 0 or 1, more preferably 0;
  • the compound represented by formula (3) examples include vinyltrimethoxysilane, vinyltriethoxysilane, vinyltrichlorosilane, vinyltriacetoxysilane, methylvinyldimethoxysilane, methylvinyldiethoxysilane, methylvinyl Dichlorosilane, methylvinyldiacetoxysilane, dimethylvinylmethoxysilane, dimethylvinylethoxysilane, dimethylvinylchlorosilane, dimethylvinylacetoxysilane, divinyldimethoxysilane, divinyldiethoxysilane, divinyldichlorosilane, divinyldiacetoxysilane, ⁇ -glycide Xypropylvinyldimethoxysilane, ⁇ -glycidoxypropylvinyldiethoxysilane, allyltrimethoxysilane, allyltriethoxysilane, allyl
  • the hydrolytic condensate used in the composition for forming a resist underlayer film of the present invention can further contain a hydrolyzable silane represented by the following formula (4).
  • R 10 is a silicon-bonded group or atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom. Specific examples of the alkoxy group, aralkyloxy group, and acyloxy group are the same as those described above.
  • hydrolyzable silanes represented by formula (4) include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-i-propoxysilane, tetra-n- Butoxysilane can be mentioned.
  • the hydrolytic condensate used in the composition for forming a resist underlayer film of the present invention can further contain a hydrolyzable silane represented by the following formula (5).
  • R 11 is a group that bonds to a silicon atom and is at least one selected from the group consisting of an aryl group, an optionally substituted amino group, and a group represented by formula (5-2) described later. represents an organic group containing groups.
  • the organic group for R 11 is not particularly limited as long as it is an organic group containing the above groups.
  • an aryl group and an organic group containing a group represented by the formula (5-2) described later, not only the group itself, but also one or more hydrogen atoms in the alkyl group are an aryl group and a group represented by the formula (5) described later.
  • Alkyl groups are preferred as substituents for the optionally substituted amino group defined by R 11 . It is particularly preferred that an alkyl group having 1 to 4 carbon atoms is substituted.
  • aryl group for R 11 examples include optionally substituted aryl groups, such as aryl groups having 6 to 20 carbon atoms. More specifically, the aryl group includes a phenyl group, o-methylphenyl group, m-methylphenyl group, p-methylphenyl group, o-chlorophenyl group, as described for R 2 in formula (1) above.
  • the group containing the aryl group includes an optionally substituted aralkyl group, an optionally substituted halogenated aryl group, an optionally substituted halogenated aralkyl group, an optionally substituted alkoxyaryl groups, optionally substituted alkoxyaralkyl groups, and the like.
  • the aralkyl group is an alkyl group substituted with an aryl group, and specific examples of such an aryl group and an alkyl group are the same as those described above.
  • the number of carbon atoms in the aralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • aralkyl groups include phenylmethyl group (benzyl group ), 2-phenylethylene group, 3-phenyl-n-propyl group, 4-phenyl- n-butyl group, 5-phenyl-n-pentyl group, 6-phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, Examples include, but are not limited to, 10-phenyl-n-decyl group and the like.
  • the above halogenated aryl group is an aryl group substituted with a halogen atom, and specific examples of such an aryl group include the same groups as those described above.
  • the halogen atom include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom.
  • the number of carbon atoms in the halogenated aryl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • halogenated aryl groups include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, as described for R 2 in formula (1) above.
  • the halogenated aralkyl group is an aralkyl group substituted with a halogen atom, and specific examples of such an aralkyl group and a halogen atom are the same as those described above.
  • the number of carbon atoms in the halogenated aralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of halogenated aralkyl groups include 2-fluorobenzyl group, 3-fluorobenzyl group, 4-fluorobenzyl group, 2,3-difluorobenzyl group, as described for R 2 in formula (1) above.
  • 2,4-difluorobenzyl group 2,5-difluorobenzyl group, 2,6-difluorobenzyl group, 3,4-difluorobenzyl group, 3,5-difluorobenzyl group, 2,3,4-trifluorobenzyl group , 2,3,5-trifluorobenzyl group, 2,3,6-trifluorobenzyl group, 2,4,5-trifluorobenzyl group, 2,4,6-trifluorobenzyl group, 2,3,4 ,5-tetrafluorobenzyl group, 2,3,4,6-tetrafluorobenzyl group, 2,3,5,6-tetrafluorobenzyl group, 2,3,4,5,6-pentafluorobenzyl group and the like
  • Examples include, but are not limited to:
  • alkoxyaryl group is an aryl group substituted with an alkoxy group, and specific examples of such aryl groups and alkoxy groups are the same as those described above.
  • the number of carbon atoms in the alkoxyaryl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of the alkoxyaryl group include, for example, 2-methoxyphenyl group, 3-methoxyphenyl group, 4-methoxyphenyl group, 2-(1-ethoxy), as described for R 2 in formula (1) above.
  • phenyl group 3-(1-ethoxy)phenyl group, 4-(1-ethoxy)phenyl group, 2-(2-ethoxy)phenyl group, 3-(2-ethoxy)phenyl group, 4-(2-ethoxy) phenyl group, 2-methoxynaphthalene-1-yl group, 3-methoxynaphthalene-1-yl group, 4-methoxynaphthalene-1-yl group, 5-methoxynaphthalene-1-yl group, 6-methoxynaphthalene-1- Examples include, but are not limited to, an yl group, a 7-methoxynaphthalen-1-yl group, and the like.
  • the alkoxyaralkyl group is an aralkyl group substituted with an alkoxy group, and specific examples of such alkoxy and aralkyl groups are the same as those described above.
  • the number of carbon atoms in the alkoxyaralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of the alkoxyaralkyl group include, but are not limited to, 3-(methoxyphenyl)benzyl group, 4-(methoxyphenyl)benzyl group and the like.
  • Examples of the optionally substituted amino group for R 11 include an amino group and an alkylamino group substituted with an alkyl group having 1 to 4 carbon atoms. More specific examples include amino group, aminomethyl group, aminoethyl group, dimethylaminoethyl group, dimethylaminopropyl group and the like.
  • X 101 independently represents any one of the following formulas (5-3) to (5-5), and the ketone group in the following formulas (5-4) and (5-5)
  • the carbon atom is bonded to the nitrogen atom to which R 102 in formula (5-2) is bonded.
  • R 103 to R 107 are each independently a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an epoxy or an organic group containing a sulfonyl group, specific examples of an optionally substituted alkyl group and an optionally substituted alkenyl group, and suitable number of carbon atoms, etc. are related to R 1 , succinic anhydride skeleton, etc.
  • Examples of the alkyl group and the alkenyl group mentioned above as the alkyl group in which the hydrogen atom is substituted with are the same as those mentioned above.
  • the organic group containing an epoxy group includes, but is not limited to, glycidoxymethyl group, glycidoxyethyl group, glycidoxypropyl group, glycidoxybutyl group, epoxycyclohexyl group, and the like.
  • organic groups containing a sulfonyl group include, but are not limited to, sulfonylalkyl groups and sulfonylaryl groups.
  • each R 101 independently represents a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an organic group containing an epoxy group or a sulfonyl group.
  • specific examples of an optionally substituted alkyl group, an optionally substituted alkenyl group, an epoxy group or an organic group containing an epoxy group preferred number of carbon atoms, etc
  • alkyl group which may be substituted an alkyl group having a terminal hydrogen atom substituted with a vinyl group is preferable, and specific examples thereof include an allyl group, a 2-vinylethyl group, and a 3-vinylpropyl group. , 4-vinylbutyl group and the like.
  • the alkylene group is a divalent group derived by removing one more hydrogen atom from the alkyl group, and may be linear, branched, or cyclic, and the number of carbon atoms in the alkylene group is particularly Although not limited, it is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, and still more preferably 10 or less.
  • the alkylene group of R 102 may have one or more selected from a sulfide bond, an ether bond and an ester bond at the terminal or in the middle, preferably in the middle.
  • alkylene groups include linear groups such as methylene, ethylene, trimethylene, methylethylene, tetramethylene, pentamethylene, hexamethylene, heptamethylene, octamethylene, nonamethylene and decamethylene groups.
  • alkylene group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, branched alkylene groups such as 1-ethyltrimethylene group, 1,2-cyclopropipanediyl group, 1,2-cyclobutanediyl, 1, 3-cyclobutanediyl group, cyclic alkylene such as 1,2-cyclohexanediyl and 1,3-cyclohexanediyl, -CH 2 OCH 2 -, -CH 2 CH 2 OCH 2 -, -CH 2 CH 2 OCH 2 CH2- , -CH2CH2CH2OCH2CH2- , -CH2CH2OCH2CH2CH2- , -CH2CH2CH2OCH2CH2CH2- ,
  • the hydroxyalkylene group is obtained by replacing at least one hydrogen atom of the above alkylene group with a hydroxy group.
  • a hydroxymethylene group a 1-hydroxyethylene group, a 2-hydroxyethylene group, a -dihydroxyethylene group, 1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group, 4-hydroxy tetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, 4 , 4-dihydroxytetramethylene group and the like, but are not limited to these.
  • R 11 is selected from the group consisting of a phenyl group, a diaminopropyl group, and an isocyanuric acid skeleton (in formula (5-2), X 101 represents a group represented by formula (5-5)) A group containing at least one selected is preferred.
  • R 12 is the same as R 2 in formula (1) above.
  • R 13 is the same as R 3 in formula (1) above.
  • a represents 1, b represents an integer of 0-2, and 4-(a+b) represents an integer of 1-3.
  • b preferably represents 0 or 1, more preferably 0;
  • the compound represented by formula (5) include phenyltrimethoxysilane, phenyltriethoxysilane, phenyltrichlorosilane, phenyltriacetoxysilane, phenylmethyldimethoxysilane, phenylmethyldiethoxysilane, phenylmethyl Dichlorosilane, phenylmethyldiacetoxysilane, phenyldimethylmethoxysilane, phenyldimethylethoxysilane, phenyldimethylchlorosilane, phenyldimethylacetoxysilane, diphenylmethylmethoxysilane, diphenylmethylethoxysilane, diphenylmethylchlorosilane, diphenylmethylacetoxysilane, diphenyldimethoxysilane , diphenyldiethoxysilane, diphenyldichlorosilane, diphenyld
  • a silane compound in which R 11 in the formula is an organic group containing a group represented by the above formula (5-2) is a commercially available product. You may use it and it can also synthesize
  • Specific examples of the silane compound containing an organic group containing the group represented by the above formula (5-2) include, but are not limited to, the compounds shown below.
  • examples of the silane compound represented by formula (5) above include aryl group-containing silane compounds represented by formulas (A-1) to (A-41).
  • hydrolyzable silanes hydrolyzable silanes
  • the above hydrolyzable silane mixture is added with the above formula (1) or (2), or optionally (3), (4) or (5).
  • other silane compounds represented by the following formula (6) other hydrolyzable silanes can be used.
  • R 14 is a group that bonds to a silicon atom and is independently of each other an optionally substituted alkyl group, an optionally substituted halogenated alkyl group, or a substituted or an organic group including an epoxy, acryloyl, methacryloyl, mercapto, amido, alkoxy, sulfonyl, or cyano group, or a combination thereof.
  • R 15 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom. and c represents an integer of 1-3.
  • each group in R 14 and the preferred number of carbon atoms thereof include the groups and the number of carbon atoms described above for R 2 .
  • Specific examples of each group in R 15 and the preferred number of carbon atoms thereof include the groups and atoms and the number of carbon atoms described above for R 3 .
  • hydrolyzable silanes represented by formula (6) include methyltrimethoxysilane, methyltrichlorosilane, methyltriacetoxysilane, methyltriethoxysilane, methyltripropoxysilane, methyltributoxysilane, methyltriamine roxysilane, methyltribenzyloxysilane, methyltriphenethyloxysilane, glycidoxymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxyethyltriethoxysilane , ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxyethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxyethyltriethoxysilane, ⁇ -gly
  • hydrolyzable silane mixture may contain other silane compounds (hydrolyzable silanes) other than those listed above as long as the effects of the present invention are not impaired.
  • the composition for forming a resist underlayer film of the present invention contains the hydrolytic condensate of the hydrolyzable silane mixture.
  • the composition for forming a resist underlayer film of the present invention contains at least a hydrolytic condensate of the hydrolyzable silane mixture.
  • the hydrolytic condensate contained in the composition for forming a resist underlayer film of the present invention is a silane represented by formula (1) or formula (2), and optionally represented by formula (3).
  • Hydrolyzable silanes represented by formula (4) hydrolyzable silanes represented by formula (5), other hydrolyzable silanes represented by formula (6), or Hydrolytic condensates obtained using hydrolyzable silanes other than those represented by these formulas are included.
  • the charged amount of the silane compound represented by formula (1) is equal to all the silane compounds contained in the hydrolyzable silane mixture ( Hydrolyzable silane) can be, for example, 0.1 to 30 mol % with respect to 100 mol % charged amount.
  • the charged amount of the silane compound represented by formula (2) is equal to all the silane compounds contained in the hydrolyzable silane mixture ( Hydrolyzable silane) can be, for example, 0.1 to 30 mol % with respect to 100 mol % charged amount.
  • the charged amount of the silane compound represented by formula (3) is equal to all the silane compounds contained in the hydrolyzable silane mixture ( Hydrolyzable silane) can be, for example, 15 to 50 mol % with respect to 100 mol % charged amount.
  • the charged amount of the silane compound represented by formula (4) is equal to all the silane compounds contained in the hydrolyzable silane mixture ( Hydrolyzable silane) can be, for example, 30 to 70 mol %, or 25 to 45 mol % with respect to 100 mol % charged amount.
  • the compound represented by formula (5) is used in the hydrolyzable silane mixture (for example, when a silane compound represented by formula (5) in which R 11 is an aryl group is used), the compound represented by formula (5) is
  • the charged amount of the silane compound can be, for example, 0.01 to 5 mol% with respect to 100 mol% charged amount of all the silane compounds (hydrolyzable silane) contained in the hydrolyzable silane mixture. .
  • the hydrolytic condensate of the above hydrolyzable silane mixture can have a weight average molecular weight of, for example, 500 to 1,000,000.
  • the weight average molecular weight is preferably 500,000 or less, more preferably 250,000 or less, and still more preferably 100,000 or less. It is preferably 700 or more, more preferably 1,000 or more, from the viewpoint of compatibility between storage stability and coatability.
  • a weight average molecular weight is a molecular weight obtained by polystyrene conversion by GPC analysis.
  • a GPC device (trade name HLC-8220GPC, manufactured by Tosoh Corporation), a GPC column (trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko Co., Ltd.) is used, and the column temperature is adjusted to It can be carried out at 40° C., using tetrahydrofuran as an eluent (elution solvent), a flow rate (flow rate) of 1.0 mL/min, and using polystyrene (manufactured by Showa Denko KK) as a standard sample.
  • the hydrolytic condensate of the hydrolyzable silane mixture is obtained by hydrolyzing and condensing the above silane compound (hydrolyzable silane).
  • the above silane compound (hydrolyzable silane) includes an alkoxy group, an aralkyloxy group, an acyloxy group, and a halogen atom directly bonded to a silicon atom, that is, an alkoxysilyl group, an aralkyloxysilyl group, and an acyloxysilyl group, which are hydrolyzable groups. groups, including silyl halide groups.
  • water is usually used in an amount of 0.5 to 100 mol, preferably 1 to 10 mol, per 1 mol of hydrolyzable group.
  • a hydrolysis catalyst may be used for the purpose of promoting the reaction, or the hydrolysis and condensation may be performed without using a hydrolysis catalyst.
  • a hydrolysis catalyst it can be used in an amount of usually 0.0001 to 10 mol, preferably 0.001 to 1 mol, per 1 mol of hydrolyzable group.
  • the reaction temperature for hydrolysis and condensation is generally room temperature or higher and the reflux temperature or lower of the organic solvent that can be used for hydrolysis at normal pressure, for example, 20 to 110°C, or for example, 20 to 80°C.
  • the hydrolysis can be either complete hydrolysis, i.e. converting all hydrolyzable groups to silanol groups, or partial hydrolysis, i.e. leaving unreacted hydrolyzable groups. good.
  • Hydrolysis catalysts that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Metal chelate compounds as hydrolysis catalysts include, for example, triethoxy mono(acetylacetonato)titanium, tri-n-propoxy mono(acetylacetonato)titanium, tri-i-propoxy mono(acetylacetonato)titanium, tri -n-butoxy mono(acetylacetonato)titanium, tri-sec-butoxy mono(acetylacetonato)titanium, tri-t-butoxy mono(acetylacetonato)titanium, diethoxy bis(acetylacetonato)titanium , di-n-propoxy bis (acetylacetonato) titanium, di-i-propoxy bis (acetylacetonato) titanium, di-n-butoxy bis (acetylacetonate) titanium, di-sec-butoxy bis (acetylacetonato)titanium, di-t-butoxy bis(acet
  • Organic acids as hydrolysis catalysts are, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacine.
  • Acid gallic acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfone Acids include, but are not limited to, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid, and the like.
  • inorganic acids as hydrolysis catalysts include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, and phosphoric acid.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, dia Zabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylphenylammonium hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide etc., but not limited to these.
  • Inorganic bases as hydrolysis catalysts include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide
  • metal chelate compounds organic acids, and inorganic acids are preferred, and these may be used singly or in combination of two or more.
  • nitric acid can be preferably used as a hydrolysis catalyst in the present invention.
  • nitric acid By using nitric acid, the storage stability of the reaction solution after hydrolysis and condensation can be improved, and in particular, changes in the molecular weight of the hydrolyzed condensate can be suppressed. It has been found that the stability of hydrolytic condensates in liquid depends on the pH of the solution. As a result of intensive studies, it was found that the pH of the solution becomes a stable region by using an appropriate amount of nitric acid.
  • An organic solvent may be used as a solvent for the hydrolysis and condensation, and specific examples include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2 , 2,4-trimethylpentane, n-octane, i-octane, cyclohexane, aliphatic hydrocarbon solvents such as methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i- Aromatic hydrocarbon solvents such as propylbenzene, diethylbenzene, i-butylbenzene, triethylbenzene, di-i-propylbenzene, n-amylnaphthalene; methanol, ethanol,
  • reaction solution is diluted or concentrated, neutralized, and treated with an ion-exchange resin to hydrolyze the acids, bases, etc. used in the hydrolysis and condensation.
  • Catalyst can be removed.
  • by-products such as alcohol and water, and the used hydrolysis catalyst can be removed from the reaction solution by vacuum distillation or the like.
  • the hydrolytic condensate (hereinafter also referred to as polysiloxane) thus obtained is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, and this is directly used in the composition for forming a resist underlayer film described later.
  • the obtained polysiloxane varnish may be subjected to solvent replacement or may be diluted with a solvent as appropriate.
  • the polysiloxane varnish thus obtained may have a solid concentration of 100% by distilling off the organic solvent if the storage stability is not poor.
  • the organic solvent used for solvent substitution, dilution, etc. of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis and condensation reaction of the hydrolyzable silane mixture.
  • the diluting solvent is not particularly limited, and one or two or more can be arbitrarily selected and used.
  • composition for forming a resist underlayer film of the present invention has a specific additive having a chemical structure containing a solvent and a cation AX + and an anion AZ ⁇ in addition to the hydrolysis condensate (polysiloxane) of the above hydrolyzable silane mixture.
  • Agent (Compound A) and other components can be included.
  • the solvent used in the composition for forming a resist underlayer film of the present invention can be used without particular limitation as long as it can dissolve the solid content in the composition for forming a resist underlayer film.
  • Such a solvent is not particularly limited as long as it dissolves the hydrolytic condensate of the hydrolyzable silane mixture, the specific additive (compound A), and other components.
  • methyl cellosolve acetate ethyl cellosolve acetate
  • propylene glycol propylene glycol monomethyl ether (1-methoxy-2-propanol)
  • propylene glycol monoethyl ether 1,3-bis(trimethoxy-2-propanol)
  • methyl isobutyl carbinol methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), methyl isobutyl carbinol.
  • propylene glycol monobutyl ether propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclo pentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxyacetate, ethyl hydroxyacetate, methyl 2-hydroxy-3-methylbutanoate, methyl 3-methoxypropionate, 3- ethyl methoxypropionate, ethyl 3-ethoxypropionate, methyl 3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, ethylene glycol monomethyl
  • the composition for forming a resist underlayer film of the present invention may contain water as a solvent.
  • water When water is included as a solvent, its content is, for example, 30% by mass or less, preferably 20% by mass or less, and even more preferably 15% by mass or less, relative to the total mass of the solvent contained in the composition. can.
  • the resist underlayer film-forming composition containing the hydrolytic condensate (polysiloxane) of the hydrolyzable silane mixture further contains a specific additive (compound A) having a chemical structure containing the cation AX + and the anion AZ ⁇ .
  • a resist underlayer film exhibiting superior solubility in an alkaline solution (basic chemical solution) can be formed.
  • a specific additive is a compound having a chemical structure comprising a cation AX + and an anion AZ ⁇ , the anion having a molecular weight of 65 or greater.
  • cation means a positively charged atom or a positively charged group of atoms
  • anion means a negatively charged atom or a negatively charged means an atomic group.
  • the solubility of the resist underlayer film in an alkaline solution is increased because the anion of the specific additive (compound A) This is not because the three-dimensional cross-linking does not proceed due to the presence of the species between the hydrolyzed condensates (polymers), which inhibits the cross-linked bonds of the hydrolyzed condensates, or the anion species itself binds and caps. I'm guessing.
  • the molecular weight of the anion AZ ⁇ is more preferably 65 or more from the viewpoint of suppressing condensation. Moreover, from the viewpoint of maintaining dry etching resistance, it is more preferably 500 or less.
  • the anion AZ ⁇ may be present extramolecularly or intramolecularly with the cation AX + .
  • the anion AZ ⁇ is present outside the cation AX + molecule means that the anion AZ ⁇ is not bound to the cation AX + via a covalent bond and is an independent structural unit from the cation AX + refers to the state of existence.
  • Forms of compound A as described above include, for example, salts.
  • the anion present outside the cation molecule is also referred to as a counter anion.
  • the anion AZ ⁇ may be bonded to the cation AX + via a covalent bond. That is, the form of compound A may be an inner salt (also referred to as a zwitterion).
  • the type of anion AZ ⁇ is not particularly limited as long as it satisfies the condition that the molecular weight of the anion is 65 or more.
  • Z represents an aromatic ring, a cyclic alkane, or a cyclic alkene in a non-aromatic ring;
  • R 501 represents an alkyl group that may be partially or wholly substituted with a fluorine atom,
  • R 302 and R 303 independently represent an alkyl group,
  • R 304 and R 305 independently represent an alkyl group.
  • alkyl group aryl group, halogenated alkyl group, and aralkyl group are the same as those described above.
  • substituent that may be substituted on the alkyl group or the like are the same as those described above.
  • Specific additives include, for example, compounds having a sulfonate anion represented by the above formula (A).
  • the compound having a sulfonate anion represented by the formula (A) includes not only compounds having an anion represented by the formula (A) outside the molecule, but also sulfobetaines such as laurylsulfobetaine and myristylsulfobetaine.
  • it may be a compound having an anion represented by formula (A) in its molecule (see compounds (Add-6) and (Add-7) below).
  • Specific additives include, for example, compounds having an anion containing a triazole skeleton represented by the above formula (B-1) or the above formula (B-2).
  • Z represents an aromatic ring having 1 to 6 carbon atoms, a cyclic alkane, or a non-aromatic cyclic alkene.
  • a compound having an anion represented by formula (B-2) is preferred, and Z is preferably an aromatic ring in formula (B-2). That is, a preferred embodiment of the specific additive (compound A) includes, for example, a compound having an anion containing a benzotriazole skeleton represented by (b-1) below.
  • Specific additives include, for example, compounds represented by the above formula (C).
  • R 501 represents an alkyl group having 1 to 4 carbon atoms, a fluoroalkyl group partially or wholly substituted with fluorine atoms, or a perfluoroalkyl group. Among them, R 501 is preferably a CF 3 group or a C 4 F 9 group.
  • the specific additive (compound A) for example, a compound having an anion of bis(trifluoromethanesulfonyl)imide represented by (c-1) below is more preferable.
  • Specific additives include, for example, compounds having a thiophosphate anion represented by the above formula (D).
  • Specific additives include, for example, compounds having a phosphate anion represented by the above formula (E).
  • Specific examples of the specific additive include, but are not limited to, compounds represented by the following formulas (Add-1) to (Add-11).
  • the content thereof can be 1 to 30 parts by mass with respect to 100 parts by mass of the composition for forming a resist underlayer film.
  • the composition for forming a resist underlayer film of the present invention can contain various additives (also referred to as other additives) that are components other than the specific additives described above, depending on the application of the composition.
  • Other components (other additives) that can be blended in the composition for forming a resist underlayer film include, for example, curing catalysts (ammonium salts, phosphines, phosphonium salts, sulfonium salts, nitrogen-containing silane compounds, etc.), cross-linking agents, Cross-linking catalyst, stabilizer (organic acid, water, alcohol, etc.), organic polymer compound, acid generator, surfactant (nonionic surfactant, anionic surfactant, cationic surfactant, silicon surfactant agents, fluorine-based surfactants, UV-curable surfactants, etc.), pH adjusters, rheology adjusters, adhesion aids, resist underlayer films, anti-reflection films, pattern reversal films,
  • Curing catalyst As the curing catalyst, ammonium salts, phosphines, phosphonium salts, sulfonium salts and the like can be used.
  • the following salts described as curing catalysts may be added in the form of salts, or those that form salts in the composition (these are added as separate compounds at the time of addition, and those that form salts in the system ).
  • n is an integer of 2 to 3
  • H is a hydrogen atom
  • N is a nitrogen atom
  • Y - represents an anion
  • R 31 , R 32 , R 33 and R 34 represent an alkyl group or an aryl group
  • P represents a phosphorus atom
  • Y- represents an anion
  • R 31 , R 32 , R 33 and R 34 are each bonded to a phosphorus atom via a CP bond.
  • R 35 , R 36 and R 37 represent an alkyl group or an aryl group
  • S represents a sulfur atom
  • Y- represents an anion
  • R 35 , R 36 and R 37 each represent C—S and a tertiary sulfonium salt represented by ), which is bound to the sulfur atom by a bond.
  • the compound of formula (D-1) above is a quaternary ammonium salt derived from an amine, where m is an integer of 2-11 and n is an integer of 2-3.
  • R 21 of this quaternary ammonium salt represents an alkyl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, or an aryl group having 6 to 18 carbon atoms, such as ethyl group, propyl group, butyl group, etc. linear alkyl group, benzyl group, cyclohexyl group, cyclohexylmethyl group, dicyclopentadienyl group and the like.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • the compound of formula (D-2) above is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y - .
  • R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are alkyl groups of 1 to 18 carbon atoms or aryl groups of 6 to 18 carbon atoms.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • the quaternary ammonium salts are commercially available, for example tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride. Ammonium, trimethylbenzylammonium chloride and the like are exemplified.
  • the compound of formula (D-3) above is a quaternary ammonium salt derived from 1-substituted imidazole, R 26 and R 27 have 1 to 18 carbon atoms, and R 26 and R 27 The total number of carbon atoms is preferably 7 or more.
  • R26 can be exemplified by a methyl group, ethyl group, propyl group, phenyl group and benzyl group, and R27 can be exemplified by a benzyl group, octyl group and octadecyl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be obtained as a commercial product.
  • imidazole compounds such as 1-methylimidazole and 1-benzylimidazole are reacted with alkyl and aryl halides such as benzyl bromide and methyl bromide. can be manufactured by
  • the compound of formula (D-4) above is a quaternary ammonium salt derived from pyridine, wherein R 28 is an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or It is an aryl group of numbers 6 to 18, and examples thereof include butyl, octyl, benzyl and lauryl groups.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be obtained as a commercial product, and is produced, for example, by reacting pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide. can do.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • the compound of formula (D-5) above is a quaternary ammonium salt derived from a substituted pyridine typified by picoline and the like, and R 29 has 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. or an aryl group having 6 to 18 carbon atoms such as a methyl group, an octyl group, a lauryl group and a benzyl group.
  • R 30 is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, for example, when it is a quaternary ammonium derived from picoline, R 30 is a methyl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • This compound is also commercially available, and for example, by reacting a substituted pyridine such as picoline with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide, or an aryl halide. can be produced by Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.
  • the compound of formula (D-6) above is a tertiary ammonium salt derived from an amine, where m is an integer of 2-11 and n is an integer of 2-3.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be produced by reacting an amine with a weak acid such as a carboxylic acid or phenol.
  • Carboxylic acids include formic acid and acetic acid.
  • the anion (Y ⁇ ) is (HCOO ⁇ ), and when acetic acid is used, the anion (Y ⁇ ) is (CH 3 COO - ). Also, when phenol is used, the anion (Y ⁇ ) is (C 6 H 5 O ⁇ ).
  • the compound of formula (D-7) above is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y - .
  • R 31 , R 32 , R 33 and R 34 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably among the four substituents R 31 to R 34 and three are phenyl groups or substituted phenyl groups, for example, phenyl groups and tolyl groups can be exemplified, and the remaining one is an alkyl group having 1 to 18 carbon atoms, It is an aryl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be obtained as a commercial product, and examples thereof include tetraalkylphosphonium halides such as tetra-n-butylphosphonium halide and tetra-n-propylphosphonium halide, and trialkylbenzyl halides such as triethylbenzylphosphonium halide.
  • Phosphonium triphenylmethylphosphonium halide, triphenylmonoalkylphosphonium halide such as triphenylethylphosphonium halide, triphenylbenzylphosphonium halide, tetraphenylphosphonium halide, tritolylmonoarylphosphonium halide, or tritolylmonohalide
  • Alkylphosphonium (wherein the halogen atom is a chlorine atom or a bromine atom) can be mentioned.
  • triphenylmonoalkylphosphonium halides such as triphenylmethylphosphonium halide and triphenylethylphosphonium halide
  • triphenylmonoarylphosphonium halides such as triphenylbenzylphosphonium halide
  • halogens such as tritolylmonophenylphosphonium halide
  • Tritolylmonoalkylphosphonium halides halogen atoms are chlorine atoms or bromine atoms
  • tritolylmonoarylphosphonium halides and tritolylmonomethylphosphonium halides are preferred.
  • Phosphines include primary phosphines such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine and phenylphosphine, and secondary phosphines such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine and diphenylphosphine. , trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, dimethylphenylphosphine and the like.
  • the compound of formula (D-8) above is a tertiary sulfonium salt having a structure of R 35 R 36 R 37 S + Y - .
  • R 35 , R 36 and R 37 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably two of the three substituents of R 35 to R 37 are phenyl or a substituted phenyl group such as a phenyl group and a tolyl group, and the remaining one is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. be.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ), maleate anion, nitrate anion and the like.
  • This compound is commercially available and includes trialkylsulfonium halides such as tri-n-butylsulfonium halide and tri-n-propylsulfonium halide, and dialkylbenzylsulfonium halides such as diethylbenzylsulfonium halide.
  • diphenylmethylsulfonium halide, diphenylethylsulfonium halide and other diphenyl monoalkylsulfonium halides triphenylsulfonium halides (halogen atoms are chlorine atoms or bromine atoms), tri-n-butylsulfonium carboxylate, tri-n- trialkylsulfonium carboxylates such as propylsulfonium carboxylate; dialkylbenzylsulfonium carboxylates such as diethylbenzylsulfonium carboxylate; diphenylmethylsulfonium carboxylate; is mentioned.
  • triphenylsulfonium halides and triphenylsulfonium carboxylates can be preferably used.
  • Nitrogen-containing silane compounds include imidazole ring-containing silane compounds such as N-(3-triethoxysilipropyl)-4,5-dihydroimidazole.
  • a curing catalyst When a curing catalyst is used, it is 0.01 to 10 parts by weight, or 0.01 to 5 parts by weight, or 0.01 to 3 parts by weight, based on 100 parts by weight of polysiloxane. .
  • the stabilizing agent can be added for the purpose of stabilizing the hydrolyzed condensate of the hydrolyzable silane mixture, and specific examples thereof include adding an organic acid, water, alcohol, or a combination thereof. can be done.
  • the organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid and salicylic acid. Among them, oxalic acid and maleic acid are preferred.
  • the amount added is 0.1 to 5.0% by mass based on the mass of the hydrolytic condensate of the hydrolyzable silane mixture.
  • These organic acids can also act as pH adjusters.
  • water pure water, ultrapure water, ion-exchanged water, or the like can be used, and when used, the amount added is 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the composition for forming a resist underlayer film.
  • the above alcohol is preferably one that is easily scattered (volatilized) by heating after application, and examples thereof include methanol, ethanol, propanol, i-propanol, and butanol.
  • the amount added can be 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the composition for forming a resist underlayer film.
  • Organic polymer By adding the organic polymer compound to the composition for forming a resist underlayer film, the dry etching rate (decrease in film thickness per unit time) of the film (resist underlayer film) formed from the composition, Also, the attenuation coefficient, refractive index, etc. can be adjusted.
  • the organic polymer compound is not particularly limited, and is appropriately selected from various organic polymers (condensation polymer and addition polymer) depending on the purpose of addition.
  • addition polymerization polymers and condensation polymerization polymers such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolak, naphthol novolak, polyether, polyamide, and polycarbonate.
  • organic polymers containing aromatic rings such as benzene rings, naphthalene rings, anthracene rings, triazine rings, quinoline rings, and quinoxaline rings and heteroaromatic rings that function as light-absorbing sites are also used when such functions are required. can be preferably used.
  • organic polymeric compounds include addition polymerizable compounds such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthryl methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether and N-phenylmaleimide.
  • addition polymerized polymers containing monomers as their structural units and condensation polymerized polymers such as phenol novolacs and naphthol novolacs.
  • the polymer compound may be either a homopolymer or a copolymer.
  • Addition-polymerizable monomers are used in the production of addition-polymerized polymers, and specific examples of such addition-polymerizable monomers include acrylic acid, methacrylic acid, acrylic ester compounds, methacrylic ester compounds, acrylamide compounds, methacrylic Examples include, but are not limited to, amide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic anhydride, acrylonitrile, and the like.
  • acrylic acid ester compounds include methyl acrylate, ethyl acrylate, normal hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthryl methyl acrylate, 2-hydroxyethyl acrylate, 3-chloro-2 - hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2,2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, tetrahydrofurfuryl acrylate, 2-methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-acryloxypropyltriethoxysilane, glycidyl acrylate, etc
  • methacrylate compounds include methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthrylmethyl methacrylate, 2-hydroxyethyl methacrylate, and 2-hydroxypropyl methacrylate.
  • acrylamide compounds include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N,N-dimethylacrylamide, N-anthrylacrylamide and the like. Not limited.
  • methacrylamide compounds include methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N,N-dimethylmethacrylamide, and N-anthrylmethacrylamide. etc., but not limited to these.
  • vinyl compounds include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetate, vinyltrimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene, vinyl Examples include, but are not limited to, anthracene.
  • styrene compounds include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, and acetylstyrene.
  • Maleimide compounds include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide, and the like.
  • a polycondensation polymer when used as the polymer, such a polymer includes, for example, polycondensation of a glycol compound and a dicarboxylic acid compound.
  • Glycol compounds include diethylene glycol, hexamethylene glycol, butylene glycol and the like.
  • Dicarboxylic acid compounds include succinic acid, adipic acid, terephthalic acid, maleic anhydride and the like.
  • Further examples include, but are not limited to, polyesters such as polypyromellitimide, poly(p-phenylene terephthalamide), polybutylene terephthalate, and polyethylene terephthalate, polyamides, and polyimides.
  • the organic polymer compound contains a hydroxy group, this hydroxy group can undergo a cross-linking reaction with a hydrolytic condensate or the like.
  • the weight-average molecular weight of the above organic polymer compound can be usually 1,000 to 1,000,000.
  • the weight average molecular weight thereof is, for example, 3,000 to 300,000, or 5,000, from the viewpoint of suppressing precipitation in the composition while sufficiently obtaining the effect of the function as a polymer. It can be ⁇ 300,000, or 10,000 to 200,000, and so on.
  • Such organic polymer compounds may be used singly or in combination of two or more.
  • the content thereof is determined as appropriate in consideration of the function of the organic polymer compound, etc., and cannot be unconditionally defined.
  • the mass of the hydrolytic condensate of the mixture it can be in the range of 1 to 200% by mass, and from the viewpoint of suppressing precipitation in the composition, for example, 100% by mass or less, preferably 50% by mass or less. , more preferably 30% by mass or less, and from the viewpoint of sufficiently obtaining the effect, for example, 5% by mass or more, preferably 10% by mass or more, more preferably 30% by mass or more.
  • acid generators include thermal acid generators and photoacid generators, and photoacid generators can be preferably used.
  • Photoacid generators include, but are not limited to, onium salt compounds, sulfonimide compounds, disulfonyldiazomethane compounds, and the like.
  • thermal acid generators include tetramethylammonium nitrate and the like, but are not limited thereto.
  • onium salt compounds include diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoro-normal butanesulfonate, diphenyliodonium perfluoro-normal octane sulfonate, diphenyliodonium camphorsulfonate, bis(4-t-butylphenyl ) iodonium salt compounds such as iodonium camphorsulfonate, bis(4-t-butylphenyl)iodonium trifluoromethanesulfonate, triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluoron-butanesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium Examples include, but are not limited to,
  • sulfonimide compounds include N-(trifluoromethanesulfonyloxy)succinimide, N-(nonafluoro-normalbutanesulfonyloxy)succinimide, N-(camphorsulfonyloxy)succinimide, and N-(trifluoromethanesulfonyloxy)naphthalimide. etc., but not limited to these.
  • disulfonyldiazomethane compounds include bis(trifluoromethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(p-toluenesulfonyl)diazomethane, and bis(2,4-dimethylbenzene).
  • sulfonyl)diazomethane methylsulfonyl-p-toluenesulfonyldiazomethane, and the like, but are not limited thereto.
  • the content thereof is determined as appropriate in consideration of the type of the acid generator and the like, and cannot be categorically defined. is in the range of 0.01 to 5% by mass relative to the mass of the hydrolytic condensate of, preferably 3% by mass or less, more preferably 3% by mass or less, more preferably from the viewpoint of suppressing precipitation of the acid generator in the composition. It is 1% by mass or less, preferably 0.1% by mass or more, more preferably 0.5% by mass or more, from the viewpoint of sufficiently obtaining the effect.
  • the acid generator may be used singly or in combination of two or more, and a photoacid generator and a thermal acid generator may be used in combination.
  • Surfactants are effective in suppressing the occurrence of pinholes, striations, etc. when the composition for forming a resist underlayer film is applied to a substrate.
  • the surfactant include nonionic surfactants, anionic surfactants, cationic surfactants, silicon surfactants, fluorochemical surfactants, and UV curable surfactants.
  • polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol
  • Polyoxyethylene alkylaryl ethers such as ethers, polyoxyethylene/polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate sorbitan fatty acid esters such as polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate
  • Nonionic surfactants such as sorbitan fatty acid esters, trade name Ftop (registered trademark)
  • the content thereof is usually 0.0001 to 5% by mass based on the mass of the hydrolytic condensate of the hydrolyzable silane mixture. , preferably 0.001 to 4% by mass, more preferably 0.01 to 3% by mass.
  • the rheology modifier mainly improves the fluidity of the composition for forming a resist underlayer film. It is added for the purpose of enhancement.
  • Specific examples include phthalic acid derivatives such as dimethyl phthalate, diethyl phthalate, di-i-butyl phthalate, dihexyl phthalate, butyl i-decyl phthalate, di-n-butyl adipate, di-i-butyl adipate, di-i-octyl adipate, Adipic acid derivatives such as octyldecyl adipate, maleic acid derivatives such as di-n-butyl maleate, diethyl maleate and dinonyl maleate, oleic acid derivatives such as methyl oleate, butyl oleate and tetrahydrofurfuryl oleate, normal butyl stearate and glyceryl stearate Examples include stearoyl phthal
  • the adhesion aid mainly improves the adhesion between the substrate or the resist and the film (resist underlayer film) formed from the resist underlayer film-forming composition, and particularly suppresses/prevents peeling of the resist during development. added for a purpose.
  • chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane
  • alkoxysilanes such as trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, and dimethylvinylethoxysilane
  • Disilazane, N,N'-bis(trimethylsilyl)urea dimethyltrimethylsilylamine, silazanes such as trimethylsilylimidazole, ⁇ -chloropropyltrimethoxysilane, ⁇ -aminopropyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane
  • Heterocyclic compounds such as other silanes such as benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-
  • bisphenol S or a bisphenol S derivative can be added in addition to an acid having one or more carboxylic acid groups such as the organic acid exemplified above as the ⁇ stabilizer>.
  • Bisphenol S or a bisphenol S derivative is 0.01 to 20 parts by mass, or 0.01 to 10 parts by mass, or 0.01 to 100 parts by mass of the hydrolytic condensate of the hydrolyzable silane mixture. 5 parts by mass.
  • bisphenol S and bisphenol S derivatives are given below, but are not limited to these.
  • the concentration of solids in the resist underlayer film-forming composition is, for example, 0.1 to 50% by mass, 0.1 to 30% by mass, 0.1 to 25% by mass, 0 .5 to 20.0% by mass.
  • the solid content refers to the components excluding the solvent component from all the components of the composition.
  • the content of the hydrolytic condensate of the hydrolyzable silane mixture in the solid content is usually 20% by mass to 100% by mass. is preferably 50% by mass, more preferably 60% by mass, even more preferably 70% by mass, and still more preferably 80% by mass, and its upper limit is preferably 99% by mass.
  • the content of the hydrolyzed condensate of the hydrolyzable silane mixture in the composition can be, for example, 0.5 to 20.0% by mass.
  • the composition for forming a resist underlayer film preferably has pH 2-5, more preferably pH 3-4.
  • the composition for forming a resist underlayer film contains a hydrolytic condensate of the hydrolyzable silane mixture, a solvent, and, if desired, a specific additive (compound A) and other components when the specific additive is included. It can be produced by mixing (compound A) and other components. At this time, a solution containing a hydrolytic condensate or the like may be prepared in advance, and this solution may be mixed with a solvent, a specific additive (compound A), or other components. The mixing order is not particularly limited.
  • a solvent may be added to a solution containing a hydrolytic condensate or the like and mixed, and a specific additive (compound A) or other components may be added to the mixture, and the solution containing the hydrolytic condensate or the like, A solvent, a specific additive (compound A) and other components may be mixed together. If necessary, additional solvent may be added at the end, or some components that are relatively soluble in the solvent may be left out of the mixture and added at the end, but aggregation of the components may occur. From the viewpoint of suppressing the separation and reproducibly preparing a composition having excellent uniformity, it is preferable to prepare a solution in which the hydrolyzed condensate and the like are well dissolved in advance and use it to prepare the composition.
  • the hydrolyzed condensate or the like may aggregate or precipitate when mixed, depending on the type and amount of the solvent mixed together, the amount and properties of other components, and the like. Further, when preparing a composition using a solution in which a hydrolytic condensate or the like is dissolved, the hydrolytic condensate or the like is added so that the amount of the hydrolytic condensate or the like in the finally obtained composition is a desired amount. Also note that the concentration of the solution and the amount to be used need to be determined. In the preparation of the composition, the composition may be appropriately heated as long as the components are not decomposed or altered.
  • the composition for forming a resist underlayer film may be filtered using a submicrometer-order filter or the like in the middle of manufacturing the composition or after mixing all the components.
  • composition for forming a resist underlayer film of the present invention can be suitably used as a composition for forming a resist underlayer film used in the lithography process.
  • composition for forming a silicon-containing resist underlayer film of the second aspect contains a hydrolytic condensate of a hydrolyzable silane mixture and a specific additive (compound A) having a chemical structure containing a cation AX + and an anion AZ ⁇ .
  • a composition for forming a resist underlayer film containing a hydrolytic condensate of a hydrolyzable silane mixture contains a specific additive (compound A) having a chemical structure containing a cation AX + and an anion AZ ⁇ .
  • hydrolyzable silane that forms the hydrolytic condensate of the hydrolyzable silane mixture contained in the composition for forming a silicon-containing resist underlayer film of the second aspect is not particularly limited. All the silane compounds (hydrolyzable silanes) described in the section ⁇ Hydrolytic condensate of hydrolyzable silane mixture> in Containing resist underlayer film-forming composition) can be used.
  • the hydrolyzable silane represented by the formula (1), the hydrolyzable silane represented by the formula (2), the hydrolyzable silane represented by the formula (3), and the hydrolyzable silane represented by the formula (4) Either a decomposable silane or a hydrolyzable silane represented by formula (5) may be included, or a hydrolyzable silane other than the hydrolyzable silanes represented by those formulas may be included.
  • the difference between the hydrolytic condensate of the second aspect and the hydrolytic condensate of the first aspect is that the type of hydrolyzable silane contained in the hydrolyzable silane mixture is different from the specific hydrolyzable silane in the first aspect. is defined to include, whereas there is no particular limitation in the second aspect.
  • the solubility of the resist underlayer film in an alkaline solution can be improved.
  • the type of hydrolyzable silane contained in the decomposable silane mixture is not particularly limited. Any hydrolyzable silane can be used in the second aspect.
  • the hydrolyzable silane mixture in the second aspect, the above ⁇ hydrolytic condensate of the hydrolyzable silane mixture of the composition for forming a silicon-containing resist underlayer film of the first aspect) >, various hydrolyzable silanes can be used.
  • composition for forming a resist underlayer film of the second aspect also contains a solvent and other components in addition to the hydrolytic condensate of the hydrolyzable silane mixture (polysiloxane) and the specific additive (compound A). be able to.
  • composition solid content concentration and preferred pH value of the composition for forming a resist underlayer film in the second aspect and the method for producing the composition for forming a resist underlayer film are described above (Formation of a silicon-containing resist underlayer film in the first aspect). composition).
  • substrates used in the manufacture of precision integrated circuit elements e.g., semiconductor substrates such as silicon wafers coated with a silicon oxide film, silicon nitride film or silicon oxynitride film, silicon nitride substrates, quartz substrates, glass substrates (no Alkali glass, low alkali glass, crystallized glass), glass substrates with ITO (indium tin oxide) or IZO (indium zinc oxide) films, plastic (polyimide, PET, etc.) substrates, low dielectric
  • the composition for forming a resist underlayer film of the present invention is applied onto a low-k material (low-k material) coated substrate, flexible substrate, etc.) by an appropriate coating method such as a spinner or a coater, and then applied on a hot plate or the like.
  • the composition is cured to form a resist underlayer film.
  • the resist underlayer film refers to a film formed from the composition for forming a resist underlayer film of the present invention.
  • the firing conditions are appropriately selected from a firing temperature of 40° C. to 400° C. or 80° C. to 250° C. and a firing time of 0.3 minutes to 60 minutes.
  • the firing temperature is 150° C. to 250° C. and the firing time is 0.5 minutes to 2 minutes.
  • the film thickness of the resist underlayer film formed here is, for example, 10 nm to 1,000 nm, 20 nm to 500 nm, 50 nm to 300 nm, 100 nm to 200 nm, or 10 to 150 nm.
  • the organic underlayer film is formed on the substrate, and then the resist underlayer film is formed thereon.
  • the organic underlayer film used here is not particularly limited, and can be arbitrarily selected from those conventionally used in lithography processes.
  • the pattern width of the photoresist film is narrowed, and the photoresist film is used to prevent pattern collapse. Even if the film is thinly coated, the substrate can be processed by selecting an appropriate etching gas, which will be described later.
  • the resist underlayer film of the present invention can be processed by using a fluorine-based gas having a sufficiently high etching rate with respect to the photoresist film as an etching gas, and the resist underlayer film of the present invention can be sufficiently etched.
  • a fluorine-based gas having a sufficiently high etching rate with respect to the photoresist film as an etching gas
  • the resist underlayer film of the present invention can be sufficiently etched.
  • an oxygen-based gas having a high etching rate as an etching gas
  • the organic underlayer film can be processed.
  • the substrate and coating method that can be used at this time are the same as those described above.
  • a layer (resist film) of, for example, a photoresist material is formed on the resist underlayer film.
  • the resist film can be formed by a well-known method, that is, by applying a coating-type resist material (for example, a composition for forming a photoresist film) on the resist underlayer film and baking it.
  • the film thickness of the resist film is, for example, 10 nm to 10,000 nm, 100 nm to 2,000 nm, 200 nm to 1,000 nm, or 30 nm to 200 nm.
  • the photoresist material used for the resist film formed on the resist underlayer film is not particularly limited as long as it is sensitive to the light used for exposure (for example, KrF excimer laser, ArF excimer laser, etc.). Both negative photoresist materials and positive photoresist materials can be used.
  • a positive photoresist material composed of a novolac resin and a 1,2-naphthoquinonediazide sulfonic acid ester a chemically amplified photoresist composed of a binder having a group that decomposes with an acid to increase the alkali dissolution rate
  • a photoacid generator for example, a positive photoresist material composed of a novolac resin and a 1,2-naphthoquinonediazide sulfonic acid ester, a chemically amplified photoresist composed of a binder having a group that decomposes with an acid to increase the alkali dissolution rate.
  • a chemically amplified photoresist material composed of a low-molecular-weight compound, an alkali-soluble binder, and a photoacid generator that decomposes with an acid to increase the alkali dissolution rate of the photoresist material
  • a chemically amplified photoresist material composed of a binder having a group that causes a reaction, a low-molecular-weight compound that is decomposed by an acid to increase the alkali dissolution rate of the photoresist material, and a photoacid generator.
  • the resist film formed on the resist underlayer film may be a resist film for electron beam lithography (also referred to as an electron beam resist film) or a resist film for EUV lithography (also referred to as an EUV resist film) instead of the photoresist film.
  • the composition for forming a silicon-containing resist underlayer film of the present invention can be used for forming a resist underlayer film for electron beam lithography or for forming a resist underlayer film for EUV lithography. It is particularly suitable as a composition for forming a resist underlayer film for EUV lithography.
  • As the electron beam resist material either a negative type material or a positive type material can be used.
  • Specific examples thereof include a chemically amplified resist material composed of an acid generator and a binder having a group that is decomposed by an acid to change the alkali dissolution rate;
  • a chemically amplified resist material composed of a low-molecular-weight compound that changes the dissolution rate, a binder having a group that decomposes with an acid generator and an acid to change the alkali dissolution rate, and a binder that decomposes with the acid to change the alkali dissolution rate of the resist material.
  • non-chemically amplified resist materials made of binders Even when these electron beam resist materials are used, a resist film pattern can be formed in the same manner as when a photoresist material is used with an electron beam as the irradiation source.
  • a methacrylate resin-based resist material can be used as the EUV resist material.
  • the resist film formed on the resist underlayer film is exposed through a predetermined mask (reticle).
  • KrF excimer laser (wavelength 248 nm), ArF excimer laser (wavelength 193 nm), F2 excimer laser (wavelength 157 nm), EUV (wavelength 13.5 nm), electron beam, etc. can be used for exposure.
  • a post exposure bake can be performed if necessary.
  • the post-exposure heating is performed under conditions appropriately selected from a heating temperature of 70° C. to 150° C. and a heating time of 0.3 minutes to 10 minutes.
  • a developer for example, an alkaline developer
  • a developer for example, an alkaline developer
  • alkali metal hydroxides such as potassium hydroxide and sodium hydroxide
  • alkaline aqueous solutions examples include alkaline aqueous solutions (alkali developers) such as aqueous solutions of amines such as amine, propylamine and ethylenediamine. Furthermore, a surfactant or the like can be added to these developers.
  • alkali developers such as aqueous solutions of amines such as amine, propylamine and ethylenediamine.
  • a surfactant or the like can be added to these developers.
  • the development conditions are appropriately selected from a temperature of 5 to 50° C. and a time of 10 to 600 seconds.
  • an organic solvent can be used as a developer, and development is performed with the developer (solvent) after exposure.
  • the developer solvent
  • the photoresist film in the unexposed portions is removed to form a pattern of the photoresist film.
  • Examples of the developer (organic solvent) include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxyacetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, Ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol mono Ethyl ether acetate, 2-methoxybutyl acetate, 3-methoxybutyl
  • the resist underlayer film (intermediate layer) is removed, and then the patterned photoresist film and patterned resist underlayer film (intermediate layer) are removed.
  • the organic underlayer film (lower layer) is removed, and finally, the patterned photoresist film (upper layer), the patterned resist underlayer film (intermediate layer), and the patterned
  • the substrate is processed using the organic underlayer film (lower layer) as a protective film.
  • gases such as ( C3F8 ), trifluoromethane, carbon monoxide, argon , oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane and dichloroborane can do. It is preferable to use a halogen-based gas for the dry etching of the resist underlayer film.
  • a resist film (photoresist film) basically made of an organic substance is difficult to remove.
  • a silicon-containing resist underlayer film containing a large amount of silicon atoms is quickly removed by a halogen-based gas. Therefore, reduction in the thickness of the photoresist film due to dry etching of the resist underlayer film can be suppressed. As a result, it becomes possible to use a thin photoresist film. Therefore, the dry etching of the resist underlayer film is preferably performed using a fluorine-based gas. 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ), and the like, but are not limited to these.
  • the removal of the organic underlayer film (lower layer) performed using a protective film is preferably performed by dry etching using an oxygen-based gas (oxygen gas, oxygen/carbonyl sulfide (COS) mixed gas, etc.). This is because the resist underlayer film of the present invention containing a large amount of silicon atoms is difficult to remove by dry etching with an oxygen-based gas.
  • oxygen-based gas oxygen gas, oxygen/carbonyl sulfide (COS) mixed gas, etc.
  • the patterned resist underlayer film (intermediate layer) and optionally the patterned organic underlayer film (lower layer) are used as protective films to process the (semiconductor) substrate, which is performed by dry etching using a fluorine-based gas.
  • fluorine-based gases include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane (CH 2 F 2 ). mentioned.
  • the resist underlayer film after the step of etching (removing) the organic underlayer film, can be removed with a chemical solution.
  • the removal of the resist underlayer film with the chemical solution can also be performed after processing the substrate with the patterned organic underlayer film.
  • a composition for forming a resist underlayer film containing the hydrolytic condensate (polysiloxane) the solubility of the film formed from the condensate under alkaline conditions can be enhanced. .
  • it exhibits excellent solubility in alkaline solutions (basic chemicals) such as aqueous solutions containing ammonia and hydrogen peroxide.
  • the film exhibits good peelability when treated with an alkaline solution, and even silicon-based mask residues such as silicon-containing resist underlayer films can be easily removed with chemicals. It is possible to manufacture a semiconductor device with less Examples of the chemical solutions include dilute hydrofluoric acid, buffered hydrofluoric acid, an aqueous solution containing hydrochloric acid and hydrogen peroxide (SC-2 chemical solution), an aqueous solution containing sulfuric acid and hydrogen peroxide (SPM chemical solution), hydrofluoric acid and hydrogen peroxide.
  • SC-2 chemical solution aqueous solution containing hydrochloric acid and hydrogen peroxide
  • SPM chemical solution sulfuric acid and hydrogen peroxide
  • Alkaline solutions such as an aqueous solution (FPM chemical solution) and an aqueous solution containing ammonia and hydrogen peroxide (SC-1 chemical solution) can be mentioned, and the use of an alkaline chemical solution (basic chemical solution) is preferable from the viewpoint of reducing the effect on the substrate. be.
  • FPM chemical solution aqueous solution
  • SC-1 chemical solution aqueous solution containing ammonia and hydrogen peroxide
  • alkaline solution examples include ammonia hydrogen peroxide (SC-1 chemical solution) obtained by mixing ammonia, hydrogen peroxide, and water, as well as ammonia, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, Tetrabutylammonium hydroxide, choline hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, DBU (diazabicycloundecene), DBN (diazabicyclononene), hydroxylamine, 1-butyl-1-methylpyrroli dinium hydroxide, 1-propyl-1-methylpyrrolidinium hydroxide, 1-butyl-1-methylpiperidinium hydroxide, 1-propyl-1-methylpiperidinium hydroxide, mepiquat hydroxide, Mention may be made of aqueous solutions containing 1 to 99% by
  • an organic antireflection film can be formed on the upper layer of the resist underlayer film before forming the resist film.
  • the antireflection coating composition used there is not particularly limited, and can be used by arbitrarily selecting, for example, those conventionally used in lithographic processes. , a spinner, or a coater, and baking to form the antireflection film.
  • the substrate to which the composition for forming a resist underlayer film of the present invention is applied may have an organic or inorganic antireflection film formed on its surface by a CVD method or the like.
  • a resist underlayer film can also be formed.
  • the substrate to be used has an organic or inorganic antireflection film formed on its surface by a CVD method or the like. may have.
  • the resist underlayer film formed from the composition for forming a resist underlayer film of the present invention may also absorb light. In such a case, it can function as an antireflection film having an effect of preventing reflected light from the substrate.
  • the resist underlayer film is a layer for preventing interaction between the substrate and the resist film (photoresist film, etc.), a material used for the resist film, or a substance generated when the resist film is exposed to an adverse effect on the substrate. a layer with a function to prevent diffusion of substances generated from the substrate during heating and baking into the upper resist film, and a barrier layer for reducing the poisoning effect of the resist film due to the dielectric layer of the semiconductor substrate, etc. It is also possible to use
  • the resist underlayer film can be applied to a substrate having via holes formed therein for use in a dual damascene process, and can be used as a hole-filling material (embedding material) capable of filling the holes without gaps. It can also be used as a planarizing material for planarizing the uneven surface of a semiconductor substrate.
  • the above-mentioned resist underlayer film does not intermix with the EUV resist film, for example, exposure light that is not preferable for EUV exposure (wavelength 13.5 nm), such as It can be used as a lower anti-reflection film of an EUV resist film that can prevent reflection of UV (ultraviolet) light and DUV (deep ultraviolet) light (:ArF light, KrF light) from a substrate or an interface. That is, it can efficiently prevent reflection as a lower layer of the EUV resist film.
  • the process can be performed in the same manner as for the photoresist underlayer film.
  • the semiconductor substrate can be suitably processed. Further, the steps of forming an organic underlayer film as described above, and forming a silicon-containing resist underlayer film on the organic underlayer film using the composition for forming a silicon-containing resist underlayer film of the present invention; According to the method of manufacturing a semiconductor device, which includes the step of forming a resist film on the silicon-containing resist underlayer film, highly accurate semiconductor substrate processing can be achieved with good reproducibility, thereby stably manufacturing semiconductor devices. I can expect it.
  • hydrolytic condensate (polyorganosiloxane) of the above hydrolyzable silane can be obtained as a condensate with a weight average molecular weight of 1,000 to 1,000,000 or 1,000 to 100,000. These molecular weights are molecular weights obtained in terms of polystyrene by GPC analysis.
  • GPC measurement conditions include, for example, a GPC device (trade name HLC-8220GPC, manufactured by Tosoh Corporation), a GPC column (trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko Co., Ltd.), and a column temperature of 40. °C, the eluent (elution solvent) is tetrahydrofuran, the flow rate (flow rate) is 1.0 mL/min, and the standard sample is polystyrene (manufactured by Showa Denko KK).
  • Me represents a methyl group
  • Et represents an ethyl group
  • reaction solution was cooled to room temperature, 56 g of 1-ethoxy-2-propanol was added to the reaction solution, and water and nitric acid as well as reaction by-products methanol and ethanol were distilled off under reduced pressure to obtain 1 -Ethoxy-2-propanol was used as a solvent to obtain a concentrated solution of a hydrolyzed condensate (polymer).
  • the solid content concentration of the obtained concentrate exceeded 20% by mass in terms of solid residue when heated at 150°C.
  • the obtained hydrolytic condensate (polysiloxane) corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,000 in terms of polystyrene.
  • Mw weight average molecular weight
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitric acid, as well as ethanol as a reaction by-product, are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) was obtained using 1-ethoxy-2-propanol as a solvent.
  • the solid content concentration of the obtained concentrate exceeded 20% by mass in terms of solid residue when heated at 150°C.
  • the resulting hydrolyzed condensate (polysiloxane) corresponded to the following formula, and had a weight average molecular weight (Mw) of 2,700 in terms of polystyrene by GPC.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water, nitric acid, and reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolytic condensate (polymer) was obtained using 1-ethoxy-2-propanol as a solvent.
  • the solid content concentration of the obtained concentrate exceeded 20% by mass in terms of solid residue when heated at 150°C.
  • the hydrolyzed condensate polysiloxane obtained corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,900 in terms of polystyrene.
  • Add-1 to Add-11 are additives represented by the following structural formulas.
  • the solution was filtered using a polyethylene microfilter with a pore size of 0.10 ⁇ m, and further filtered using a polyethylene microfilter with a pore size of 0.05 ⁇ m to prepare a composition for forming an organic underlayer film.
  • Examples 1-34, Comparative Examples 1-2 Resist pattern evaluation (PTD) by ArF exposure
  • the composition for forming an organic underlayer film was applied onto a silicon wafer using a spinner and heated on a hot plate at 240° C. for 60 seconds to form an organic underlayer film (A layer) (thickness: 200 nm).
  • a silicon-containing resist underlayer film (B layer) (20 nm) was formed thereon by spin-coating the coating solution obtained in Preparation Example 1 and heating on a hot plate at 215° C. for 1 minute.
  • a commercially available ArF resist manufactured by JSR Corporation, trade name: AR2772JN was spin-coated thereon and heated on a hot plate at 110° C.
  • C layer 120 nm
  • C layer 120 nm
  • post-exposure heating 110° C. for 1 minute was performed, cooled to room temperature on a cooling plate, developed with a 2.38% alkaline aqueous solution for 60 seconds, and rinsed to form a resist pattern.
  • Examples 1 to 34, Comparative Examples 1 and 2 Evaluation of siloxane bond strength ratio by FT-IR
  • the coating liquid obtained in Preparation Example 1 was spin-coated and placed on a hot plate at 215 ° C. to form a silicon-containing resist underlayer film (B layer).
  • the B layer was further laminated twice in the same process to obtain a B layer (80 nm film thickness) laminated three times.
  • Silicon-containing resist underlayer films were formed in the same manner using the coating solutions obtained in Preparation Examples 2-34 and Comparative Preparation Examples 1-2.
  • Examples 1 to 34, Comparative Examples 1 and 2 Evaluation of removability by SC-1 chemical solution (ammonia/hydrogen peroxide aqueous solution) On a silicon wafer, the coating solution obtained in Preparation Example 1 was spin-coated, A silicon-containing resist underlayer film (B layer) (20 nm) was formed by heating on a hot plate at 215° C. for 1 minute. Silicon-containing resist underlayer films were formed in the same manner using the coating solutions obtained in Preparation Examples 2-34 and Comparative Preparation Examples 1-2.
  • Examples 1 to 34, Comparative Examples 1 and 2 Evaluation of residue after dry etching
  • the above composition for forming an organic underlayer film was applied onto a silicon wafer using a spinner, and heated on a hot plate. By heating at 240° C. for 60 seconds, an organic underlayer film (A layer) (thickness: 70 nm) was formed.
  • a silicon-containing resist underlayer film (B layer) (20 nm) was formed thereon by spin-coating the coating solution obtained in Preparation Example 1 and heating on a hot plate at 215° C. for 1 minute.
  • the silicon wafer surface from which the organic underlayer film (A layer) and the silicon-containing resist underlayer film (B layer) were removed was observed using a scanning probe microscope (AFM5000, manufactured by Hitachi High-Tech Co., Ltd.). If a convex etching residue with a width of 0.05 ⁇ m or more and a height of 2 nm or more was observed, it was evaluated as “bad”, and if not, it was evaluated as “good”. Table 3 shows the results obtained.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Silicon Polymers (AREA)

Abstract

半導体基板等の加工工程において、従来のドライエッチングによる方法だけでなく、希フッ酸、バッファードフッ酸およびアルカリ性薬液などの薬液を用いたウェットエッチングによる方法で剥離可能であり、特にアルカリ性薬液(塩基性薬液)に優れた可溶性を示すレジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物を提供すること、また保存安定性に優れ、ドライエッチング工程における残渣の少ないレジスト下層膜を形成するためのリソグラフィー用レジスト下層膜形成用組成物を提供する。 下記式(1)で表される加水分解性シラン又は下記式(2)で表される加水分解性シランを含む加水分解性シラン混合物の加水分解縮合物を含む、シリコン含有レジスト下層膜形成用組成物であって、塩基性薬液に可溶性のシリコン含有レジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物。 (式(1)中、R1は、ケイ素原子に結合する基であって、コハク酸無水物骨格を含む有機基を表す。) (式(2)中、R4は、ケイ素原子に結合する基であって、下記式(2-1)で表される1価の基を表す。)

Description

添加剤含有シリコン含有レジスト下層膜形成組成物
 本発明は、レジスト下層膜形成用組成物に関し、微細パターニングにおいて、低ラフネスのパターンを形成でき、半導体基板やパターニング工程で必要な塗布型有機下層膜や炭素を主成分とするCVD膜に対してダメージを与えない剥離液で容易に剥離可能であり、特にアルカリ性薬液(塩基性薬液)に可溶性を示し、かつドライエッチング後も剥離性を維持できるシリコン含有膜を形成できる、シリコン含有レジスト下層膜形成用組成物を提供する。
 従来から半導体装置の製造において、フォトレジストを用いたリソグラフィーによる微細加工が行われている。上記微細加工はシリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたフォトレジストパターンを保護膜として基板をエッチング処理することにより、基板表面に、上記パターンに対応する微細凹凸を形成する加工法である。
 近年、半導体デバイスの高集積度化が進み、使用される活性光線もKrFエキシマレーザー(248nm)からArFエキシマレーザー(193nm)へと短波長化される傾向にある。活性光線の短波長化に伴い、活性光線の半導体基板からの反射の影響が大きな問題となる中、フォトレジストと被加工基板の間に反射防止膜(BottomAnti-ReflectiveCoating、BARC)と呼ばれるレジスト下層膜を設ける方法が広く適用されるようになってきた。
 上記の半導体基板とフォトレジストとの間の下層膜として、シリコンやチタン等の金属元素を含むハードマスクとして知られる膜を使用することが行なわれている。この場合、レジストとハードマスクでは、その構成成分に大きな違いが有るため、それらのドライエッチングによって除去される速度は、ドライエッチングに使用されるガス種に大きく依存する。そして、ガス種を適切に選択することにより、フォトレジストの膜厚の大きな減少を伴うことなく、ハードマスクをドライエッチングによって除去することが可能となる。このように、近年の半導体装置の製造においては、反射防止効果を初め、さまざまな効果を達成するために、半導体基板とフォトレジストの間にレジスト下層膜が配置されるようになってきている。
 これまでもレジスト下層膜用の組成物の検討が行なわれてきているが、その要求される特性の多様性などから、レジスト下層膜用の新たな材料の開発が望まれている。例えばウェットエッチング可能な膜形成を課題とした、特定のケイ酸を骨格とする構造を含む塗布型のBPSG(ホウ素リンガラス)膜形成用組成物(特許文献1)や、リソグラフィー後のマスク残渣の薬液除去を課題とした、カルボニル構造を含有するシリコン含有レジスト下層膜形成用組成物(特許文献2)が開示されている。
特開2016-74774号公報 国際公開第2018/181989号
 最先端の半導体デバイスにおいて、インプラントレイヤーの微細化により、多層プロセスが多用される中、通常、多層プロセスでは下層への転写が上述のドライエッチングにより行われ、最終的に基板の加工や、基板加工後のマスクの残渣、例えば、レジスト膜やレジスト下層膜を含む下層膜の除去もドライエッチングや灰化処理で行われることがある。しかし、ドライエッチングや灰化処理は基板へのダメージが少なく無く、その改善が求められている。
 本発明は、上記事情に鑑みてなされたものであって、半導体基板等の加工工程において、従来のドライエッチングによる方法だけでなく、希フッ酸、バッファードフッ酸、アルカリ性薬液(塩基性薬液)などの薬液を用いたウェットエッチングによる方法でも剥離可能であり、特にアルカリ性薬液(塩基性薬液)に優れた可溶性を示すレジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物を提供すること、また保存安定性に優れ、ドライエッチング工程における残渣の少ないレジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物を提供することを目的とする。
 本発明者らは上記課題を解決するため鋭意検討を行った結果、コハク酸無水物骨格を有する加水分解性シラン又はホスホン酸由来の基を有する加水分解性シランから得られる特定の加水分解縮合物(ポリシロキサン)を含む組成物から得られる膜が、アルカリ性溶液(塩基性薬液)で優れた可溶性を示すこと、また、カチオンAX及びアニオンAZを含む化学構造を有する特定の添加剤(化合物A)を含む、加水分解性シランから得られる加水分解縮合物(ポリシロキサン)を含む組成物から得られる膜が、アルカリ性溶液(塩基性薬液)で優れた可溶性を示すことを見出し、本発明を完成した。
 すなわち、本発明は以下の態様を包含するものである。
[1]下記式(1)で表される加水分解性シラン及び下記式(2)で表される加水分解性シランの少なくともいずれかを含む加水分解性シラン混合物の加水分解縮合物を含む、シリコン含有レジスト下層膜形成用組成物であって、塩基性薬液に可溶性のシリコン含有レジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物。
Figure JPOXMLDOC01-appb-C000018
(式(1)中、
は、ケイ素原子に結合する基であって、コハク酸無水物骨格を含む有機基を表し、
は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)
Figure JPOXMLDOC01-appb-C000019
(式(2)中、
は、ケイ素原子に結合する基であって、下記式(2-1)で表される1価の基を表し、
Figure JPOXMLDOC01-appb-C000020
 (式(2-1)中、
 R201~R202は、互いに独立して、水素原子、置換されてもよいアルキル基を含む有機基を表し、R203は、置換されてもよいアルキレン基を表し、*はケイ素原子と結合する結合手を表す。)
は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)
[2]シリコン含有レジスト下層膜形成用組成物が、カチオンAX及びアニオンAZを含む化学構造を有し、前記アニオンの分子量が65以上である化合物Aを更に含む、[1]に記載のシリコン含有レジスト下層膜形成用組成物。
[3]前記アニオンAZが、下記(A)~(E)で表されるアニオンからなる群から選択される少なくとも1種のアニオンである、[2]に記載のシリコン含有レジスト下層膜形成用組成物。
Figure JPOXMLDOC01-appb-C000021
Figure JPOXMLDOC01-appb-C000022
Figure JPOXMLDOC01-appb-C000023
Figure JPOXMLDOC01-appb-C000024
Figure JPOXMLDOC01-appb-C000025
Figure JPOXMLDOC01-appb-C000026
(式(A)~(E)中、
301は、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいアラルキル基、もしくはエステル結合(-C(=O)-O-又は-O-C(=O)-)を含む有機基、又はそれらの組み合わせを表し、
Zは、芳香族環、環状アルカン、または非芳香族環の環状アルケンを表し、
501は、一部または全部がフッ素原子で置換されてもよいアルキル基を表し、
302とR303は、互いに独立して、アルキル基を表し、
304とR305は、互いに独立して、アルキル基を表す。)
[4]前記加水分解性シラン混合物が、下記式(3)で表される加水分解性シランを更に含む、[1]~[3]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
Figure JPOXMLDOC01-appb-C000027
(式(3)中、
は、ケイ素原子に結合する基であって、アルケニル基を含む有機基を表し、
は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)
[5]前記加水分解性シラン混合物が、下記式(4)で表される加水分解性シランを更に含む、[4]に記載のシリコン含有レジスト下層膜形成用組成物。
Figure JPOXMLDOC01-appb-C000028
(式(4)中、
10は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。)
[6]塩基性薬液に可溶性のシリコン含有レジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物であって、
 シリコン含有レジスト下層膜形成用組成物が、カチオンAX及びアニオンAZを含む化学構造を有し、前記アニオンの分子量が65以上である化合物Aを含む、シリコン含有レジスト下層膜形成用組成物。
[7]前記アニオンAZが、下記(A)~(E)で表されるアニオンからなる群から選択される少なくとも1種のアニオンである、[6]に記載のシリコン含有レジスト下層膜形成用組成物。
Figure JPOXMLDOC01-appb-C000029
Figure JPOXMLDOC01-appb-C000030
Figure JPOXMLDOC01-appb-C000031
Figure JPOXMLDOC01-appb-C000032
Figure JPOXMLDOC01-appb-C000033
Figure JPOXMLDOC01-appb-C000034
(式(A)~(E)中、
301は、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいアラルキル基、もしくはエステル結合(-C(=O)-O-又は-O-C(=O)-)を含む有機基、又はそれらの組み合わせを表し、
Zは、芳香族環、環状アルカン、または非芳香族環の環状アルケンを表し、
501は、一部または全部がフッ素原子で置換されてもよいアルキル基を表し、
302とR303は、互いに独立して、アルキル基を表し、
304とR305は、互いに独立して、アルキル基を表す。)
[8][1]~[7]のいずれかに記載のレジスト下層膜形成用組成物を用いて形成されたシリコン含有レジスト下層膜。
[9]半導体基板上に有機下層膜を形成する工程、
 前記有機下層膜の上に、[1]~[7]のいずれかに記載のレジスト下層膜形成用組成物を塗布し、焼成して、シリコン含有レジスト下層膜を形成する工程、
 前記シリコン含有レジスト下層膜の上に、レジスト膜形成用組成物を塗布し、レジスト膜を形成する工程、
 前記レジスト膜を露光、現像し、レジストパターンを得る工程、
 レジストパターンをマスクに用い、前記シリコン含有レジスト下層膜をエッチングする工程、
 パターン化された前記シリコン含有レジスト下層膜をマスクとして用い、前記有機下層膜をエッチングする工程を含む、
パターン形成方法。
[10]前記有機下層膜をエッチングする工程の後に、薬液を用いた湿式法によりシリコン含有レジスト下層膜を除去する工程を更に含む、
[9]に記載のパターン形成方法。
[11]前記薬液が、塩基性薬液である、[10]に記載のパターン形成方法。
 本発明にあっては、加水分解性シランとしてコハク酸無水物骨格又はホスホン酸由来の基を含む特定構造のシラン化合物を用いて得られる加水分解縮合物を、レジスト下層膜形成用組成物の一成分とすることにより、該組成物より形成される膜において、シリコン系の膜であっても、塩基性薬液に対し優れた可溶性を示し、湿式法による除去性を高めることができる。
 また、本発明にあっては、カチオンAX及びアニオンAZを含む化学構造を有する特定の添加剤(化合物A)を、シラン化合物を用いて得られる加水分解縮合物を含むレジスト下層膜形成用組成物の一成分とすることにより、該組成物より形成される膜において、シリコン系の膜であっても、塩基性薬液に対し優れた可溶性を示し、湿式法による除去性を高めることができる。
 そのため、本発明のレジスト下層膜形成用組成物を用いて、フォトレジスト膜等を用いたパターン形成や半導体基板等の加工を行う際、加工後のマスクの残渣の除去、例えば、レジスト膜やレジスト下層膜を含む下層膜の除去を行う場合に、薬液による容易な除去が可能となり、基板ダメージの少ない半導体デバイスを製造することが可能となる。
 また本発明によれば、上記加水分解縮合物を含む組成物から形成される膜をドライエッチングした際、エッチングによる残渣除去性を高めることができる。
 以下、本発明について詳細に説明する。なお、以下に記載する構成要件の説明は、本発明を説明するための例示であり、本発明はこれらの内容に限定されるものではない。
[シリコン含有レジスト下層膜形成用組成物]
 本発明は、湿式法により剥離可能な、特に塩基性薬液に対し優れた可溶性を示すシリコン含有レジスト下層膜を形成する組成物を対象とする。
 本発明のレジスト下層膜形成用組成物は、加水分解性シラン混合物の加水分解縮合物を含む。
 本発明のレジスト下層膜形成用組成物は、特定構造の加水分解性シランを含む加水分解性シラン混合物を加水分解縮合して得られる生成物(加水分解縮合物)を含むことを一つの特徴とする。以下、(第1の態様のシリコン含有レジスト下層膜形成用組成物)の欄で詳しく説明する。
 また、本発明のレジスト下層膜形成用組成物は、加水分解性シラン混合物の加水分解縮合物とカチオンAX及びアニオンAZを含む化学構造を有する特定の添加剤(化合物A)とを含むことを一つの特徴とする。以下、(第2の態様のシリコン含有レジスト下層膜形成用組成物)の欄で詳しく説明する。
 本発明のレジスト下層膜形成用組成物は、加水分解性シラン混合物の加水分解縮合物と特定の添加剤(化合物A)の他に、溶媒やさらに後述するその他成分を含み得る。
 本発明において、加水分解縮合物には、縮合が完全に完了した縮合物であるポリオルガノシロキサンポリマーだけでなく、縮合が完全に完了しない部分加水分解縮合物であるポリオルガノシロキサンポリマーも包含される。このような部分加水分解縮合物も、縮合が完全に完了した縮合物と同様、加水分解性シラン化合物の加水分解及び縮合によって得られたポリマーであるが、部分的に加水分解で止まり、縮合しておらず、それ故、Si-OH基が残存しているものである。また、本発明のレジスト下層膜形成用組成物は、加水分解縮合物の他に、未縮合の加水分解物(完全加水分解物、部分加水分解物)や、モノマー(加水分解性シラン化合物)が残存していてもよい。
 なお、本明細書において、「加水分解性シラン」を単に「シラン化合物」とも称することがある。
(第1の態様のシリコン含有レジスト下層膜形成用組成物)
 本発明のレジスト下層膜形成用組成物は、特定構造の加水分解性シランを含む加水分解性シラン混合物の加水分解縮合物を含む。
<加水分解性シラン混合物の加水分解縮合物>
 加水分解性シラン混合物は、下記式(1)で表される加水分解性シラン又は下記式(2)で表される加水分解性シランを含み、所望により、下記式(3)で表される加水分解性シランや、下記式(4)で表されるテトラアルコキシシランの加水分解性シランや、下記式(5)で表される加水分解性シランや、その他の加水分解性シランを含み得る。
<<式(1)で表されるシラン化合物(加水分解性シラン)>>
 本発明のレジスト下層膜形成用組成物に使用する加水分解縮合物は、下記式(1)で表されるシラン化合物を含む加水分解性シラン混合物の、加水分解縮合の生成物とすることができる。
Figure JPOXMLDOC01-appb-C000035
 Rは、ケイ素原子に結合する基であって、コハク酸無水物骨格を含む有機基を表す。
 上記Rの有機基として、上記の骨格を含む有機基である限り特に限定されるものではない。
 例えば、コハク酸無水物骨格を含む有機基は、該骨格自体だけでなく、アルキル基における1以上の水素原子がコハク酸無水物骨格で置換された有機基を挙げることができる。
 上記コハク酸無水物骨格等によって水素原子が置換されるアルキル基は特に限定されるものではなく、直鎖状、分岐鎖状、環状のいずれであってもよく、その炭素原子数は、通常40以下、例えば30以下、より例えば20以下、また10以下とすることができる。
 上記直鎖状又は分岐鎖状アルキル基の具体例としては、メチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基、1-エチル-2-メチル-n-プロピル基等が挙げられるが、これらに限定されない。
 また上記環状アルキル基の具体例としては、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル、2-エチル-3-メチル-シクロプロピル基等のシクロアルキル基、ビシクロブチル基、ビシクロペンチル基、ビシクロヘキシル基、ビシクロヘプチル基、ビシクロオクチル基、ビシクロノニル基、ビシクロデシル基等のビシクロアルキル基等が挙げられるが、これらに限定されない。
 上記Rの有機基として、例えば、下記式(1-1)で表される1価の基が挙げられる。
Figure JPOXMLDOC01-appb-C000036
 R401は、例えば、上述した直鎖状、分岐鎖状、または環状のアルキル基から水素原子を一つ取り除いて誘導される2価の基であるアルキレン基を表す。*はケイ素原子と結合する結合手を表す。
 式(1)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 式(1)のRにおけるアルキル基としては、例えば、直鎖又は分枝を有する炭素原子数1~10のアルキル基が挙げられ、例えばメチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル基、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基及び1-エチル-2-メチル-n-プロピル基等が挙げられる。
 また環状アルキル基を用いることもでき、例えば炭素原子数3~10の環状アルキル基として、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等が挙げられる。
 式(1)のRにおけるハロゲン化アルキル基は、ハロゲン原子により置換されたアルキル基を指す。
 上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられ、またアルキル基の具体例としては上述したものと同じものが挙げられる。
 ハロゲン化アルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 ハロゲン化アルキル基の具体例としては、モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、ブロモジフルオロメチル基、2-クロロエチル基、2-ブロモエチル基、1,1-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、2-クロロ-1,1,2-トリフルオロエチル基、ペンタフルオロエチル基、3-ブロモプロピル基、2,2,3,3-テトラフルオロプロピル基、1,1,2,3,3,3-ヘキサフルオロプロピル基、1,1,1,3,3,3-ヘキサフルオロプロパン-2-イル基、3-ブロモ-2-メチルプロピル基、4-ブロモブチル基、パーフルオロペンチル基等が挙げられるが、これらに限定されない。
 式(1)のRにおけるアルコキシアルキル基は、アルコキシ基により置換されたアルキル基をいう。
 アルキル基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシ基の具体例としては、炭素原子数1~20の直鎖、分岐、環状のアルキル部分を有するアルコキシ基が挙げられる。直鎖又は分岐を有するアルコキシ基としては、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が挙げられる。
 また環状のアルコキシ基としては、例えばシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられるが、これらに限定されるものではない。
 アルコキシアルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 アルコキシアルキル基の具体例としては、メトキシメチル基、エトキシメチル基、1-エトキシエチル基、2-エトキシエチル基、エトキシメチル基等の低級アルキルオキシ低級アルキル基等が挙げられるが、これらに限定されない。
 上記アルキル基、ハロゲン化アルキル基、若しくはアルコキシアルキル基における置換基としては、例えば、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アリールオキシ基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基等が挙げられる。これらのうち、アルキル基、ハロゲン化アルキル基、アルコキシアルキル基、アルコキシ基の具体例及びそれらの好適な炭素原子数としては、上述のものと同じものが挙げられる。
 上記置換基において挙げたアリール基としては、例えば、フェニル基、o-メチルフェニル基、m-メチルフェニル基、p-メチルフェニル基、o-クロルフェニル基、m-クロルフェニル基、p-クロルフェニル基、o-フルオロフェニル基、p-メルカプトフェニル基、o-メトキシフェニル基、p-メトキシフェニル基、p-アミノフェニル基、p-シアノフェニル基、α-ナフチル基、β-ナフチル基、o-ビフェニリル基、m-ビフェニリル基、p-ビフェニリル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基及び9-フェナントリル基等を挙げることができるが、これらに限定されない。
 上記置換基において挙げたアラルキル基としては、例えば、フェニルメチル基(ベンジル基)、2-フェニルエチレン基、3-フェニル-n-プロピル基、4-フェニル-n-ブチル基、5-フェニル-n-ペンチル基、6-フェニル-n-ヘキシル基、7-フェニル-n-ヘプチル基、8-フェニル-n-オクチル基、9-フェニル-n-ノニル基、10-フェニル-n-デシル基等を挙げることができるが、これらに限定されない。
 上記置換基において挙げたハロゲン化アリール基としては、ハロゲン原子により置換されたアリール基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 ハロゲン化アリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アリール基の具体例としては、2-フルオロフェニル基、3-フルオロフェニル基、4-フルオロフェニル基、2,3-ジフルオロフェニル基、2,4-ジフルオロフェニル基、2,5-ジフルオロフェニル基、2,6-ジフルオロフェニル基、3,4-ジフルオロフェニル基、3,5-ジフルオロフェニル基、2,3,4-トリフルオロフェニル基、2,3,5-トリフルオロフェニル基、2,3,6-トリフルオロフェニル基、2,4,5-トリフルオロフェニル基、2,4,6-トリフルオロフェニル基、3,4,5-トリフルオロフェニル基、2,3,4,5-テトラフルオロフェニル基、2,3,4,6-テトラフルオロフェニル基、2,3,5,6-テトラフルオロフェニル基、ペンタフルオロフェニル基、2-フルオロ-1-ナフチル基、3-フルオロ-1-ナフチル基、4-フルオロ-1-ナフチル基、6-フルオロ-1-ナフチル基、7-フルオロ-1-ナフチル基、8-フルオロ-1-ナフチル基、4,5-ジフルオロ-1-ナフチル基、5,7-ジフルオロ-1-ナフチル基、5,8-ジフルオロ-1-ナフチル基、5,6,7,8-テトラフルオロ-1-ナフチル基、ヘプタフルオロ-1-ナフチル基、1-フルオロ-2-ナフチル基、5-フルオロ-2-ナフチル基、6-フルオロ-2-ナフチル基、7-フルオロ-2-ナフチル基、5,7-ジフルオロ-2-ナフチル基、ヘプタフルオロ-2-ナフチル基等が挙げられるが、これらに限定されない。
 上記置換基において挙げたハロゲン化アラルキル基としては、ハロゲン原子により置換されたアラルキル基であり、このようなアラルキル基及びハロゲン原子の具体例としては、上述したものと同じものが挙げられる。
 ハロゲン化アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アラルキル基の具体例としては、2-フルオロベンジル基、3-フルオロベンジル基、4-フルオロベンジル基、2,3-ジフルオロベンジル基、2,4-ジフルオロベンジル基、2,5-ジフルオロベンジル基、2,6-ジフルオロベンジル基、3,4-ジフルオロベンジル基、3,5-ジフルオロベンジル基、2,3,4-トリフルオロベンジル基、2,3,5-トリフルオロベンジル基、2,3,6-トリフルオロベンジル基、2,4,5-トリフルオロベンジル基、2,4,6-トリフルオロベンジル基、2,3,4,5-テトラフルオロベンジル基、2,3,4,6-テトラフルオロベンジル基、2,3,5,6-テトラフルオロベンジル基、2,3,4,5,6-ペンタフルオロベンジル基等が挙げられるが、これらに限定されない。
 上記置換基において挙げたアリールオキシ基は、アリール基が酸素原子(-O-)を介して結合する基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。上記アリールオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下であり、その具体例としては、フェノキシ基、ナフタレン-2-イルオキシ基等が挙げられるが、これらに限定されない。
 また、置換基が2以上存在する場合、置換基同士が結合して環を形成してもよい。
 上記置換基において挙げたアルコキシアリール基としては、アルコキシ基により置換されたアリール基であり、このようなアルコキシ基およびアリール基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアリール基の具体例としては、例えば、2-メトキシフェニル基、3-メトキシフェニル基、4-メトキシフェニル基、2-(1-エトキシ)フェニル基、3-(1-エトキシ)フェニル基、4-(1-エトキシ)フェニル基、2-(2-エトキシ)フェニル基、3-(2-エトキシ)フェニル基、4-(2-エトキシ)フェニル基、2-メトキシナフタレン-1-イル基、3-メトキシナフタレン-1-イル基、4-メトキシナフタレン-1-イル基、5-メトキシナフタレン-1-イル基、6-メトキシナフタレン-1-イル基、7-メトキシナフタレン-1-イル基等が挙げられるが、これらに限定されない。
 上記置換基において挙げたアルコキシアラルキル基としては、アルコキシ基により置換されたアラルキル基であり、このようなアルコキシ基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアラルキル基の具体例としては、3-(メトキシフェニル)ベンジル基、4-(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
 上記置換基において挙げたアルケニル基としては、置換されていてもよいアルケニル基を挙げることができ、例えば炭素原子数2~10のアルケニル基が挙げられる。より具体的には、エテニル基(ビニル基)、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
 上記置換基において挙げたアラルキルオキシ基としては、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される基であり、このようなアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アラルキルオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2-フェニルエチレンオキシ基、3-フェニル-n-プロピルオキシ基、4-フェニル-n-ブチルオキシ基、5-フェニル-n-ペンチルオキシ基、6-フェニル-n-ヘキシルオキシ基、7-フェニル-n-ヘプチルオキシ基、8-フェニル-n-オクチルオキシ基、9-フェニル-n-ノニルオキシ基、10-フェニル-n-デシルオキシ基等が挙げられるが、これらに限定されない。
 上記式(1)のRにおけるエポキシ基を含む有機基としては、グリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられるがこれらに限定されない。
 上記式(1)のRにおけるアクリロイル基を含む有機基としては、アクリロイルメチル基、アクリロイルエチル基、アクリロイルプロピル基等が挙げられるがこれらに限定されない。
 上記式(1)のRにおけるメタクリロイル基を含む有機基としては、メタクリロイルメチル基、メタクリロイルエチル基、メタクリロイルプロピル基等が挙げられるがこれらに限定されない。
 上記式(1)のRにおけるメルカプト基を含む有機基としては、エチルメルカプト基、ブチルメルカプト基、ヘキシルメルカプト基、オクチルメルカプト基等が挙げられるがこれらに限定されない。
 上記式(1)のRにおけるアミノ基を含む有機基としては、アミノ基、アミノメチル基、アミノエチル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。
 上記式(1)のRにおけるアルコキシ基を含む有機基としては、例えばメトキシメチル基、メトキシエチル基が挙げられるがこれらに限定されない。ただし、アルコキシ基が直接ケイ素原子に結合する基は除かれる。
 上記式(1)のRにおけるスルホニル基を含む有機基としては、スルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 上記式(1)のRにおけるシアノ基を含む有機基としては、例えばシアノエチル基、シアノプロピル基等が挙げられるが、これらに限定されない。
 式(1)中、Rは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表す。上記アルコキシ基、ハロゲン原子としては、上述したものと同じものが挙げられる。
 アラルキルオキシ基は、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される基であり、このようなアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アラルキルオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2-フェニルエチレンオキシ基、3-フェニル-n-プロピルオキシ基、4-フェニル-n-ブチルオキシ基、5-フェニル-n-ペンチルオキシ基、6-フェニル-n-ヘキシルオキシ基、7-フェニル-n-ヘプチルオキシ基、8-フェニル-n-オクチルオキシ基、9-フェニル-n-ノニルオキシ基、10-フェニル-n-デシルオキシ基等が挙げられるが、これらに限定されない。
 アシルオキシ基は、カルボン酸化合物のカルボン酸基から水素原子を取り除いて誘導される基であり、典型的には、アルキルカルボン酸、アリールカルボン酸又はアラルキルカルボン酸のカルボン酸基から水素原子を取り除いて誘導されるアルキルカルボニルオキシ基、アリールカルボニルオキシ基又はアラルキルカルボニルオキシ基が挙げられるが、これらに限定されない。このようなアルキルカルボン酸、アリールカルボン酸及びアラルキルカルボン酸におけるアルキル基、アリール基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アシルオキシ基の具体例としては、炭素原子数2~20のアシルオキシ基が挙げられる。例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられるが、これらに限定されない。
 上記式(1)中、aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。
 bは好ましくは0又は1を表し、より好ましくは0である。
 上記式(1)で表される化合物の具体例としては、例えば、[(3-トリメトキシシリル)プロピル]コハク酸無水物、[(3-トリエトキシシリル)プロピル]コハク酸無水物、[(3-トリメトキシシリル)エチル]コハク酸無水物、[(3-トリメトキシシリル)ブチル]コハク酸無水物等のコハク酸無水物骨格を含むシラン化合物が挙げられる。
<<式(2)で表されるシラン化合物(加水分解性シラン)>>
 本発明のレジスト下層膜形成用組成物に使用する加水分解縮合物は、下記式(2)で表されるシラン化合物を含む加水分解性シラン混合物の、加水分解縮合の生成物とすることができる。
Figure JPOXMLDOC01-appb-C000037
 Rは、ケイ素原子に結合する基であって、下記式(2-1)で表される1価の基を表す。
Figure JPOXMLDOC01-appb-C000038
 式(2-1)中、R201~R202は、互いに独立して、水素原子、置換されてもよいアルキル基を含む有機基を表し、R203は、置換されてもよいアルキレン基を表し、*はケイ素原子と結合する結合手を表す。
 式(2)中、Rの式(2-1)で表される1価の基において、置換されてもよいアルキル基としては、上記式(1)中のRにおいて説明した置換されてもよいアルキル基と同様である。
 置換されてもよいアルキル基を含む有機基としては、例えば、置換されてもよいアルキル基が挙げられる。
 式(2)中、Rの式(2-1)で表される1価の基において、置換されてもよいアルキレン基とは、上記置換されてもよいアルキル基の水素原子を更に一つ取り除いて誘導される2価の基をいう。直鎖状、分岐鎖状、環状のいずれでもよい。
 アルキレン基の具体例としては、例えば、メチレン基、エチレン基、トリメチレン基、メチルエチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基、1,2-シクロプロピパンジイル基、1,2-シクロブタンジイル、1,3-シクロブチタンジイル基、1,2-シクロヘキサンジイル、1,3-シクロヘキサンジイル等の環状アルキレン等、-CHOCH-、-CHCHOCH-、-CHCHOCHCH-、-CHCHCHOCHCH-、-CHCHOCHCHCH-、-CHCHCHOCHCHCH-、-CHSCH-、-CHCHSCH-、-CHCHSCHCH-、-CHCHCHSCHCH-、-CHCHSCHCHCH-、-CHCHCHSCHCHCH-、-CHOCHCHSCH-等のエーテル基等を含むアルキレン基が挙げられるが、これらに限定されない。
 式(2)中、Rは、上記式(1)のRと同様である。
 式(2)中、Rは、上記式(1)のRと同様である。
 上記式(2)中、aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。
 bは好ましくは0又は1を表し、より好ましくは0である。
 上記式(2)で表される化合物の具体例としては、例えば、[(3-トリエトキシシリル)エチル]ホスホン酸ジエチル、等のアルキルホスホン酸を含むシラン化合物が挙げられる。
<<式(3)で表されるシラン化合物(加水分解性シラン)>>
 本発明のレジスト下層膜形成用組成物に使用する加水分解縮合物は、更に下記式(3)で表される加水分解性シランを含むことができる。
Figure JPOXMLDOC01-appb-C000039
 Rは、ケイ素原子に結合する基であって、アルケニル基を含む有機基を表す。
 上記Rの有機基として、上記の基を含む有機基である限り特に限定されるものではない。
 例えば、アルケニル基を含む有機基は、該アルケニル基自体だけでなく、アルキル基における1以上の水素原子がアルケニル基で置換された有機基を挙げることができる。
 また、上記Rにおけるアルケニル基としては、上記式(1)のRにおいて説明したように、置換されていてもよいアルケニル基を挙げることができ、例えば炭素原子数2~10のアルケニル基が挙げられる。より具体的には、エテニル基(ビニル基)、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
 上記の中でも、Rとしては、ビニル基を含む基であることが好ましい。
 式(3)中、Rは、上記式(1)のRと同様である。
 式(3)中、Rは、上記式(1)のRと同様である。
 上記式(3)中、aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。
 bは好ましくは0又は1を表し、より好ましくは0である。
 上記式(3)で表される化合物の具体例としては、例えば、ビニルトリメトキシシラン、ビニルトリエトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシラン、メチルビニルジクロロシラン、メチルビニルジアセトキシシラン、ジメチルビニルメトキシシラン、ジメチルビニルエトキシシラン、ジメチルビニルクロロシラン、ジメチルビニルアセトキシシラン、ジビニルジメトキシシラン、ジビニルジエトキシシラン、ジビニルジクロロシラン、ジビニルジアセトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、アリルトリクロロシラン、アリルトリアセトキシシシラン、アリルメチルジメトキシシラン、アリルメチルジエトキシシラン、アリルメチルジクロロシラン、アリルメチルジアセトキシシラン、アリルジメチルメトキシシラン、アリルジメチルエトキシシラン、アリルジメチルクロロシラン、アリルジメチルアセトキシシラン、ジアリルジメトキシシラン、ジアリルジエトキシシラン、ジアリルジクロロシラン、ジアリルジアセトキシシラン、3-アリルアミノプロピルトリメトキシシラン、3-アリルアミノプロピルトリエトキシシラン、p-スチリルトリメトキシシラン等のアルケニル基(ビニル基)を含むシラン化合物を挙げることができる。
<<式(4)で表されるシラン化合物(加水分解性シラン)>>
 本発明のレジスト下層膜形成用組成物に使用する加水分解縮合物は、更に下記式(4)で表される加水分解性シランを含むことができる。
Figure JPOXMLDOC01-appb-C000040
 R10は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 アルコキシ基、アラルキルオキシ基、及びアシルオキシ基の具体例としては、上述したものと同じものが挙げられる。
 式(4)で表される加水分解性シランの具体例としては、例えば、テトラメトキシシラン、テトラクロロシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラn-プロポキシシラン、テトラi-プロポキシシラン、テトラn-ブトキシシランが挙げられる。
 本発明の組成物から得られる膜の架橋密度を向上させて、レジスト膜の成分の当該得られる膜への拡散等を抑制し、当該レジスト膜のレジスト特性の維持・改善する観点等から、式(4)で表されるテトラメトキシシラン、テトラエトキシシラン等の4官能性のシランを用いることが好ましい。
<<式(5)で表されるシラン化合物(加水分解性シラン)>>
 本発明のレジスト下層膜形成用組成物に使用する加水分解縮合物は、更に下記式(5)で表される加水分解性シランを含むことができる。
Figure JPOXMLDOC01-appb-C000041
 R11は、ケイ素原子に結合する基であって、アリール基、置換されてもよいアミノ基、及び後述する式(5-2)で表される基からなる群から選択される少なくとも1種の基を含む有機基を表す。
 上記R11の有機基として、上記の基を含む有機基である限り特に限定されるものではない。
 例えば、アリール基、及び後述する式(5-2)で表される基を含む有機基は、該基自体だけでなく、アルキル基における1以上の水素原子がアリール基、及び後述する式(5-2)で表される基からなる群から選択される少なくとも1種で置換された有機基を挙げることができる。
 また、R11で規定する置換されてもよいアミノ基における置換基としては、アルキル基が好ましく挙げられる。特に炭素原子数1~4のアルキル基が置換されていることが好ましい。
 上記R11におけるアリール基としては、置換されていてもよいアリール基を挙げることができ、例えば炭素原子数6~20のアリール基が挙げられる。より具体的には、アリール基としては、上記式(1)のRにおいて説明したように、フェニル基、o-メチルフェニル基、m-メチルフェニル基、p-メチルフェニル基、o-クロルフェニル基、m-クロルフェニル基、p-クロルフェニル基、o-フルオロフェニル基、p-メルカプトフェニル基、o-メトキシフェニル基、p-メトキシフェニル基、p-アミノフェニル基、p-シアノフェニル基、α-ナフチル基、β-ナフチル基、o-ビフェニリル基、m-ビフェニリル基、p-ビフェニリル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基及び9-フェナントリル基等が挙げられる。
 また、上記アリール基を含む基としては、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基等を挙げることができる。
 上記アラルキル基は、アリール基により置換されたアルキル基であり、このようなアリール基及びアルキル基の具体例としては、上述したものと同じものが挙げられる。
 アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキル基の具体例としては、上記式(1)のRにおいて説明したように、例えばフェニルメチル基(ベンジル基)、2-フェニルエチレン基、3-フェニル-n-プロピル基、4-フェニル-n-ブチル基、5-フェニル-n-ペンチル基、6-フェニル-n-ヘキシル基、7-フェニル-n-ヘプチル基、8-フェニル-n-オクチル基、9-フェニル-n-ノニル基、10-フェニル-n-デシル基等を挙げることができるが、これらに限定されない。
 上記ハロゲン化アリール基は、ハロゲン原子により置換されたアリール基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。
 上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 ハロゲン化アリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アリール基の具体例としては、上記式(1)のRにおいて説明したように、2-フルオロフェニル基、3-フルオロフェニル基、4-フルオロフェニル基、2,3-ジフルオロフェニル基、2,4-ジフルオロフェニル基、2,5-ジフルオロフェニル基、2,6-ジフルオロフェニル基、3,4-ジフルオロフェニル基、3,5-ジフルオロフェニル基、2,3,4-トリフルオロフェニル基、2,3,5-トリフルオロフェニル基、2,3,6-トリフルオロフェニル基、2,4,5-トリフルオロフェニル基、2,4,6-トリフルオロフェニル基、3,4,5-トリフルオロフェニル基、2,3,4,5-テトラフルオロフェニル基、2,3,4,6-テトラフルオロフェニル基、2,3,5,6-テトラフルオロフェニル基、ペンタフルオロフェニル基、2-フルオロ-1-ナフチル基、3-フルオロ-1-ナフチル基、4-フルオロ-1-ナフチル基、6-フルオロ-1-ナフチル基、7-フルオロ-1-ナフチル基、8-フルオロ-1-ナフチル基、4,5-ジフルオロ-1-ナフチル基、5,7-ジフルオロ-1-ナフチル基、5,8-ジフルオロ-1-ナフチル基、5,6,7,8-テトラフルオロ-1-ナフチル基、ヘプタフルオロ-1-ナフチル基、1-フルオロ-2-ナフチル基、5-フルオロ-2-ナフチル基、6-フルオロ-2-ナフチル基、7-フルオロ-2-ナフチル基、5,7-ジフルオロ-2-ナフチル基、ヘプタフルオロ-2-ナフチル基等が挙げられるが、これらに限定されない。
 上記ハロゲン化アラルキル基は、ハロゲン原子により置換されたアラルキル基であり、このようなアラルキル基及びハロゲン原子の具体例としては、上述したものと同じものが挙げられる。
 ハロゲン化アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アラルキル基の具体例としては、上記式(1)のRにおいて説明したように、2-フルオロベンジル基、3-フルオロベンジル基、4-フルオロベンジル基、2,3-ジフルオロベンジル基、2,4-ジフルオロベンジル基、2,5-ジフルオロベンジル基、2,6-ジフルオロベンジル基、3,4-ジフルオロベンジル基、3,5-ジフルオロベンジル基、2,3,4-トリフルオロベンジル基、2,3,5-トリフルオロベンジル基、2,3,6-トリフルオロベンジル基、2,4,5-トリフルオロベンジル基、2,4,6-トリフルオロベンジル基、2,3,4,5-テトラフルオロベンジル基、2,3,4,6-テトラフルオロベンジル基、2,3,5,6-テトラフルオロベンジル基、2,3,4,5,6-ペンタフルオロベンジル基等が挙げられるが、これらに限定されない。
 上記アルコキシアリール基は、アルコキシ基により置換されたアリール基であり、このようなアリール基、アルコキシ基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアリール基の具体例としては、例えば、上記式(1)のRにおいて説明したように、2-メトキシフェニル基、3-メトキシフェニル基、4-メトキシフェニル基、2-(1-エトキシ)フェニル基、3-(1-エトキシ)フェニル基、4-(1-エトキシ)フェニル基、2-(2-エトキシ)フェニル基、3-(2-エトキシ)フェニル基、4-(2-エトキシ)フェニル基、2-メトキシナフタレン-1-イル基、3-メトキシナフタレン-1-イル基、4-メトキシナフタレン-1-イル基、5-メトキシナフタレン-1-イル基、6-メトキシナフタレン-1-イル基、7-メトキシナフタレン-1-イル基等が挙げられるが、これらに限定されない。
 上記アルコキシアラルキル基は、アルコキシ基により置換されたアラルキル基であり、このようなアルコキシ基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアラルキル基の具体例としては、3-(メトキシフェニル)ベンジル基、4-(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
 また、上記R11における置換されてもよいアミノ基としては、例えば、アミノ基、または炭素原子数1~4のアルキル基が置換したアルキルアミノ基が挙げられる。より具体的には、例えば、アミノ基、アミノメチル基、アミノエチル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられる。
 また、上記R11における下記式(5-2)で表される基としては、
Figure JPOXMLDOC01-appb-C000042
において、X101は、互いに独立して、下記式(5-3)~式(5-5)のいずれかを表すとともに、下記式(5-4)及び式(5-5)におけるケトン基の炭素原子は、式(5-2)におけるR102が結合する窒素原子と結合する。
Figure JPOXMLDOC01-appb-C000043
 式(5-3)~式(5-5)中、R103~R107は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表し、置換されていてもよいアルキル基、置換されていてもよいアルケニル基の具体例及び好適な炭素原子数等は、Rに関し、コハク酸無水物骨格等によって水素原子が置換されるアルキル基として挙げたアルキル基や、またアルケニル基として上述したものと同じものが挙げられる。
 また、エポキシ基を含む有機基としては、グリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられるが、これらに限定されない。
 スルホニル基を含む有機基としては、例えばスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 上記式(5-2)中、R101は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表し、R102は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(-S-)、エーテル結合(-O-)又はエステル結合(-C(=O)-O-又は-O-C(=O)-)を表す。
 ここで、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、エポキシ基若しくはエポキシ基を含む有機基の具体例、好適な炭素原子数等は、R103~R107に関して上述したものと同じものが挙げられる。これらの他、置換されていてもよいアルキル基としては、末端の水素原子がビニル基で置換されたアルキル基が好ましく、その具体例としては、アリル基、2-ビニルエチル基、3-ビニルプロピル基、4-ビニルブチル基等が挙げられる。
 上記アルキレン基は、上記アルキル基の水素原子を更に一つ取り除いて誘導される2価の基であり、直鎖状、分岐鎖状、環状のいずれでもよく、アルキレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 また、R102のアルキレン基は、スルフィド結合、エーテル結合及びエステル結合から選ばれる1種又は2種以上を、その末端又は途中、好ましくは途中に有していてもよい。
 アルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、メチルエチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基、1,2-シクロプロピパンジイル基、1,2-シクロブタンジイル、1,3-シクロブチタンジイル基、1,2-シクロヘキサンジイル、1,3-シクロヘキサンジイル等の環状アルキレン等、-CHOCH-、-CHCHOCH-、-CHCHOCHCH-、-CHCHCHOCHCH-、-CHCHOCHCHCH-、-CHCHCHOCHCHCH-、-CHSCH-、-CHCHSCH-、-CHCHSCHCH-、-CHCHCHSCHCH-、-CHCHSCHCHCH-、-CHCHCHSCHCHCH-、-CHOCHCHSCH-等のエーテル基等を含むアルキレン基が挙げられるが、これらに限定されない。
 ヒドロキシアルキレン基は、上記アルキレン基の水素原子の少なくとも1つが、ヒドロキシ基に置き換わったものであり、その具体例としては、ヒドロキシメチレン基、1-ヒドロキシエチレン基、2-ヒドロキシエチレン基、1,2-ジヒドロキシエチレン基、1-ヒドロキシトリメチレン基、2-ヒドロキシトリメチレン基、3-ヒドロキシトリメチレン基、1-ヒドロキシテトラメチレン基、2-ヒドロキシテトラメチレン基、3-ヒドロキシテトラメチレン基、4-ヒドロキシテトラメチレン基、1,2-ジヒドロキシテトラメチレン基、1,3-ジヒドロキシテトラメチレン基、1,4-ジヒドロキシテトラメチレン基、2,3-ジヒドロキシテトラメチレン基、2,4-ジヒドロキシテトラメチレン基、4,4-ジヒドロキシテトラメチレン基等が挙げられるが、これらに限定されない。
 上記の中でも、R11としては、フェニル基、ジアミノプロピル基、及びイソシアヌル酸骨格(式(5-2)中、X101が式(5-5)で表される基を表す)からなる群から選択される少なくとも1種を含む基であることが好ましい。
 式(5)中、R12は、上記式(1)のRと同様である。
 式(5)中、R13は、上記式(1)のRと同様である。
 上記式(5)中、aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。
 bは好ましくは0又は1を表し、より好ましくは0である。
 上記式(5)で表される化合物の具体例としては、例えば、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリクロロシラン、フェニルトリアセトキシシラン、フェニルメチルジメトキシシラン、フェニルメチルジエトキシシラン、フェニルメチルジクロロシラン、フェニルメチルジアセトキシシラン、フェニルジメチルメトキシシラン、フェニルジメチルエトキシシラン、フェニルジメチルクロロシラン、フェニルジメチルアセトキシシラン、ジフェニルメチルメトキシシラン、ジフェニルメチルエトキシシラン、ジフェニルメチルクロロシラン、ジフェニルメチルアセトキシシラン、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジクロロシラン、ジフェニルジアセトキシシラン、トリフェニルメトキシシシラン、トリフェニルエトキシシラン、トリフェニルアセトキシシラン、トリフェニルクロロシラン、3-フェニルアミノプロピルトリメトキシシラン、3-フェニルアミノプロピルトリエトキシシラン、ジメトキシメチル-3-(3-フェノキシプロピルチオプロピル)シラン、ベンジルトリメトキシシラン、ベンジルトリエトキシシラン、ベンジルメチルジメトキシシラン、ベンジルメチルジエトキシシラン、ベンジルジメチルメトキシシラン、ベンジルジメチルエトキシシラン、ベンジルジメチルクロロシラン、フェネチルトリメトキシシラン、フェネチルトリエトキシシラン、フェネチルトリクロロシラン、フェネチルトリアセトキシシラン、フェネチルメチルジメトキシシラン、フェネチルメチルジエトキシシラン、フェネチルメチルジクロロシラン、フェネチルメチルジアセトキシシラン等のフェニル基を含むシラン化合物;メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、i-プロポキシフェニルトリメトキシシラン、i-プロポキシフェニルトリエトキシシラン、i-プロポキシフェニルトリアセトキシシラン、i-プロポキシフェニルトリクロロシラン、i-プロポキシベンジルトリメトキシシラン、i-プロポキシベンジルトリエトキシシラン、i-プロポキシベンジルトリアセトキシシラン、i-プロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン等の置換されたアリール基を含むシラン化合物;ジメチルアミノプロピルトリメトキシシラン;などが挙げられる。
 また、上記式(5)で表されるシラン化合物の具体例として、該式中のR11が上記式(5-2)で表される基を含む有機基であるシラン化合物は、市販品を用いてもよく、国際公開第2011/102470号等に記載の公知方法で合成することもできる。
 以下、上記式(5-2)で表される基を含む有機基を含むシラン化合物の具体例として下記例示の化合物が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000044
Figure JPOXMLDOC01-appb-C000045
Figure JPOXMLDOC01-appb-C000046
 さらに、上記式(5)で表されるシラン化合物として、式(A-1)~(A-41)で表されるアリール基含有シラン化合物等も挙げることができる。
Figure JPOXMLDOC01-appb-C000047
Figure JPOXMLDOC01-appb-C000048
Figure JPOXMLDOC01-appb-C000049
<<その他のシラン化合物(加水分解性シラン)>>
 本発明においては、膜密度等の膜物性の調整等を目的として、上記加水分解性シラン混合物において、上記式(1)もしくは(2)、または所望により(3)、(4)、もしくは(5)で表されるシラン化合物とともに、下記式(6)で表されるその他のシラン化合物(その他の加水分解性シラン)を使用することができる。
Figure JPOXMLDOC01-appb-C000050
 式(6)中、R14は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 またR15は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 そしてcは、1~3の整数を表す。
 上記R14における各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び炭素原子数を挙げることができる。
 上記R15における各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び原子並びに炭素原子数を挙げることができる。
 式(6)で表される加水分解性シランの具体例としては、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、α-グリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3,3,3-トリフルオロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、β-シアノエチルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、ビシクロ(2,2,1)ヘプテニルトリエトキシシラン、ベンゼンスルホニルプロピルトリエトキシシラン、ベンゼンスルホンアミドプロピルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトメチルジエトキシシラン等が挙げられるがこれらに限定されない。
 また上記の例示以外にも、本発明の効果を損なわない範囲において、上記加水分解性シラン混合物には、上記の例示以外のその他のシラン化合物(加水分解性シラン)を含んでいてよい。
 上述の通り、本発明のレジスト下層膜形成用組成物は、上記加水分解性シラン混合物の加水分解縮合物を含む。
 本発明の好ましい一態様においては、本発明のレジスト下層膜形成用組成物は、少なくとも上記加水分解性シラン混合物の加水分解縮合物を含む。
 本発明の好ましい一態様において、本発明のレジスト下層膜形成用組成物が含む加水分解縮合物は、式(1)もしくは式(2)で表されるシランに加え、所望により式(3)で表される加水分解性シラン、式(4)で表される加水分解性シラン、式(5)で表される加水分解性シラン、式(6)で表されるその他の加水分解性シラン、またはこれらの式で表される以外のその他の加水分解性シランを用いて得られる加水分解縮合物を含む。
 加水分解性シラン混合物において、式(1)で表されるシラン化合物を用いる場合、式(1)で表されるシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全てのシラン化合物(加水分解性シラン)の仕込み量100モル%に対して、例えば0.1~30モル%とすることができる。
 加水分解性シラン混合物において、式(2)で表されるシラン化合物を用いる場合、式(2)で表されるシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全てのシラン化合物(加水分解性シラン)の仕込み量100モル%に対して、例えば0.1~30モル%とすることができる。
 加水分解性シラン混合物において、式(3)で表されるシラン化合物を用いる場合、式(3)で表されるシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全てのシラン化合物(加水分解性シラン)の仕込み量100モル%に対して、例えば15~50モル%とすることができる。
 加水分解性シラン混合物において、式(4)で表されるシラン化合物を用いる場合、式(4)で表されるシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全てのシラン化合物(加水分解性シラン)の仕込み量100モル%に対して、例えば30~70モル%、又は25~45モル%とすることができる。
 加水分解性シラン混合物において、式(5)で表されるシラン化合物を用いる場合(例えば、式(5)のうちR11がアリール基であるシラン化合物を用いる場合)、式(5)で表されるシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全てのシラン化合物(加水分解性シラン)の仕込み量100モル%に対して、例えば0.01~5モル%とすることができる。
 上記の加水分解性シラン混合物の加水分解縮合物は、その重量平均分子量を例えば500~1,000,000とすることができる。組成物中での加水分解縮合物の析出等を抑制する観点等から、好ましくは重量平均分子量を500,000以下、より好ましくは250,000以下、より一層好ましくは100,000以下とすることができ、保存安定性と塗布性の両立の観点等から、好ましくは700以上、より好ましくは1,000以上とすることができる。
 なお、重量平均分子量は、GPC分析によるポリスチレン換算で得られる分子量である。GPC分析は、例えばGPC装置(商品名HLC-8220GPC、東ソー(株)製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工(株)製)を用い、カラム温度を40℃とし、溶離液(溶出溶媒)としてテトラヒドロフランを用い、流量(流速)を1.0mL/分とし、標準試料としてポリスチレン(昭和電工(株)製)を用いて、行うことができる。
 上記加水分解性シラン混合物の加水分解縮合物は、上述のシラン化合物(加水分解性シラン)を加水分解及び縮合することで得られる。
 上記シラン化合物(加水分解性シラン)は、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子を、すなわち加水分解性基であるアルコキシシリル基、アラルキルオキシシリル基、アシロキシシリル基、ハロゲン化シリル基を含む。
 これら加水分解性基の加水分解には、加水分解性基の1モル当たり、通常0.5~100モル、好ましくは1~10モルの水を用いる。
 加水分解及び縮合の際、反応を促進する目的等で、加水分解触媒を用いてもよいし、用いずに加水分解及び縮合を行ってもよい。加水分解触媒を用いる場合は、加水分解性基の1モル当たり、通常0.0001~10モル、好ましくは0.001~1モルの加水分解触媒を用いることができる。
 加水分解と縮合を行う際の反応温度は、通常、室温以上、加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲であり、例えば20~110℃、また例えば20~80℃とすることができる
 加水分解は完全に加水分解を行う、すなわち、全ての加水分解性基をシラノール基に変えてもよいし、部分加水分解する、即ち未反応の加水分解性基を残してもよい。
 加水分解し縮合させる際に使用可能な加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
 加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ-n-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-i-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-n-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-sec-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-t-ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ-n-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-i-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-n-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-sec-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-t-ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ-n-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-i-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-n-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-sec-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-t-ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ-n-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-i-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-n-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-t-ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ-n-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-i-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-n-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-t-ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ-n-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-i-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-n-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-t-ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-i-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-sec-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-t-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-i-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-sec-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-t-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-i-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-sec-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-t-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-i-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-t-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-i-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-t-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-i-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-t-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物等などを挙げることをできるが、これらに限定されない。
 加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機塩基は、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができるが、これらに限定されない。
 これらの触媒のうち、金属キレート化合物、有機酸、無機酸が好ましく、これらは1種を単独で使用してもよいし、2種以上を組み合わせて使用してもよい。
 中でも、本発明では、加水分解触媒として硝酸を好適に用いることができる。硝酸を使用することにより、加水分解及び縮合の後の反応溶液の保存安定性を向上させることができ、特に、加水分解縮合物の分子量変化を抑制することができる。液中の加水分解縮合物の安定性は、溶液のpHに依存することが分かっている。鋭意検討した結果、硝酸を適量用いることで、溶液のpHが安定領域となることが見いだされた。
 加水分解及び縮合をする際、溶媒として有機溶媒を用いてもよく、その具体例としては、例えばn-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン等の芳香族炭化水素系溶媒;メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、t-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、n-ヘプタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i-プロピルエーテル、n-ブチルエーテル、n-ヘキシルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチル-2-ピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができるが、これらに限定されない。これらの溶媒は、1種単独で又は2種以上組み合わせて用いることができる。
 加水分解及び縮合反応の終了後、反応溶液をそのまま又は希釈若しくは濃縮し、それを中和し、イオン交換樹脂を用いて処理することで、加水分解及び縮合に用いた酸や塩基等の加水分解触媒を取り除くことができる。また、このような処理の前又は後に、減圧蒸留等によって、反応溶液から副生成物のアルコールや水、用いた加水分解触媒等を除去することができる。
 このようにして得られた加水分解縮合物(以下、ポリシロキサンとも称する)は、有機溶媒中に溶解しているポリシロキサンワニスの形態として得られ、これをそのまま後述するレジスト下層膜形成用組成物として用いることができる。得られたポリシロキサンワニスは溶媒置換してもよいし、また適宜溶媒で希釈してもよい。なお得られたポリシロキサンワニスは、その保存安定性が悪くなければ、有機溶媒を留去し、固形分濃度100%とすることもできる。
 上記ポリシロキサンワニスの溶媒置換や希釈等に用いる有機溶媒は、加水分解性シラン混合物の加水分解及び縮合反応に用いた有機溶媒と同じでも異なってもよい。この希釈用溶媒は、特に限定されず、1種でも2種以上でも任意に選択して用いることができる。
 本発明のレジスト下層膜形成用組成物は、上記加水分解性シラン混合物の加水分解縮合物(ポリシロキサン)の他に、溶媒や、カチオンAX及びアニオンAZを含む化学構造を有する特定の添加剤(化合物A)や、その他の成分を含むことができる。
<溶媒>
 本発明のレジスト下層膜形成用組成物に使用される溶媒は、レジスト下層膜形成用組成物中の固形分を溶解できる溶媒であれば特に制限なく使用することができる。
 このような溶媒は、上記の加水分解性シラン混合物の加水分解縮合物や特定の添加剤(化合物A)やその他の成分を溶解する限り制限されるものではない。
 その具体例としては、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテルプロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシプロピオン酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、トルエン、キシレン、メチルエチルケトン、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロヘキサノン、N,N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチル-2-ピロリドン、4-メチル-2-ペンタノール、γ-ブチロラクトン等を挙げることができ、溶媒は1種単独で又は2種以上組み合わせて使用できる。
 また本発明のレジスト下層膜形成用組成物は、溶媒として水を含んでいてもよい。溶媒として水を含む場合、その含有量は、当該組成物が含む溶媒の合計質量に対して、例えば30質量%以下、好ましくは20質量%以下、より一層好ましくは15質量%以下とすることができる。
<特定の添加剤(化合物A)>
 上記加水分解性シラン混合物の加水分解縮合物(ポリシロキサン)を含むレジスト下層膜形成用組成物に、さらにカチオンAX及びアニオンAZを含む化学構造を有する特定の添加剤(化合物A)を含有させることにより、アルカリ性溶液(塩基性薬液)に対しより優れた可溶性を示すレジスト下層膜を形成することができる。
 特定の添加剤(化合物A)とは、カチオンAX及びアニオンAZを含む化学構造を有し、アニオンの分子量が65以上である化合物である。
 特定の添加剤(化合物A)において、「カチオン」とは、正電荷を有する原子、又は正電荷を有する原子団を意味し、「アニオン」とは、負電荷を有する原子、又は負電荷を有する原子団を意味する。
 特定の添加剤(化合物A)をレジスト下層膜形成用組成物に含有させることで、レジスト下層膜におけるアルカリ性溶液(塩基性薬液)に対する可溶性が増すのは、特定の添加剤(化合物A)におけるアニオン種が加水分解縮合物(ポリマー)の間に存在することによって、加水分解縮合物が架橋する結合を阻害したり、アニオン種自体が結合しキャッピングすることにより、3次元架橋が進まないためではないかと推測している。
 アニオンAZの分子量は、縮合抑制の観点から、65以上であることがより好ましい。また、ドライエッチング耐性維持の観点から、500以下であることがより好ましい。
<<アニオンAZ>>
 化合物Aにおいて、アニオンAZは、カチオンAXの分子外に存在していても分子内に存在してもよい。
 「アニオンAZがカチオンAXの分子外に存在している」とは、アニオンAZが、カチオンAXと共有結合を介して結合しておらず、カチオンAXとは独立した構造単位として存在している状態をいう。上記のような化合物Aの形態としては、例えば、塩が挙げられる。以下、カチオンの分子外に存在するアニオンを対アニオンともいう。
 また、化合物Aにおいて、アニオンAZは、カチオンAXと共有結合を介して結合していてもよい。すなわち、化合物Aの形態は、分子内塩(両性イオンともいう。)であってもよい。
 アニオンAZの種類は、上記アニオンの分子量が65以上であるという条件を満たせば特に制限されないが、例えば、下記(A)~(E)で表される化学構造を有するアニオンが挙げられる。
Figure JPOXMLDOC01-appb-C000051
Figure JPOXMLDOC01-appb-C000052
Figure JPOXMLDOC01-appb-C000053
Figure JPOXMLDOC01-appb-C000054
Figure JPOXMLDOC01-appb-C000055
Figure JPOXMLDOC01-appb-C000056
 式(A)~(E)中、R301は、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいアラルキル基、もしくはエステル結合(-C(=O)-O-又は-O-C(=O)-)を含む有機基、又はそれらの組み合わせを表し、
Zは、芳香族環、環状アルカン、または非芳香族環の環状アルケンを表し、
501は、一部または全部がフッ素原子で置換されてもよいアルキル基を表し、
302とR303は、互いに独立して、アルキル基を表し、
304とR305は、互いに独立して、アルキル基を表す。
 上記アルキル基、アリール基、ハロゲン化アルキル基、アラルキル基の具体例としては上述したものと同じものが挙げられる。上記アルキル基等に置換されてもよい置換基の具体例としても、上述したものと同じものが挙げられる。
 特定の添加剤(化合物A)としては、例えば、上記式(A)で表されるスルホン酸アニオンを有する化合物が挙げられる。
 上記式(A)で表されるスルホン酸アニオンを有する化合物としては、式(A)で表されるアニオンを分子外に有する化合物だけでなく、ラウリルスルホベタインやミリスチルスルホベタイン等のスルホベタインのように、式(A)で表されるアニオンを分子内に有する化合物であってもよい(下記(Add-6)、(Add-7)の化合物参照)。
 特定の添加剤(化合物A)としては、例えば、上記式(B-1)または上記式(B-2)で表されるトリアゾール骨格を含むアニオンを有する化合物が挙げられる。
 式(B-2)中、Zは、炭素原子数1~6の芳香族環、環状アルカン、または非芳香族環の環状アルケンを表す。
 特定の添加剤(化合物A)として、式(B-2)で表されるアニオンを有する化合物が好ましく、中でも式(B-2)中、Zは芳香族環であることが好ましい。つまり、特定の添加剤(化合物A)の好ましい実施態様として、例えば、下記(b-1)で表されるベンゾトリアゾール骨格を含むアニオンを有する化合物が挙げられる。
Figure JPOXMLDOC01-appb-C000057
 特定の添加剤(化合物A)としては、例えば、上記式(C)で表される化合物が挙げられる。
 式(C)中、R501は、炭素原子数1~4のアルキル基、該アルキル基の一部または全部がフッ素原子で置換されたフルオロアルキル基、またはパーフルオロアルキル基を表す。
 中でもR501は、CF基やC基であることが好ましい。特に特定の添加剤(化合物A)としては、例えば、下記(c-1)で表されるビス(トリフルオロメタンスルホニル)イミドのアニオンを有する化合物であることがより好ましい。
Figure JPOXMLDOC01-appb-C000058
 特定の添加剤(化合物A)としては、例えば、上記式(D)で表されるチオリン酸アニオンを有する化合物が挙げられる。
 特定の添加剤(化合物A)としては、例えば、上記式(E)で表されるリン酸アニオンを有する化合物等が挙げられる。
 特定の添加剤(化合物A)の具体例として、下記式(Add-1)~(Add-11)で表される化合物等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000059
  ・・・(Add-1)
Figure JPOXMLDOC01-appb-C000060
  ・・・(Add-2)
Figure JPOXMLDOC01-appb-C000061
  ・・・(Add-3)
Figure JPOXMLDOC01-appb-C000062
  ・・・(Add-4)
Figure JPOXMLDOC01-appb-C000063
  ・・・(Add-5)
Figure JPOXMLDOC01-appb-C000064
  ・・・(Add-6)
Figure JPOXMLDOC01-appb-C000065
  ・・・(Add-7)
Figure JPOXMLDOC01-appb-C000066
  ・・・(Add-8)
Figure JPOXMLDOC01-appb-C000067
  ・・・(Add-9)
Figure JPOXMLDOC01-appb-C000068
  ・・・(Add-10)
Figure JPOXMLDOC01-appb-C000069
  ・・・(Add-11)
 本発明のレジスト下層膜形成用組成物が、特定の添加剤を含む場合、その含有量は、レジスト下層膜形成用組成物100質量部に対して1~30質量部とすることができる。
<その他の成分(その他の添加剤)>
 本発明のレジスト下層膜形成用組成物には、組成物の用途に応じて、上記特定の添加剤以外のその他の成分である添加剤(その他の添加剤ともいう)を種々配合可能である。
 レジスト下層膜形成用組成物に配合し得るその他の成分(その他の添加剤)としては、例えば、硬化触媒(アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩、窒素含有シラン化合物等)、架橋剤、架橋触媒、安定化剤(有機酸、水、アルコール等)、有機ポリマー化合物、酸発生剤、界面活性剤(ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等)、pH調整剤、レオロジー調整剤、接着補助剤等、レジスト下層膜や、反射防止膜、パターン反転用膜など、半導体装置の製造に使用され得る各種膜を形成する材料(組成物)に配合される公知の添加剤を挙げることができる。
 なお以下に各種添加剤を例示するが、これらに限定されるものではない。
<<硬化触媒>>
 上記硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩等を用いることができる。なお硬化触媒として記載した下記の塩類は、塩の形態にて添加してもよいし、上記組成物中において塩を形成するもの(添加時には別化合物として添加され、系内で塩を形成するもの)のいずれであってもよい。
 上記アンモニウム塩としては、式(D-1):
Figure JPOXMLDOC01-appb-C000070
(式中、mは2~11、nは2~3の整数を、R21はアルキル基又はアリール基を、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-2):
Figure JPOXMLDOC01-appb-C000071
(式中、R22、R23、R24及びR25はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表し、且つR22、R23、R24、及びR25はそれぞれC-N結合により窒素原子と結合されているものである)で表される構造を有する第4級アンモニウム塩、
 式(D-3):
Figure JPOXMLDOC01-appb-C000072
(式中、R26及びR27はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-4):
Figure JPOXMLDOC01-appb-C000073
(式中、R28はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-5):
Figure JPOXMLDOC01-appb-C000074
(式中、R29及びR30はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-6):
Figure JPOXMLDOC01-appb-C000075
(式中、mは2~11、nは2~3の整数を、Hは水素原子を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第3級アンモニウム塩を挙げることができる。
 また、上記ホスホニウム塩としては、式(D-7):
Figure JPOXMLDOC01-appb-C000076
(式中、R31、R32、R33、及びR34はアルキル基又はアリール基を、Pはリン原子を、Yは陰イオンを表し、且つR31、R32、R33、及びR34はそれぞれC-P結合によりリン原子と結合されているものである)で表される第4級ホスホニウム塩を挙げることができる。
 また、上記スルホニウム塩としては、式(D-8):
Figure JPOXMLDOC01-appb-C000077
(式中、R35、R36、及びR37はアルキル基又はアリール基を、Sは硫黄原子を、Yは陰イオンを表し、且つR35、R36、及びR37はそれぞれC-S結合により硫黄原子と結合されているものである)で表される第3級スルホニウム塩を挙げることができる。
 上記の式(D-1)の化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2~11、nは2~3の整数を示す。この第4級アンモニウム塩のR21は炭素原子数1~18、好ましくは2~10のアルキル基、又は炭素原子数6~18のアリール基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。
 上記の式(D-2)の化合物は、R22232425で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は炭素原子数1~18のアルキル基、又は炭素原子数6~18のアリール基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この第4級アンモニウム塩は、市販品で入手することが可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。
 上記の式(D-3)の化合物は、1-置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27の炭素原子数は1~18であり、R26及びR27の炭素原子数の総和が7以上であることが好ましい。例えばR26はメチル基、エチル基、プロピル基、フェニル基、ベンジル基を、R27はベンジル基、オクチル基、オクタデシル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品で入手することもできるが、例えば1-メチルイミダゾール、1-ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル等のハロゲン化アルキルやハロゲン化アリールを反応させて製造することができる。
 上記の式(D-4)の化合物は、ピリジンから誘導される第4級アンモニウム塩であり、R28は炭素原子数1~18、好ましくは炭素原子数4~18のアルキル基、又は炭素原子数6~18のアリール基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品として入手することもできるが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、塩化N-ラウリルピリジニウム、臭化N-ベンジルピリジニウム等を例示することができる。
 上記の式(D-5)の化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は炭素原子数1~18、好ましくは炭素原子数4~18のアルキル基、又は炭素原子数6~18のアリール基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示することができる。R30は炭素原子数1~18のアルキル基、又は炭素原子数6~18のアリール基であり、例えばピコリンから誘導される第4級アンモニウムである場合には、R30はメチル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することもできるが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、N-ベンジルピコリニウムクロリド、N-ベンジルピコリニウムブロミド、N-ラウリルピコリニウムクロリド等を例示することができる。
 上記の式(D-6)の化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2~11、nは2~3の整数を示す。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。本化合物は、アミンとカルボン酸やフェノール等の弱酸との反応によって製造することができる。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(Y)は(HCOO)であり、酢酸を使用した場合は、陰イオン(Y)は(CHCOO)である。またフェノールを使用した場合は、陰イオン(Y)は(C)である。
 上記の式(D-7)の化合物は、R31323334の構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は炭素原子数1~18のアルキル基、又は炭素原子数6~18のアリール基であり、好ましくはR31~R34の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1~18のアルキル基、炭素原子数6~18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化テトラn-ブチルホスホニウム、ハロゲン化テトラn-プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。
 また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが挙げられる。
 上記の式(D-8)の化合物は、R353637の構造を有する第3級スルホニウム塩である。R35、R36、及びR37は炭素原子数1~18のアルキル基又は炭素原子数6~18のアリール基であり、好ましくはR35~R37の3つの置換基の内で2つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1~18のアルキル基、又は炭素原子数6~18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化トリn-ブチルスルホニウム、ハロゲン化トリn-プロピルスルホニウム等のハロゲン化トリアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化ジアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)、トリn-ブチルスルホニウムカルボキシラート、トリn-プロピルスルホニウムカルボキシラート等のトリアルキルスルホニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のジアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラートが挙げられる。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。
 また、本発明では硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN-(3-トリエトキシシリプロピル)-4,5-ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。
 硬化触媒が使用される場合、ポリシロキサン100質量部に対して、0.01質量部~10質量部、または0.01質量部~5質量部、または0.01質量部~3質量部である。
<<安定化剤>>
 上記安定化剤は、上記加水分解性シラン混合物の加水分解縮合物の安定化等の目的のために添加され得、その具体例として、有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
 上記有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸が好ましい。有機酸を添加する場合、その添加量は、上記加水分解性シラン混合物の加水分解縮合物の質量に対して0.1~5.0質量%である。これら有機酸はpH調整剤としても働き得る。
 上記水としては、純水、超純水、イオン交換水等を用いることができ、使用する場合、その添加量は、レジスト下層膜形成用組成物100質量部に対して1質量部~20質量部とすることができる。
 上記アルコールとしては塗布後の加熱により飛散(揮発)しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、i-プロパノール、ブタノール等が挙げられる。アルコールを添加する場合、その添加量は、レジスト下層膜形成用組成物100質量部に対して1質量部~20質量部とすることができる。
<<有機ポリマー>>
 上記有機ポリマー化合物は、該レジスト下層膜形成用組成物に添加することにより、該組成物から形成される膜(レジスト下層膜)のドライエッチング速度(単位時間当たりの膜厚の減少量)や、また減衰係数や屈折率等を調整することができる。該有機ポリマー化合物としては特に制限はなく、その添加目的に応じて、種々の有機ポリマー(縮重合ポリマー及び付加重合ポリマー)の中から適宜選択される。
 その具体例としては、ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーが挙げられる。
 本発明においては、吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、キノキサリン環等の芳香環や複素芳香環を含む有機ポリマーも、そのような機能が必要な場合には、好適に用い得る。そのような有機ポリマー化合物の具体例としては、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN-フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられるが、これらに限定されない。
 有機ポリマー化合物として付加重合ポリマーが使用される場合、そのポリマー化合物は、単独重合体、共重合体のいずれであってもよい。
 付加重合ポリマーの製造には付加重合性モノマーが使用されるが、そのような付加重合性モノマーの具体例としては、アクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられるが、これらに限定されない。
 アクリル酸エステル化合物の具体例としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、i-プロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2-ヒドロキシエチルアクリレート、3-クロロ-2-ヒドロキシプロピルアクリレート、2-ヒドロキシプロピルアクリレート、2,2,2-トリフルオロエチルアクリレート、2,2,2-トリクロロエチルアクリレート、2-ブロモエチルアクリレート、4-ヒドロキシブチルアクリレート、2-メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2-メチル-2-アダマンチルアクリレート、5-アクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-アクリロキシプロピルトリエトキシシラン、グリシジルアクリレート等が挙げられるが、これらに限定されない。
 メタクリル酸エステル化合物の具体例としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、i-プロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2-ヒドロキシエチルメタクリレート、2-ヒドロキシプロピルメタクリレート、2,2,2-トリフルオロエチルメタクリレート、2,2,2-トリクロロエチルメタクリレート、2-ブロモエチルメタクリレート、4-ヒドロキシブチルメタクリレート、2-メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2-メチル-2-アダマンチルメタクリレート、5-メタクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2-フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート、ブロモフェニルメタクリレート等が挙げられるが、これらに限定されない。
 アクリルアミド化合物の具体例としては、アクリルアミド、N-メチルアクリルアミド、N-エチルアクリルアミド、N-ベンジルアクリルアミド、N-フェニルアクリルアミド、N,N-ジメチルアクリルアミド、N-アントリルアクリルアミド等が挙げられるが、これらに限定されない。
 メタクリルアミド化合物の具体例としては、メタクリルアミド、N-メチルメタクリルアミド、N-エチルメタクリルアミド、N-ベンジルメタクリルアミド、N-フェニルメタクリルアミド、N,N-ジメチルメタクリルアミド、N-アントリルメタクリルアミド等が挙げられるが、これらに限定されない。
 ビニル化合物の具体例としては、ビニルアルコール、2-ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2-クロロエチルビニルエーテル、2-メトキシエチルビニルエーテル、ビニルナフタレン、ビニルアントラセン等が挙げられるが、これらに限定されない。
 スチレン化合物の具体例としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン、アセチルスチレン等が挙げられるが、これらに限定されない。
 マレイミド化合物としては、マレイミド、N-メチルマレイミド、N-フェニルマレイミド、N-シクロヘキシルマレイミド、N-ベンジルマレイミド、N-ヒドロキシエチルマレイミド等が挙げられるが、これらに限定されない。
 ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p-フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミドが挙げられるが、これらに限定されない。
 有機ポリマー化合物がヒドロキシ基を含む場合は、このヒドロキシ基は、加水分解縮合物等と架橋反応をし得る。
 上記有機ポリマー化合物の重量平均分子量は、通常1,000~1,000,000でとすることができる。有機ポリマー化合物を配合する場合、ポリマーとしての機能の効果を十分に得つつ、組成物中での析出を抑制する観点から、その重量平均分子量を例えば3,000~300,000、又は5,000~300,000、あるいは10,000~200,000などとすることができる。
 このような有機ポリマー化合物は、1種単独で使用してもよいし、2種以上組み合わせて用いることができる。
 本発明のレジスト下層膜形成用組成物が有機ポリマー化合物を含む場合、その含有量は、その有機ポリマー化合物の機能等を考慮して適宜定まるため一概に規定できないが、通常、上記加水分解性シラン混合物の加水分解縮合物の質量に対して、1~200質量%の範囲とすることができ、組成物中での析出を抑制する観点等から、例えば100質量%以下、好ましくは50質量%以下、より好ましくは30質量%以下とすることができ、その効果を十分に得る観点等から、例えば5質量%以上、好ましくは10質量%以上、より好ましくは30質量%以上とすることができる。
<<酸発生剤>>
 酸発生剤としては、熱酸発生剤や光酸発生剤が挙げられ、光酸発生剤を好ましく用いることができる。
 光酸発生剤としては、オニウム塩化合物、スルホンイミド化合物、ジスルホニルジアゾメタン化合物等が挙げられるが、これらに限定されない。
 また熱酸発生剤としては、例えばテトラメチルアンモニウム硝酸塩などが挙げられるが、これに限定されない。
 オニウム塩化合物の具体例としては、ジフェニルヨードニウムヘキサフルオロホスフェート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、トリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム硝酸塩(ナイトレート)、トリフェニルスルホニウムトリフルオロ酢酸塩、トリフェニルスルホニウムマレイン酸塩、トリフェニルスルホニウムクロリド等のスルホニウム塩化合物等を挙げることができるが、これらに限定されない。
 スルホンイミド化合物の具体例としては、N-(トリフルオロメタンスルホニルオキシ)スクシンイミド、N-(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N-(カンファースルホニルオキシ)スクシンイミド、N-(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられるが、これらに限定されない。
 ジスルホニルジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン、ビス(2,4-ジメチルベンゼンスルホニル)ジアゾメタン、メチルスルホニル-p-トルエンスルホニルジアゾメタン等が挙げられるが、これらに限定されない。
 本発明のレジスト下層膜形成用組成物が酸発生剤を含む場合、その含有量は、酸発生剤の種類等を考慮して適宜定まるため一概に規定できないが、通常、上記加水分解性シラン混合物の加水分解縮合物の質量に対して、0.01~5質量%の範囲であり、組成物中での酸発生剤の析出を抑制する観点等から、好ましくは3質量%以下、より好ましくは1質量%以下であり、その効果を十分に得る観点等から、好ましくは0.1質量%以上、より好ましくは0.5質量%以上である。
 なお酸発生剤は、1種単独で又は2種以上組み合わせて用いることができ、また、光酸発生剤と熱酸発生剤とを併用してもよい。
<<界面活性剤>>
 界面活性剤は、上記レジスト下層膜形成用組成物を基板に塗布した際に、ピンホール、ストレーション等の発生を抑制するのに有効である。上記界面活性剤としては、ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等が挙げられる。より具体的には、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリールエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップ(登録商標)EF301、EF303、EF352(三菱マテリアル電子化成(株)(旧(株)トーケムプロダクツ)製)、商品名メガファック(登録商標)F171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(スリーエムジャパン(株)製)、商品名アサヒガード(登録商標)AG710(AGC(株)製)、サーフロン(登録商標)S-382、SC101、SC102、SC103、SC104、SC105、SC106(AGCセイミケミカル(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができるが、これらに限定されない。
 界面活性剤は、1種単独で又は2種以上組み合わせて用いることができる。
 本発明のレジスト下層膜形成用組成物が界面活性剤を含む場合、その含有量は、上記加水分解性シラン混合物の加水分解縮合物の質量に対して、通常0.0001~5質量%であり、好ましくは0.001~4質量%、より好ましくは0.01~3質量%とすることができる。
<<レオロジー調整剤>>
 上記レオロジー調整剤は、主にレジスト下層膜形成用組成物の流動性を向上させ、特にベーキング工程において、形成される膜の膜厚均一性の向上や、ホール内部への組成物の充填性を高める目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジi-ブチルフタレート、ジヘキシルフタレート、ブチルi-デシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジ-i-ブチルアジペート、ジ-i-オクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、またはノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体等を挙げることができる。
 これらのレオロジー調整剤が使用される場合、その添加量は、レジスト下層膜形成用組成物の全固形分に対して通常30質量%未満である。
<<接着補助剤>>
 上記接着補助剤は、主に基板あるいはレジストと、当該レジスト下層膜形成用組成物から形成される膜(レジスト下層膜)との密着性を向上させ、特に現像においてレジストの剥離を抑制・防止する目的で添加される。具体例としては、トリメチルクロロシラン、ジメチルビニルクロロシラン、メチルジフェニルクロロシラン、クロロメチルジメチルクロロシラン等のクロロシラン類、トリメチルメトキシシラン、ジメチルジエトキシシラン、メチルジメトキシシラン、ジメチルビニルエトキシシラン等のアルコキシシラン類、ヘキサメチルジシラザン、N,N’-ビス(トリメチルシリル)ウレア、ジメチルトリメチルシリルアミン、トリメチルシリルイミダゾール等のシラザン類、γ-クロロプロピルトリメトキシシラン、γ-アミノプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン等のその他のシラン類、ベンゾトリアゾール、ベンズイミダゾール、インダゾール、イミダゾール、2-メルカプトベンズイミダゾール、2ーメルカプトベンゾチアゾール、2-メルカプトベンゾオキサゾール、ウラゾール、チオウラシル、メルカプトイミダゾール、メルカプトピリミジン等の複素環式化合物や、1,1-ジメチルウレア、1,3-ジメチルウレア等の尿素、またはチオ尿素化合物を挙げることができる。
 これらの接着補助剤が使用される場合、その添加量は、レジスト下層膜形成用組成物の全固形分に対して通常5質量%未満、好ましくは2質量%未満である。
<<pH調整剤>>
 また、pH調整剤として、前述の<安定化剤>として挙げた有機酸などのカルボン酸基を1又は2以上有する酸の他、ビスフェノールS、又はビスフェノールS誘導体を添加することができる。ビスフェノールS、又はビスフェノールS誘導体は、上記加水分解性シラン混合物の加水分解縮合物の100質量部に対して、0.01~20質量部、又は0.01~10質量部、又は0.01~5質量部である。
 以下、ビスフェノールSやビスフェノールS誘導体の具体例を挙げるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000078
 
 レジスト下層膜形成用組成物における固形分の濃度は、当該組成物の全質量に対して、例えば0.1~50質量%、0.1~30質量%、0.1~25質量%、0.5~20.0質量%とすることができる。固形分とは、当該組成物の全成分から溶媒成分を除いた成分を指す。
 固形分中の上記加水分解性シラン混合物の加水分解縮合物の含有量は、通常20質量%~100質量%であるが、上述した本発明の効果を再現性よく得る観点等から、その下限値は、好ましくは50質量%、より好ましくは60質量%、より一層好ましくは70質量%、更に好ましくは80質量%であり、その上限値は、好ましくは99質量%であり、その余を、後述の特定の添加剤(化合物A)やその他の成分とすることができる。
 また該組成物中の上記加水分解性シラン混合物の加水分解縮合物の含有量は、例えば0.5~20.0質量%とすることができる。
 また当該レジスト下層膜形成用組成物は、好ましくはpH2~5を有し、より好ましくはpH3~4を有する。
 レジスト下層膜形成用組成物は、上記加水分解性シラン混合物の加水分解縮合物と、溶媒と、所望により特定の添加剤(化合物A)やその他の成分が含まれる場合には当該特定の添加剤(化合物A)やその他の成分とを混合することで製造できる。この際、加水分解縮合物等を含む溶液を予め準備し、この溶液を、溶媒や特定の添加剤(化合物A)やその他の成分と混合してもよい。
 混合順序は特に限定されるものではない。例えば、加水分解縮合物等を含む溶液に、溶媒を加えて混合し、その混合物に特定の添加剤(化合物A)やその他の成分を加えてもよく、加水分解縮合物等を含む溶液と、溶媒と、特定の添加剤(化合物A)やその他の成分とを同時に混合してもよい。
 必要であれば、最後に更に溶媒を追加で加えたり、溶媒に比較的溶けやすい一部の成分を混合物中に含めずにおき、最後にそれを加えたりしてもよいが、構成成分の凝集や分離を抑制し、均一性に優れる組成物を再現性よく調製する観点から、加水分解縮合物等が良好に溶解した溶液を予め準備し、これを用いて組成物を調製することが好ましい。なお、加水分解縮合物等は、共に混ぜられる溶媒の種類や量、その他の成分の量や性質等によっては、これらが混ぜられた際に凝集又は沈殿する可能性がある点に留意する。また、加水分解縮合物等が溶解した溶液を用いて組成物を調製する場合、最終的に得られる組成物中の加水分解縮合物等が所望の量となるように、加水分解縮合物等の溶液の濃度やその使用量を決める必要がある点も留意する。
 組成物の調製において、成分が分解したり変質したりしない範囲で、適宜加熱してもよい。
 本発明において、レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、サブマイクロメートルオーダーのフィルタ等を用いてろ過してもよい。
 本発明のレジスト下層膜形成用組成物はリソグラフィー工程に使用されるレジスト下層膜形成用の組成物として、好適に用いることができる。
(第2の態様のシリコン含有レジスト下層膜形成用組成物)
 本発明のレジスト下層膜形成用組成物は、加水分解性シラン混合物の加水分解縮合物とカチオンAX及びアニオンAZを含む化学構造を有する特定の添加剤(化合物A)とを含む。
 加水分解性シラン混合物の加水分解縮合物(ポリシロキサン)を含むレジスト下層膜形成用組成物に、カチオンAX及びアニオンAZを含む化学構造を有する特定の添加剤(化合物A)を含有させることにより、アルカリ性溶液(塩基性薬液)に対し優れた可溶性を示すレジスト下層膜を形成することができる。
<加水分解性シラン混合物の加水分解縮合物>
 第2の態様のシリコン含有レジスト下層膜形成用組成物に含まれる加水分解性シラン混合物の加水分解縮合物を形成する加水分解性シランとしては、特に制限はなく、上記(第1の態様のシリコン含有レジスト下層膜形成用組成物)の上記<加水分解性シラン混合物の加水分解縮合物>の欄で記載した全てのシラン化合物(加水分解性シラン)が使用可能である。つまり、式(1)で表される加水分解性シラン、式(2)で表される加水分解性シラン、式(3)で表される加水分解性シラン、式(4)で表される加水分解性シラン、及び式(5)で表される加水分解性シランのいずれを含んでいてもよく、あるいはそれらの式で表される加水分解性シラン以外の加水分解シランを含んでいてもよい。
 第2の態様の加水分解縮合物と第1の態様の加水分解縮合物の違いは、加水分解性シラン混合物に含まれる加水分解性シランの種類が、第1の態様では特定の加水分解性シランを含むよう規定されているのに対し、第2の態様では特に制限はないという点である。特定の添加剤(化合物A)をレジスト下層膜形成用組成物に含有させることにより、レジスト下層膜のアルカリ性溶液(塩基性薬液)に対する可溶性を向上させることができるため、第2の態様では、加水分解性シラン混合物に含まれる加水分解性シランの種類としては特に制限はない。第2の態様では、いずれの加水分解性シランも使用可能である。
 第2の態様における「加水分解性シラン混合物の加水分解縮合物」としては、上記(第1の態様のシリコン含有レジスト下層膜形成用組成物)の上記<加水分解性シラン混合物の加水分解縮合物>の欄に記載した、各種加水分解性シランが使用可能である。
<特定の添加剤(化合物A)>
 第2の態様における「特定の添加剤(化合物A)」としては、上記(第1の態様のシリコン含有レジスト下層膜形成用組成物)の上記<特定の添加剤(化合物A)>の欄に記載したとおりである。
 第2の態様のレジスト下層膜形成用組成物においても、加水分解性シラン混合物の加水分解縮合物(ポリシロキサン)と特定の添加剤(化合物A)の他に、溶媒や、その他の成分を含むことができる。
<溶媒>
 第2の態様における「溶媒」としては、上記(第1の態様のシリコン含有レジスト下層膜形成用組成物)の上記<溶媒>の欄に記載したとおりである。
<その他の成分(その他の添加剤)>
 第2の態様における「その他の成分」としては、上記(第1の態様のシリコン含有レジスト下層膜形成用組成物)の上記<その他の成分(その他の添加剤)>の欄に記載したとおりである。
 第2の態様におけるレジスト下層膜形成用組成物の固形分濃度や好ましいpH値、該レジスト下層膜形成用組成物の製造方法についての説明は、上記(第1の態様のシリコン含有レジスト下層膜形成用組成物)の欄に記載したとおりである。
[パターン形成方法及び半導体装置の製造方法]
 以下、本発明の一態様として、本発明のレジスト下層膜形成用組成物を使用したパターン形成方法、並びに半導体装置の製造方法について説明する。
 まず、精密集積回路素子の製造に使用される基板〔例えば、酸化珪素膜、窒化珪素膜又は酸化窒化珪素膜で被覆されたシリコンウエハー等の半導体基板、窒化珪素基板、石英基板、ガラス基板(無アルカリガラス、低アルカリガラス、結晶化ガラスを含む。)、ITO(インジウムスズ酸化物)膜やIZO(インジウム亜鉛酸化物)膜が形成されたガラス基板、プラスチック(ポリイミド、PET等)基板、低誘電率材料(low-k材料)被覆基板、フレキシブル基板等〕の上に、スピナー、コーター等の適当な塗布方法により、本発明のレジスト下層膜形成用組成物を塗布し、その後、ホットプレート等の加熱手段を用いて焼成することによって組成物を硬化物とし、レジスト下層膜を形成する。本明細書において、レジスト下層膜とは、本発明のレジスト下層膜形成用組成物より形成される膜をいう。
 焼成する条件としては、焼成温度40℃~400℃、又は80℃~250℃、焼成時間0.3分間~60分間の中から適宜選択される。好ましくは、焼成温度150℃~250℃、焼成時間0.5分間~2分間である。
 ここで形成されるレジスト下層膜の膜厚としては、例えば、10nm~1,000nmであり、又は20nm~500nmであり、又は50nm~300nmであり、又は100nm~200nm、または10~150nmである。
 本発明では、上記基板上に有機下層膜を形成した後、この上に上記レジスト下層膜を形成した態様とするが、場合によって有機下層膜を設けない態様とすることもあり得る。
 ここで使用する有機下層膜としては、特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができる。
 基板上に、有機下層膜、その上にレジスト下層膜、さらにその上に後述するレジスト膜を設けた態様とすることにより、フォトレジスト膜のパターン幅が狭くなり、パターン倒れを防ぐ為にフォトレジスト膜を薄く被覆した場合でも、後述する適切なエッチングガスを選択することにより基板の加工が可能になる。例えば、フォトレジスト膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、本発明のレジスト下層膜の加工が可能であり、また本発明のレジスト下層膜に対して十分に早いエッチング速度を有する酸素系ガスをエッチングガスとして用いて、有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、基板の加工を行うことができる。
 なお、この際に用い得る基板及び塗布方法は、上述したものと同じものが挙げられる。
 次いで、上記レジスト下層膜の上に、例えばフォトレジスト材料の層(レジスト膜)が形成される。レジスト膜の形成は周知の方法にて、すなわち、レジスト下層膜の上に、塗布型レジスト材料(例えばフォトレジスト膜形成用組成物)を塗布し焼成することによって行なうことができる。
 レジスト膜の膜厚は、例えば10nm~10,000nmであり、又は100nm~2,000nmであり、又は200nm~1,000nmであり、又は30nm~200nmである。
 上記レジスト下層膜上に形成されるレジスト膜に使用されるフォトレジスト材料としては、露光に使用される光(例えば、KrFエキシマレーザー、ArFエキシマレーザー等)に感光するものであれば特に限定はされず、ネガ型フォトレジスト材料及びポジ型フォトレジスト材料のいずれも使用できる。例えば、ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト材料、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト材料、酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト材料、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジスト材料等がある。
 市販品として入手可能な具体例としては、シプレー社製商品名APEX-E、住友化学(株)製商品名PAR710、JSR(株)製;商品名AR2772JN、及び信越化学工業(株)製商品名SEPR430等が挙げられるが、これらに限定されない。また、例えば、Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、やProc.SPIE,Vol.3999,365-374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジスト材料を挙げることができる。
 また、上記レジスト下層膜上に形成されるレジスト膜には、フォトレジスト膜に替えて電子線リソグラフィー用レジスト膜(電子線レジスト膜とも称する)、又はEUVリソグラフィー用レジスト膜(EUVレジスト膜とも称する)を用いることができ、すなわち、本発明のシリコン含有レジスト下層膜形成用組成物は、電子線リソグラフィー用レジスト下層膜形成用又はEUVリソグラフィー用レジスト下層膜形成用として用いることができる。特にEUVリソグラフィー用レジスト下層膜形成用組成物として好適である。
 上記電子線レジスト材料としては、ネガ型材料、ポジ型材料いずれも使用できる。その具体例としては、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト材料、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト材料、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジスト材料などがある。これらの電子線レジスト材料を用いた場合も、照射源を電子線としてフォトレジスト材料を用いた場合と同様にレジスト膜のパターンを形成することができる。
 また上記EUVレジスト材料としては、メタクリレート樹脂系レジスト材料を用いることができる。
 次に、レジスト下層膜の上層に形成されたレジスト膜に対して、所定のマスク(レクチル)を通して露光を行う。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)、F2エキシマレーザー(波長157nm)、EUV(波長13.5nm)、電子線等を使用することができる。
 露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3分間~10分間から適宜選択された条件で行われる。
 次いで、現像液(例えばアルカリ現像液)によって現像が行なわれる。これにより、例えばポジ型フォトレジスト膜が使用された場合は、露光された部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(アルカリ現像液)としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液(アルカリ現像液)等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5~50℃、時間10秒~600秒から適宜選択される。
 また本発明では、現像液として有機溶剤を用いることができ、露光後に現像液(溶剤)によって現像が行なわれる。これにより、例えばネガ型フォトレジスト膜が使用された場合は、露光されていない部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(有機溶剤)としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、ギ酸メチル、ギ酸エチル、ギ酸ブチル、ギ酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度は5℃~50℃、時間は10秒~600秒から適宜選択される。
 このようにして形成されたフォトレジスト膜(上層)のパターンを保護膜としてレジスト下層膜(中間層)の除去を行い、次いでパターン化されたフォトレジスト膜とパターン化されたレジスト下層膜(中間層)からなる膜を保護膜として、有機下層膜(下層)の除去を行い、最後に、パターン化されたフォトレジスト膜(上層)、パターン化されたレジスト下層膜(中間層)及び、パターン化された有機下層膜(下層)を保護膜として、基板の加工を行う。
 レジスト膜(上層)のパターンを保護膜として行われるレジスト下層膜(中間層)の除去はドライエッチングによって行われ、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素、三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。
 なおレジスト下層膜のドライエッチングには、ハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるレジスト膜(フォトレジスト膜)は除去されにくい。それに対し、ケイ素原子を多く含むシリコン含有レジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、該レジスト下層膜のドライエッチングに伴うフォトレジスト膜の膜厚の減少を抑えることができる。そして、その結果、フォトレジスト膜を薄膜で使用することが可能となる。従って、レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 基板とレジスト下層膜の間に有機下層膜を有している場合、次いで(残存している場合にはパターン化されたレジスト膜(上層)と)パターン化されたレジスト下層膜(中間層)からなる膜を保護膜として行われる有機下層膜(下層)の除去は、酸素系ガス(酸素ガス、酸素/硫化カルボニル(COS)混合ガス等)によるドライエッチングによって行なわれることが好ましい。これは、ケイ素原子を多く含む本発明のレジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいことによる。
 最後に、パターン化されたレジスト下層膜(中間層)、及び所望によりパターン化された有機下層膜(下層)を保護膜として行われる(半導体)基板の加工は、フッ素系ガスによるドライエッチングによって行なわれることが好ましい。
 フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
 本発明では、有機下層膜のエッチング(除去)する工程の後に、レジスト下層膜の除去を薬液によって行うことが可能である。なお、薬液によるレジスト下層膜の除去は、パターン化された有機下層膜による基板の加工後に行うこともできる。本発明にあっては、上記の加水分解縮合物(ポリシロキサン)を含むレジスト下層膜形成用組成物を用いることにより、該縮合物から形成した膜において、アルカリ性条件下で可溶性を高めることができる。例えば、アンモニアおよび過酸化水素を含む水溶液のようなアルカリ性溶液(塩基性薬液)に対し、優れた溶解性を示す。そのため、当該膜はアルカリ性溶液で処理した場合に良好な剥離性を示し、シリコン含有レジスト下層膜等のシリコン系のマスク残渣であっても薬液により容易に除去可能となるレジスト下層膜により、基板ダメージの少ない半導体デバイスを製造することができる。
 上記薬液としては、希フッ酸、バッファードフッ酸、塩酸と過酸化水素を含む水溶液(SC-2薬液)、硫酸と過酸化水素を含む水溶液(SPM薬液)、弗酸と過酸化水素を含む水溶液(FPM薬液)や、アンモニアと過酸化水素を含む水溶液(SC-1薬液)等のアルカリ性溶液が挙げられ、基板への影響を少なくできる観点からアルカリ性薬液(塩基性薬液)の使用が好適である。
 上記アルカリ性溶液としては、前述のアンモニアと過酸化水素水と水の混合によるアンモニア過水(SC-1薬液)のほか、アンモニア、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、コリンヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド、DBU(ジアザビシクロウンデセン)、DBN(ジアザビシクロノネン)、ヒドロキシルアミン、1-ブチル-1-メチルピロリジニウムヒドロキシド、1-プロピル-1-メチルピロリジニウムヒドロキシド、1-ブチル-1-メチルピペリジニウムヒドロキシド、1-プロピル-1-メチルピペリジニウムヒドロキシド、メピクアトヒドロキシド、トリメチルスルホニウムヒドロキシド、ヒドラジン類、エチレンジアミン類、又はグアニジンを1~99質量%含有する水溶液を挙げることができる。
 またレジスト下層膜の上層には、レジスト膜の形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、例えば、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行なうことができる。
 また、本発明のレジスト下層膜形成用組成物が塗布される基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよく、その上にレジスト下層膜を形成することもできる。基板上に有機下層膜を形成した後、この上に本発明のレジスト下層膜を形成する場合も、用いる基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよい。
 本発明のレジスト下層膜形成用組成物より形成されるレジスト下層膜はまた、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。
 さらに上記レジスト下層膜は、基板とレジスト膜(フォトレジスト膜等)との相互作用の防止するための層、レジスト膜に用いられる材料又はレジスト膜への露光時に生成する物質の基板への悪作用を防ぐ機能を有する層、加熱焼成時に基板から生成する物質の上層レジスト膜への拡散を防ぐ機能を有する層、及び半導体基板誘電体層によるレジスト膜のポイズニング効果を減少させるためのバリア層等として使用することも可能である。
 上記レジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され得、ホールを隙間なく充填することができる穴埋め材(埋め込み材)として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。
 また上記レジスト下層膜は、EUVレジスト膜の下層膜として、ハードマスクとしての機能以外にも、例えばEUVレジスト膜とインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えばUV(紫外)光やDUV(深紫外)光(:ArF光、KrF光)の基板又は界面からの反射を防止することができる、EUVレジスト膜の下層反射防止膜として、用いることができる。すなわちEUVレジスト膜の下層として効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、そのプロセスはフォトレジスト用下層膜と同様に行うことができる。
 以上説明した本発明のレジスト下層膜と、半導体基板とを備える半導体加工用基板は、これを用いることによって、好適に半導体基板を加工することができる。
 また、上述した通りの、有機下層膜を形成する工程と、該有機下層膜上に、本発明のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、該シリコン含有レジスト下層膜上に、レジスト膜を形成する工程とを含む、半導体素子の製造方法によれば、精度の高い半導体基板の加工を再現性よく実現できるため、半導体素子の安定的な製造を期待できる。
 以下、本発明の内容および効果を実施例により更に詳細に説明するが、本発明はこれらに限定されるわけではない。
 上記の加水分解性シランの加水分解縮合物(ポリオルガノシロキサン)は、重量平均分子量1,000~1,000,000、又は、1,000~100,000の縮合物を得ることができる。これらの分子量はGPC分析によるポリスチレン換算で得られる分子量である。
 GPCの測定条件は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工(株)製)、カラム温度は40℃、溶離液(溶出溶媒)はテトラヒドロフラン、流量(流速)は1.0mL/min、標準試料はポリスチレン(昭和電工(株)製)を用いて行うことができる。
[1]合成例1~11、および比較合成例1~2:加水分解縮合物(ポリシロキサン)の合成
 各合成で使用した化合物1~8を下記に示す。
Figure JPOXMLDOC01-appb-C000079
 上記式中、Meはメチル基を、Etはエチル基をそれぞれ表す。
<合成例1>
 化合物1を20.8g、化合物2を21.9g、化合物3を8.8g、化合物4を0.1g、化合物5を0.9gおよび1-エトキシ-2-プロパノール83gを200mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸37g水溶液を滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、20時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを56g加え、減圧下で、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られた加水分解縮合物(ポリシロキサン)は下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,000であった。
 なお以下の合成例・比較合成例に記載の化学式において、シロキサン単位の横に付された数字はモル比(合計100)を表す。
Figure JPOXMLDOC01-appb-C000080
 合成例1と同様の条件で表1に示してある化合物(モノマー)を使用して、<合成例2>から<合成例11>まで行い、それぞれ目的物である加水分解縮合物(ポリシロキサン化合物)2~11を得た。
Figure JPOXMLDOC01-appb-T000081
 
<比較合成例1>
 化合物1を20.8g(70mol%)、化合物7を7.6g(30mol%)および1-エトキシ-2-プロパノール42gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液19gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られた加水分解縮合物(ポリシロキサン)は下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,700であった。
Figure JPOXMLDOC01-appb-C000082
 
<比較合成例2>
 化合物1を12.5g(40mol%)、化合物7を12.0g(45mol%)、化合物3を3.6g(12mol%)、化合物8を1.9g(3mol%)および1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへマグネチックスターラーにて撹拌しながら、0.2mol/Lの硝酸水溶液18gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られた加水分解縮合物ポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,900であった。
Figure JPOXMLDOC01-appb-C000083
 
[2]調製例1~34および比較調製例1~2:シリコン含有レジスト下層膜形成用組成物(塗布液)の調製
 上記合成例で得られた加水分解縮合物(ポリマー)1~11および比較合成例1~2の加水分解縮合物(ポリマー)に対し、添加剤、溶媒を表2-1および表2-2に示す割合で混合し、0.02μmのポリエチレン製フィルターで濾過することによって、ポリシロキサン下層膜形成用組成物溶液をそれぞれ調製した。表2中の各添加量は質量部で示した。
 なお表2中、組成物の欄に記載の各合成例が2質量部とあるのは、加水分解縮合物が2質量部との意味である。また、表2中、MAはマレイン酸を、TPSNO3は硝酸トリフェニルスルホニウムを、PGEEはプロピレングリコールモノエチルエーテルを、PGMEはプロピレングリコールモノメチルエーテルを、それぞれ意味する。
 また、表2中、Add-1~11は、それぞれ下記構造式で示される添加剤である。
Figure JPOXMLDOC01-appb-C000084
Figure JPOXMLDOC01-appb-C000085
Figure JPOXMLDOC01-appb-T000086
Figure JPOXMLDOC01-appb-T000087
[3]有機下層膜形成用組成物の調製
 窒素下、100mLの四口フラスコにカルバゾール(6.69g、0.040mol、東京化成工業(株)製)、9-フルオレノン(7.28g、0.040mol、東京化成工業(株)製)およびパラトルエンスルホン酸一水和物(0.76g、0.0040mol、東京化成工業(株)製)を入れ、そこへ1,4-ジオキサン(6.69g、関東化学(株)製)を入れて撹拌した後、混合物を100℃まで昇温して固体を溶解させ、重合を開始させた。
 24時間後、反応混合物を60℃まで放冷し、クロロホルム(34g、関東化学(株)製)を加え希釈し、希釈した反応混合物をメタノール(168g、関東化学(株)製)に滴下し、再沈殿を行った。得られた沈殿物をろ過で回収し、回収した固体を80℃で24時間乾燥し、目的とする式(X)で表されるポリマー(以下、PCzFLと略す)9.37gを得た。
 なお、PCzFLのH-NMRの測定結果は以下の通りであった。
H-NMR(400MHz,DMSO-d):δ7.03-7.55(br,12H),δ7.61-8.10(br,4H),δ11.18(br,1H)
 また、PCzFLの重量平均分子量(Mw)は、GPCによるポリスチレン換算で2,800で、多分散度Mw/Mnは1.77であった。
Figure JPOXMLDOC01-appb-C000088
 PCzFL 20gと、架橋剤としてテトラメトキシメチルグリコールウリル(日本サイテック・インダストリーズ(株)(旧 三井サイテック(株))製、商品名パウダーリンク1174)3.0gと、触媒としてピリジニウムパラトルエンスルホネート0.30gと、界面活性剤としてメガファックR-30(DIC(株)製、商品名)0.06gとを混合し、混合物をプロピレングリコールモノメチルエーテルアセテート88gに溶解させ溶液とした。その後、該溶液を孔径0.10μmのポリエチレン製ミクロフィルタを用いてろ過し、更に、孔径0.05μmのポリエチレン製ミクロフィルタを用いてろ過して、有機下層膜形成用組成物を調製した。
[4]実施例1~34、比較例1~2:ArF露光によるレジストパターン評価(PTD)
 上記有機下層膜形成用組成物を、スピナーを用いてシリコンウエハー上に塗布し、ホットプレート上で240℃で60秒間加熱することで、有機下層膜(A層)(膜厚200nm)を形成した。
 その上に、調製例1で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)(20nm)を形成した。
 更にその上に、市販のArF用レジスト(JSR(株)製、商品名:AR2772JN)をスピンコートし、ホットプレート上で110℃で90秒間加熱することにより、レジスト膜(C層)(120nm)を形成した後、(株)ニコン製NSR-S307Eスキャナー(波長:193nm、NA:0.85、σ:0.85/0.93)を用い、下記現像後にフォトレジストのライン幅およびライン間の幅が0.065μmとなるように、すなわち0.065μmのラインアンドスペース(L/S)=1/1のデンスラインが形成されるように設定されたマスクを通して露光を行った。
 露光後、露光後加熱(110℃1分間)を行い、クーリングプレート上で室温まで冷却し、2.38%アルカリ水溶液を用いて60秒間現像し、リンス処理をし、レジストパターンを形成した。
 同様の手順にて、調製例2~34及び比較調製例1~2で得られた各塗布液を用いて、レジストパターンをそれぞれ形成した。
 調製例1~34を用いた実験結果を、それぞれ実施例1~34と、比較調製例1~2を用いた実験結果を、それぞれ比較例1~2とした。
 得られたフォトレジストパターンについて、パターン断面観察によるパターン形状を確認することにより評価し、パターン倒れ(著しいパターン剥がれやアンダーカット、ライン底部の太り(フッティング))が発生していないものを「良好」、パターン倒れが発生しているものを「不良」と評価した。得られた結果を表3に示す。
 なお、以降の説明において、使用したレジスト下層膜形成用組成物の例番号を、当該組成物を用いて実施した各種評価の例番号としても扱うものとする。
[5]実施例1~34、比較例1~2:FT-IRによるシロキサン結合強度比の評価
 シリコンウエハー上に、調製例1で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)を形成した。形成したB層上に、同様の工程でB層をさらに2回積層し、3回積層されたB層(80nm膜厚)を得た。
 同様の手順にて、調製例2~34及び比較調製例1~2で得られた各塗布液を用いて、シリコン含有レジスト下層膜をそれぞれ形成した。
 得られた各シリコン含有レジスト下層膜について、フーリエ変換赤外分光法(FT/IR-6600(日本分光(株)製))を用い、波数1000~1250cm-1に観察されるシロキサン結合のピーク強度を比較した。ピーク強度は、比較例2のシリコン含有レジスト下層膜の強度を100として規格化した値を用いて比較した。比較例2に対する結合強度比が比較的高い(例えば90以上など)場合、溶解性が低下する傾向がある。得られた結果を表3に示す。
[6]実施例1~34、比較例1~2:SC-1薬液(アンモニア/過酸化水素水溶液)による除去性評価
 シリコンウエハー上に、調製例1で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)(20nm)を形成した。
 同様の手順にて、調製例2~34及び比較調製例1~2で得られた各塗布液を用いて、シリコン含有レジスト下層膜をそれぞれ形成した。
 得られた各シリコン含有レジスト下層膜が形成されたシリコンウエハーを、液温60℃に調整したSC-1薬液(28%アンモニア水/33%過酸化水素水/水=1/1/10(v/v/v))に180秒間または300秒間浸漬し、次いで水で60秒間リンスした後、乾燥させた。そして、SC-1薬液への300秒間の浸漬後におけるシリコン含有レジスト下層膜の厚さを測定し、膜厚の変化率(%)を算出した。浸漬前のシリコン含有レジスト下層膜の膜厚に対して浸漬後の膜厚の変化率が90%以上のものを「良好」、90%未満のものを「不良」と評価した。また、300秒間の浸漬において「良好」と評価されたもののうち、180秒間の浸漬後におけるシリコン含有レジスト下層膜の膜厚の変化率が90%以上のものを「非常に良好」と評価した。得られた結果を表3に示す。
[7]実施例1~34、比較例1~2:ドライエッチング後の残渣評価
 シリコンウエハー上に、上記有機下層膜形成用組成物を、スピナーを用いてシリコンウエハー上に塗布し、ホットプレート上で240℃で60秒間加熱することで、有機下層膜(A層)(膜厚70nm)を形成した。
 その上に、調製例1で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)(20nm)を形成した。
 ラムリサーチ(株)製ドライエッチャー(LAM-2300)を用い、CF4系ガス条件下、ドライエッチングを20秒間実施し、得られた膜付きシリコンウエハーからシリコン含有レジスト下層膜(B層)を除去した。その後、O/COS系ガス条件下、ドライエッチングを5秒間実施し、有機下層膜(A層)を除去した。
 同様の手順にて、調製例2~34並びに比較調製例1~2で得られた各塗布液を用いて、シリコン含有レジスト下層膜を形成し、シリコン含有レジスト下層膜(B層)および有機下層膜(A層)を除去した。
 有機下層膜(A層)およびシリコン含有レジスト下層膜(B層)が除去されたシリコンシリコンウエハー表面を走査型プローブ顕微鏡((株)日立ハイテク製、AFM5000)を用いて観察した。幅0.05μm以上、高さ2nm以上の凸型のエッチング残渣が確認された場合は「不良」、確認されない場合は「良好」と評価した。得られた結果を表3に示す。
Figure JPOXMLDOC01-appb-T000089

Claims (11)

  1.  下記式(1)で表される加水分解性シラン及び下記式(2)で表される加水分解性シランの少なくともいずれかを含む加水分解性シラン混合物の加水分解縮合物を含む、シリコン含有レジスト下層膜形成用組成物であって、塩基性薬液に可溶性のシリコン含有レジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000001
    (式(1)中、
    は、ケイ素原子に結合する基であって、コハク酸無水物骨格を含む有機基を表し、
    は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
    aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)
    Figure JPOXMLDOC01-appb-C000002
    (式(2)中、
    は、ケイ素原子に結合する基であって、下記式(2-1)で表される1価の基を表し、
    Figure JPOXMLDOC01-appb-C000003
     (式(2-1)中、
     R201~R202は、互いに独立して、水素原子、置換されてもよいアルキル基を含む有機基を表し、R203は、置換されてもよいアルキレン基を表し、*はケイ素原子と結合する結合手を表す。)
    は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
    aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)
  2.  シリコン含有レジスト下層膜形成用組成物が、カチオンAX及びアニオンAZを含む化学構造を有し、前記アニオンの分子量が65以上である化合物Aを更に含む、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  3.  前記アニオンAZが、下記(A)~(E)で表されるアニオンからなる群から選択される少なくとも1種のアニオンである、請求項2に記載のシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000004
    Figure JPOXMLDOC01-appb-C000005
    Figure JPOXMLDOC01-appb-C000006
    Figure JPOXMLDOC01-appb-C000007
    Figure JPOXMLDOC01-appb-C000008
    Figure JPOXMLDOC01-appb-C000009
    (式(A)~(E)中、
    301は、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいアラルキル基、もしくはエステル結合(-C(=O)-O-又は-O-C(=O)-)を含む有機基、又はそれらの組み合わせを表し、
    Zは、芳香族環、環状アルカン、または非芳香族環の環状アルケンを表し、
    501は、一部または全部がフッ素原子で置換されてもよいアルキル基を表し、
    302とR303は、互いに独立して、アルキル基を表し、
    304とR305は、互いに独立して、アルキル基を表す。)
  4.  前記加水分解性シラン混合物が、下記式(3)で表される加水分解性シランを更に含む、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000010
    (式(3)中、
    は、ケイ素原子に結合する基であって、アルケニル基を含む有機基を表し、
    は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
    aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)
  5.  前記加水分解性シラン混合物が、下記式(4)で表される加水分解性シランを更に含む、請求項4に記載のシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000011
    (式(4)中、
    10は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。)
  6.  塩基性薬液に可溶性のシリコン含有レジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物であって、
     シリコン含有レジスト下層膜形成用組成物が、カチオンAX及びアニオンAZを含む化学構造を有し、前記アニオンの分子量が65以上である化合物Aを含む、シリコン含有レジスト下層膜形成用組成物。
  7.  前記アニオンAZが、下記(A)~(E)で表されるアニオンからなる群から選択される少なくとも1種のアニオンである、請求項6に記載のシリコン含有レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000012
    Figure JPOXMLDOC01-appb-C000013
    Figure JPOXMLDOC01-appb-C000014
    Figure JPOXMLDOC01-appb-C000015
    Figure JPOXMLDOC01-appb-C000016
    Figure JPOXMLDOC01-appb-C000017
    (式(A)~(E)中、
    301は、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいアラルキル基、もしくはエステル結合(-C(=O)-O-又は-O-C(=O)-)を含む有機基、又はそれらの組み合わせを表し、
    Zは、芳香族環、環状アルカン、または非芳香族環の環状アルケンを表し、
    501は、一部または全部がフッ素原子で置換されてもよいアルキル基を表し、
    302とR303は、互いに独立して、アルキル基を表し、
    304とR305は、互いに独立して、アルキル基を表す。)
  8.  請求項1~請求項7のいずれかに記載のレジスト下層膜形成用組成物を用いて形成されたシリコン含有レジスト下層膜。
  9.  半導体基板上に有機下層膜を形成する工程、
     前記有機下層膜の上に、請求項1~請求項7のいずれかに記載のレジスト下層膜形成用組成物を塗布し、焼成して、シリコン含有レジスト下層膜を形成する工程、
     前記シリコン含有レジスト下層膜の上に、レジスト膜形成用組成物を塗布し、レジスト膜を形成する工程、
     前記レジスト膜を露光、現像し、レジストパターンを得る工程、
     レジストパターンをマスクに用い、前記シリコン含有レジスト下層膜をエッチングする工程、
     パターン化された前記シリコン含有レジスト下層膜をマスクとして用い、前記有機下層膜をエッチングする工程を含む、
    パターン形成方法。
  10.  前記有機下層膜をエッチングする工程の後に、薬液を用いた湿式法によりシリコン含有レジスト下層膜を除去する工程を更に含む、
    請求項9に記載のパターン形成方法。
  11.  前記薬液が、塩基性薬液である、請求項10に記載のパターン形成方法。

     
PCT/JP2022/040061 2021-10-28 2022-10-27 添加剤含有シリコン含有レジスト下層膜形成組成物 WO2023074777A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2023550293A JP7495015B2 (ja) 2021-10-28 2022-10-27 添加剤含有シリコン含有レジスト下層膜形成組成物
CN202280071698.9A CN118159910A (zh) 2021-10-28 2022-10-27 含添加剂含硅抗蚀剂下层膜形成组合物
KR1020247017733A KR20240091099A (ko) 2021-10-28 2022-10-27 첨가제 함유 실리콘 함유 레지스트 하층막 형성 조성물

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-176582 2021-10-28
JP2021176582 2021-10-28

Publications (1)

Publication Number Publication Date
WO2023074777A1 true WO2023074777A1 (ja) 2023-05-04

Family

ID=86158089

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/040061 WO2023074777A1 (ja) 2021-10-28 2022-10-27 添加剤含有シリコン含有レジスト下層膜形成組成物

Country Status (5)

Country Link
JP (1) JP7495015B2 (ja)
KR (1) KR20240091099A (ja)
CN (1) CN118159910A (ja)
TW (1) TW202336532A (ja)
WO (1) WO2023074777A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018036631A (ja) * 2016-09-01 2018-03-08 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC シリコン含有下層
WO2018181989A1 (ja) * 2017-03-31 2018-10-04 日産化学株式会社 カルボニル構造を有するシリコン含有レジスト下層膜形成組成物
WO2019009413A1 (ja) * 2017-07-06 2019-01-10 日産化学株式会社 アルカリ性現像液可溶性シリコン含有レジスト下層膜形成組成物
WO2019082934A1 (ja) * 2017-10-25 2019-05-02 日産化学株式会社 アンモニウム基を有する有機基を含むシリコン含有レジスト下層膜形成組成物を用いる半導体装置の製造方法
JP2021018426A (ja) * 2019-07-23 2021-02-15 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6250514B2 (ja) 2014-10-03 2017-12-20 信越化学工業株式会社 塗布型bpsg膜形成用組成物、基板、及びパターン形成方法
JP6943001B2 (ja) 2017-04-10 2021-09-29 セイコーエプソン株式会社 電子機器

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018036631A (ja) * 2016-09-01 2018-03-08 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC シリコン含有下層
WO2018181989A1 (ja) * 2017-03-31 2018-10-04 日産化学株式会社 カルボニル構造を有するシリコン含有レジスト下層膜形成組成物
WO2019009413A1 (ja) * 2017-07-06 2019-01-10 日産化学株式会社 アルカリ性現像液可溶性シリコン含有レジスト下層膜形成組成物
WO2019082934A1 (ja) * 2017-10-25 2019-05-02 日産化学株式会社 アンモニウム基を有する有機基を含むシリコン含有レジスト下層膜形成組成物を用いる半導体装置の製造方法
JP2021018426A (ja) * 2019-07-23 2021-02-15 信越化学工業株式会社 ケイ素含有レジスト下層膜形成用組成物及びパターン形成方法

Also Published As

Publication number Publication date
KR20240091099A (ko) 2024-06-21
CN118159910A (zh) 2024-06-07
TW202336532A (zh) 2023-09-16
JP7495015B2 (ja) 2024-06-04
JPWO2023074777A1 (ja) 2023-05-04

Similar Documents

Publication Publication Date Title
JP5679129B2 (ja) 窒素含有環を有するシリコン含有レジスト下層膜形成組成物
JP6788222B2 (ja) 架橋反応性シリコン含有膜形成組成物
JP5152532B2 (ja) 窒素含有シリル基を含むポリマーを含有するレジスト下層膜形成組成物
JP6436301B2 (ja) エステル基を有するシリコン含有レジスト下層膜形成組成物
JP6882724B2 (ja) フェニル基含有クロモファーを有するシラン化合物
JP2021189314A (ja) シリコン含有レジスト下層膜の製造方法
WO2020196563A1 (ja) 膜形成用組成物
WO2023037979A1 (ja) シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法
JPWO2019181873A1 (ja) 保護されたフェノール基と硝酸を含むシリコン含有レジスト下層膜形成組成物
WO2022230940A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022114132A1 (ja) シリコン含有レジスト下層膜形成用組成物
JP7495015B2 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
WO2022210960A1 (ja) 誘導自己組織化用シリコン含有下層膜形成用組成物
WO2021221171A1 (ja) レジスト下層膜形成用組成物
WO2023136250A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2022210901A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210954A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022260154A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023008507A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2024063044A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210944A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2020196642A1 (ja) 膜形成用組成物
WO2024009993A1 (ja) 積層体の製造方法、及び半導体素子の製造方法
WO2024019064A1 (ja) 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物
WO2022114134A1 (ja) レジスト下層膜形成用組成物

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22887102

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2023550293

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 20247017733

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE