WO2021221171A1 - レジスト下層膜形成用組成物 - Google Patents

レジスト下層膜形成用組成物 Download PDF

Info

Publication number
WO2021221171A1
WO2021221171A1 PCT/JP2021/017282 JP2021017282W WO2021221171A1 WO 2021221171 A1 WO2021221171 A1 WO 2021221171A1 JP 2021017282 W JP2021017282 W JP 2021017282W WO 2021221171 A1 WO2021221171 A1 WO 2021221171A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
underlayer film
composition
resist
methyl
Prior art date
Application number
PCT/JP2021/017282
Other languages
English (en)
French (fr)
Inventor
修平 志垣
謙 石橋
亘 柴山
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Priority to JP2022518158A priority Critical patent/JPWO2021221171A1/ja
Priority to KR1020227041316A priority patent/KR20230003058A/ko
Priority to US17/922,553 priority patent/US20230168582A1/en
Priority to CN202180031906.8A priority patent/CN115485624A/zh
Publication of WO2021221171A1 publication Critical patent/WO2021221171A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/26Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen nitrogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/80Siloxanes having aromatic substituents, e.g. phenyl side groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the present invention relates to a composition for forming a resist underlayer film, and can form a pattern with low roughness in fine patterning, and for a semiconductor substrate, a coating type organic underlayer film required in a patterning process, or a CVD film containing carbon as a main component.
  • a composition for forming a silicon-containing resist underlayer film which can be easily peeled off with a peeling liquid that does not cause damage and can form a silicon-containing film capable of maintaining peelability even after dry etching.
  • microfabrication by lithography using a photoresist has been performed.
  • the above microfabrication is obtained by forming a photoresist thin film on a semiconductor substrate such as a silicon wafer, irradiating it with active light such as ultraviolet rays through a mask pattern on which a pattern of a semiconductor device is drawn, and developing it.
  • This is a processing method for forming fine irregularities corresponding to the above pattern on the surface of the substrate by etching the substrate using the photoresist pattern as a protective film.
  • a film known as a hard mask containing a metal element such as silicon or titanium is used as the underlayer film between the semiconductor substrate and the photoresist.
  • the rate of removal by the dry etching of the resist and the hard mask largely depends on the gas type used for the dry etching. Then, by appropriately selecting the gas type, the hard mask can be removed by dry etching without significantly reducing the film thickness of the photoresist.
  • a resist underlayer film has been arranged between a semiconductor substrate and a photoresist in order to achieve various effects including an antireflection effect.
  • composition for the resist underlayer film has been studied so far, the development of a new material for the resist underlayer film is desired because of the variety of required properties.
  • a coating-type BPSG (boron phosphorus glass) film-forming composition (Patent Document 1) containing a structure having a specific silicic acid as a skeleton, and a mask residue after lithography, for which a film formation capable of wet etching is an issue.
  • Patent Document 2 A composition for forming a silicon-containing resist underlayer film containing a carbonyl structure, which has an object of removing a chemical solution, is disclosed.
  • multi-layer processes are often used due to miniaturization of implant layers.
  • transfer to the lower layer is performed by the above-mentioned dry etching, and finally substrate processing and substrate processing.
  • Subsequent removal of the mask residue, for example, the resist film and the underlayer film including the resist underlayer film may also be performed by dry etching or ashing treatment.
  • dry etching and ashing treatment do not cause little damage to the substrate, and improvement thereof is required.
  • the present invention has been made in view of the above circumstances, and in the processing process of a semiconductor substrate or the like, not only the conventional dry etching method but also dilute phosphoric acid, buffered phosphoric acid, and alkaline chemical solution (basic chemical solution) are used.
  • dilute phosphoric acid, buffered phosphoric acid, and alkaline chemical solution basic chemical solution
  • alkaline chemical solution basic chemical solution
  • the present inventors obtained from a composition containing a hydrolyzable condensate (polysiloxane) obtained from a hydrolyzable silane having a specific structure such as a succinic anhydride skeleton.
  • the film to be obtained can be easily removed with a chemical solution such as an alkaline solution, and by controlling the structure derived from alkyltrialkoxysilane in the hydrolyzed condensate, the residue removability of the film by dry etching is enhanced.
  • a chemical solution such as an alkaline solution
  • the present invention is a composition for forming a resist underlayer film containing a hydrolyzable condensate of a hydrolyzable silane mixture containing a hydrolyzable silane represented by the formula (1) and an alkyltrialkoxysilane.
  • the content of alkyltrialkoxysilane in the hydrolyzable silane mixture is 0 mol% or more and less than 40 mol% based on the total number of moles of total hydrolyzable silane contained in the hydrolyzable silane mixture.
  • the present invention relates to a composition for forming an underlayer film.
  • R 1 is a group bonded to a silicon atom and is at least one group selected from the group consisting of a succinic anhydride skeleton, an alkenyl group, an aryl group and a group represented by the following formula (1-2). Or represents an organic group containing a skeleton [In equation (1-2), X 101 represents any of the groups represented by the following formulas (1-3) to (1-5), and the carbon atom of the ketone group in the following formulas (1-4) and (1-5). Is bonded to the nitrogen atom to which R 102 in equation (1-2) is bonded.
  • R 103 to R 107 are independent of each other, a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or Represents an organic group containing an epoxy group or a sulfonyl group),
  • R 101 represents, independently of each other, a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an organic group containing an epoxy or sulfonyl group.
  • R 2 is a group bonded to the silicon atoms, independently of one another, an optionally substituted alkyl group, an optionally substituted halogenated alkyl group, or an optionally substituted alkoxyalkyl group
  • R 3 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom.
  • R 1 is a group bonded to a silicon atom, and at least one group or skeleton selected from the group consisting of a succinic anhydride skeleton, a vinyl group, a phenyl group and an isocyanuric acid skeleton.
  • the present invention relates to the composition for forming a resist underlayer film according to the first aspect, which represents an organic group containing an organic group.
  • R 4 is a group bonded to a silicon atom, and independently of each other, an alkyl group which may be substituted, an alkyl halide group which may be substituted, or an alkoxyalkyl group which may be substituted.
  • R 5 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • c represents an integer of 0 to 3.
  • the content of the compound represented by the above formula (1) in the hydrolyzable silane mixture is 5 based on the total number of moles of the total hydrolyzable silane contained in the hydrolyzable silane mixture.
  • a compound represented by the formula (1) includes compounds wherein R 1 is an organic group containing a succinic anhydride skeleton, a resist underlayer film forming composition according to the fourth aspect.
  • the content of the compound represented by the formula (1) in which R 1 represents an organic group containing a succinic anhydride skeleton in the hydrolyzable silane mixture is contained in the hydrolyzable silane mixture.
  • the composition for forming a underlayer film of a resist according to the fifth aspect which is 1 mol% or more based on the total number of moles of the total hydrolyzable silane.
  • a seventh aspect is the composition for forming a resist underlayer film according to any one of the first to fifth aspects, which is a composition having a pH of 2 to 5.
  • a step of forming an organic underlayer film on a semiconductor substrate A step of applying the resist underlayer film forming composition according to any one of the first to seventh aspects on the organic underlayer film and firing the composition to form a silicon-containing resist underlayer film.
  • a step of applying a resist film forming composition on the silicon-containing resist underlayer film to form a resist film The process of exposing and developing the resist film to obtain a resist pattern, A process of etching the silicon-containing resist underlayer film using a resist pattern as a mask.
  • a step of etching the organic underlayer film using the patterned silicon-containing resist underlayer film as a mask is included. Regarding the pattern formation method.
  • the ninth aspect is the pattern forming method according to the eighth aspect, further comprising a step of removing the silicon-containing resist underlayer film by a wet method using a chemical solution after the step of etching the organic underlayer film.
  • a tenth aspect relates to the pattern forming method according to the ninth aspect, wherein the drug solution is a basic drug solution.
  • water obtained by using a succinic anhydride skeleton as a hydrolyzable silane, an alkenyl group such as a vinyl group, an aryl group such as a phenyl group, or a silane compound having a specific structure containing an isocyanuric acid skeleton is used.
  • the decomposition condensate as one component of the composition for forming the underlayer film of the resist, even if it is a silicon-based film, the removability by the wet method can be improved in the film formed from the composition.
  • the removability by the wet method can be further enhanced. Therefore, when pattern formation using a photoresist film or the like or processing of a semiconductor substrate or the like is performed using the resist underlayer film forming composition of the present invention, removal of mask residue after processing, for example, a resist film or resist When removing the lower layer film including the lower layer film, it is possible to easily remove the lower layer film with a chemical solution, and it is possible to manufacture a semiconductor device with less damage to the substrate.
  • the residue is removed by etching. It can enhance the sex.
  • the pH of the composition for forming the underlayer film of the resist is adjusted, for example, nitric acid is used as a hydrolysis catalyst in the production of the hydrolysis condensate, so that the pH of the composition is within a specific range.
  • the present invention targets a composition that forms a silicon-containing resist underlayer film that can be peeled off by a wet method, and is a product obtained by hydrolyzing and condensing a hydrolyzable silane mixture containing a hydrolyzable silane having a specific structure (hydration). It is characterized by containing a decomposition condensate).
  • the composition for forming a resist underlayer film of the present invention contains a hydrolyzed condensate of a hydrolyzable silane mixture.
  • the hydrolyzed condensate includes not only a polyorganosiloxane polymer which is a condensate whose condensation is completely completed, but also a polyorganosiloxane polymer which is a partially hydrolyzed condensate whose condensation is not completely completed. Included.
  • Such a partially hydrolyzed condensate is also a polymer obtained by hydrolyzing and condensing a hydrolyzable silane compound, like the condensate in which the condensation is completely completed, but it partially stops at hydrolysis and condenses. Therefore, the Si—OH group remains.
  • an uncondensed hydrolyzate completely hydrolyzed product, partially hydrolyzed product
  • a monomer hydrolyzable silane compound
  • the hydrolyzable silane mixture contains a hydrolyzable silane represented by the following formula (1), and if desired, other hydrolyzable substances such as tetraalkoxysilane and alkyltrialkoxysilane. It can contain silane. However, when alkyltrialkoxysilane is contained as the other silane compound, its content is less than 40 mol% based on the total number of moles (100 mol%) of the silane compound in the hydrolyzable silane mixture. That is, the proportion of alkyltrialkoxysilane in the mixture is 0 mol% or more and less than 40 mol%. The finding that the removal rate of the etching residue can be controlled by controlling the ratio of the alkyltrialkoxysilane was first discovered by the present inventors.
  • the hydrolyzed condensate used in the composition for forming a resist underlayer film of the present invention can be a product of hydrolyzed condensation of a hydrolyzable silane mixture containing a silane compound represented by the following formula (1). ..
  • R 1 is a group bonded to a silicon atom and is at least one selected from the group consisting of a succinic anhydride skeleton, an alkenyl group, an aryl group and a group represented by the formula (1-2) described later. Represents an organic group containing a group or skeleton.
  • the organic group of R 1 is not particularly limited as long as it is an organic group containing the above skeleton or group.
  • R 1 may be a group containing a plurality of types and / or a plurality of alkenyl groups, an aryl group, and a group represented by the formula (1-2), in which case the group or skeleton may be a group. It may be substituted with the above group or skeleton of the same species or another species.
  • the group containing the succinic acid anhydride skeleton the alkenyl group, the aryl group and the group represented by the formula (1-2), the alkoxy group directly bonded to the silicon atom, the aralkyloxy group and the hydrogen atom in the acyloxy group are described above.
  • Onium salt structures eg, formulas (I-1) to (I-50), formulas (II-1) to formulas (II-) listed in a group substituted with a group or a skeleton, a compound containing an onium salt described later, and the like. 30
  • formulas (III-1) to see compounds listed as formulas (III-28), etc.
  • groups containing an aryl group as a group containing a sulfone group or a sulfonamide group described later formula (B-1) to formulas).
  • (B-36), etc.) are excluded.
  • the succinic acid anhydride skeleton, the alkenyl group, the aryl group and the group represented by the formula (1-2) include not only the skeleton itself or the group itself, but also one or more hydrogen atoms in the alkyl group in particular.
  • examples thereof include an organic group substituted with at least one selected from the group consisting of an acid anhydride skeleton, an alkenyl group, an aryl group and a group represented by the formula (1-2).
  • the alkyl group in which the hydrogen atom is substituted by the succinic anhydride skeleton or the like is not particularly limited, and may be linear, branched chain, or cyclic, and the number of carbon atoms thereof is usually 40.
  • linear or branched alkyl group examples include methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group, i-butyl group, s-butyl group and t-butyl.
  • n-pentyl group 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl -N-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl, 1-methyl-n-pentyl group, 2-methyl-n-pentyl group, 3- Methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-dimethyl-n-butyl group, 2 , 2-Dimethyl-n-butyl group, 2,3-dimethyl-n-butyl group, 3,3-dimethyl-n-butyl group, 1-ethyl-n-butyl group, 2-ethyl-n-n-
  • cyclic alkyl group examples include cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, 1-methyl-cyclobutyl group and 2-methyl-cyclobutyl group.
  • 3-Methyl-cyclobutyl group 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2-ethyl-cyclopropyl group, cyclohexyl group, 1-methyl -Cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group, 1,2-dimethyl-cyclobutyl group, 1, 3-dimethyl-cyclobutyl group, 2,2-dimethyl-cyclobutyl group, 2,3-dimethyl-cyclobutyl group, 2,4-dimethyl-cyclobutyl group, 3,3-dimethyl-cyclobutyl group, 1-n-propyl-cyclo Propyl group, 2-n-propyl-cyclopropyl group
  • examples of the alkenyl group in R 1 include alkenyl groups which may be substituted, and examples thereof include alkenyl groups having 2 to 10 carbon atoms. More specifically, ethenyl group (vinyl group), 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2-methyl -1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2-pentenyl group, 3- Penthenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2-ethyl-2- Propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-but
  • Examples of the aryl group in R 1 include an aryl group which may be substituted, and examples thereof include an aryl group having 6 to 20 carbon atoms. More specifically, a phenyl group, an o-methylphenyl group, an m-methylphenyl group, a p-methylphenyl group, an o-chlorophenyl group, an m-chlorphenyl group, a p-chlorphenyl group, an o-fluorophenyl group.
  • P-mercaptophenyl group o-methoxyphenyl group, p-methoxyphenyl group, p-aminophenyl group, p-cyanophenyl group, ⁇ -naphthyl group, ⁇ -naphthyl group, o-biphenylyl group, m-biphenylyl group , P-Biphenylyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-phenylyl group, 2-phenylyl group, 3-phenylyl group, 4-phenanthryl group, 9-phenylyl group and the like.
  • Examples of the group containing the aryl group include an optionally substituted aralkyl group, an optionally substituted aryl halide group, an optionally substituted halogenated aralkyl group, and an optionally substituted alkoxyaryl. Examples thereof include a group, an alkoxyalkyl key which may be substituted, and the like.
  • the aralkyl group is an alkyl group substituted with an aryl group, and specific examples of such an aryl group and an alkyl group include the same as those described above.
  • the number of carbon atoms of the aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the aralkyl group include, for example, a phenylmethyl group (benzyl group), a 2-phenylethylene group, a 3-phenyl-n-propyl group, a 4-phenyl-n-butyl group, a 5-phenyl-n-pentyl group, and the like.
  • 6-Phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, 10-phenyl-n-decyl group and the like can be mentioned. However, it is not limited to these.
  • the above-mentioned aryl group is an aryl group substituted with a halogen atom, and specific examples of such an aryl group include the same as those described above.
  • the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and the like.
  • the number of carbon atoms of the aryl halide group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • aryl halide group examples include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group, and 2,5-difluorophenyl.
  • the halogenated aralkyl group is an aralkyl group substituted with a halogen atom, and specific examples of such an aralkyl group and the halogen atom include the same as those described above.
  • the number of carbon atoms of the halogenated aralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the halogenated aralkyl group include 2-fluorobenzyl group, 3-fluorobenzyl group, 4-fluorobenzyl group, 2,3-difluorobenzyl group, 2,4-difluorobenzyl group, and 2,5-difluorobenzyl group.
  • alkoxyaryl group is an aryl group substituted with an alkoxy group, and specific examples of such an aryl group include the same as those described above.
  • alkoxy group examples include an alkoxy group having a linear, branched, and cyclic alkyl moiety having 1 to 20 carbon atoms.
  • linear or branched alkoxy group examples include a methoxy group, an ethoxy group, an n-propoxy group, an i-propoxy group, an n-butoxy group, an i-butoxy group, an s-butoxy group, a t-butoxy group and an n-.
  • Pentyroxy group 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl-n-propoxy Group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyroxy group, 2-methyl-n-pentyroxy group, 3-methyl-n -Pentyroxy group, 4-methyl-n-pentyroxy group, 1,1-dimethyl-n-butoxy group, 1,2-dimethyl-n-butoxy group, 1,3-dimethyl-n-butoxy group, 2,2- Dimethyl-n-butoxy group, 2,3-dimethyl-n-butoxy group, 3,3-dimethyl-n-butoxy group, 1-ethyl-n-butoxy group, 2-ethyl-n-butoxy group, 1,1 , 2-trimethyl-n-propoxy group,
  • Examples of the cyclic alkoxy group include a cyclopropoxy group, a cyclobutoxy group, a 1-methyl-cyclopropoxy group, a 2-methyl-cyclopropoxy group, a cyclopentyroxy group, a 1-methyl-cyclobutoxy group, and a 2-methyl-.
  • the number of carbon atoms of the alkoxyaryl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the alkoxyaryl group include, for example, 2-methoxyphenyl group, 3-methoxyphenyl group, 4-methoxyphenyl group, 2- (1-ethoxy) phenyl group, 3- (1-ethoxy) phenyl group, and 4 -(1-ethoxy) phenyl group, 2- (2-ethoxy) phenyl group, 3- (2-ethoxy) phenyl group, 4- (2-ethoxy) phenyl group, 2-methoxynaphthalen-1-yl group, 3 -Methoxynaphthalen-1-yl group, 4-methoxynaphthalen-1-yl group, 5-methoxynaphthalen-1-yl group, 6-methoxynaphthalen-1-yl group, 7-methoxynaphthalen-1-y
  • the alkoxyaralkyl group is an aralkyl group substituted with an alkoxy group, and specific examples of such an alkoxy group and an aralkyl group include the same as those described above.
  • the number of carbon atoms of the alkoxyaralkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the alkoxyaralkyl group include, but are not limited to, a 3- (methoxyphenyl) benzyl group, a 4- (methoxyphenyl) benzyl group and the like.
  • X 101 independently represents any of the following formulas (1-3) to (1-5), and the ketone groups in the following formulas (1-4) and (1-5).
  • the carbon atom is bonded to the nitrogen atom to which R 102 in the formula (1-2) is bonded.
  • R 103 to R 107 are independent of each other, a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an epoxy.
  • examples thereof include the alkyl group mentioned as the alkyl group in which the hydrogen atom is substituted by the above, and the same alkenyl group as described above.
  • examples of the organic group containing an epoxy group include, but are not limited to, a glycidoxymethyl group, a glycidoxyethyl group, a glycidoxypropyl group, a glycidoxybutyl group, an epoxycyclohexyl group and the like.
  • examples of the organic group containing a sulfonyl group include, but are not limited to, a sulfonylalkyl group and a sulfonylaryl group.
  • R 101 independently contains a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an organic group containing an epoxy group or a sulfonyl group.
  • an alkyl group which may be substituted an alkenyl group which may be substituted, an epoxy group or an organic group containing an epoxy group, a suitable number of carbon atoms and the like are described above with respect to R 103 to R 107. The same thing can be mentioned.
  • an alkyl group in which the terminal hydrogen atom is substituted with a vinyl group is preferable, and specific examples thereof include an allyl group, a 2-vinylethyl group, and a 3-vinylpropyl group. 4-Vinylbutyl group and the like can be mentioned.
  • the alkylene group is a divalent group derived by further removing one hydrogen atom of the alkyl group, and may be linear, branched or cyclic, and as a specific example of such an alkylene group. Can be the same as those described above.
  • the number of carbon atoms of the alkylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.
  • the alkylene group of R 102 may have one or more selected from a sulfide bond, an ether bond and an ester bond at the end or in the middle, preferably in the middle.
  • alkylene group examples include linear chains such as methylene group, ethylene group, trimethylene group, methylethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group and decamethylene group.
  • Alkylene group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-Dimethyltrimethylene group, 2,2-dimethyltrimethylene group, 1-ethyltrimethylene group and other branched chain alkylene groups, 1,2-cyclopropipandyl group, 1,2-cyclobutandyl, 1, Cyclic alkylene groups such as 3-cyclobutitanium diyl group, 1,2-cyclohexanediyl, 1,3-cyclohexanediyl, etc., -CH 2 OCH 2- , -CH 2 CH 2 OCH 2- , -CH 2 CH 2 OCH 2 CH 2- , -CH 2 CH 2 OCH 2 CH 2 CH 2- , -CH 2 CH 2 CH 2 OCH 2 CH 2 CH 2- , -CH 2 CH 2 CH 2 OCH 2 CH 2 CH 2- , -
  • the hydroxyalkylene group has at least one hydrogen atom of the alkylene group replaced with a hydroxy group, and specific examples thereof include a hydroxymethylene group, a 1-hydroxyethylene group, a 2-hydroxyethylene group, and 1,2. -Dihydroxyethylene group, 1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group, 4-hydroxy Tetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, 4 , 4-Dihydroxytetramethylene group and the like, but are not limited thereto.
  • R 1 represents a succinic anhydride skeleton, a vinyl group, a phenyl group, and an isocyanuric acid skeleton (in the formula (1-2),
  • X 101 represents a group represented by the formula (1-5). It is preferable that the group contains at least one selected from the group consisting of).
  • R 2 is a group bonded to a silicon atom, which is an alkyl group which may be substituted, an alkyl group which may be substituted, or an alkyl group which may be substituted independently of each other. Also represents an alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof.
  • the CH 2 CH-structure contained in the acryloyl group is distinguished from the vinyl group in the definition of R 1.
  • alkyl group examples include linear or branched alkyl groups having 1 to 10 carbon atoms, such as methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group and i-.
  • Cyclic alkyl groups can also be used.
  • cyclic alkyl groups having 3 to 10 carbon atoms cyclopropyl group, cyclobutyl group, 1-methyl-cyclopropyl group, 2-methyl-cyclopropyl group, cyclopentyl group, 1 -Methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2- Ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group , 1,2-dimethyl-cyclobutyl group, 1,
  • the alkyl halide group refers to an alkyl group substituted with a halogen atom.
  • the halogen atom include a fluorine atom, a chlorine atom, a bromine atom, an iodine atom and the like, and specific examples of the alkyl group include the same as those described above.
  • the number of carbon atoms of the alkyl halide group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.
  • alkyl halide group examples include a monofluoromethyl group, a difluoromethyl group, a trifluoromethyl group, a bromodifluoromethyl group, a 2-chloroethyl group, a 2-bromoethyl group, a 1,1-difluoroethyl group, and 2,2.
  • 2-Trifluoroethyl group 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2 , 3,3-Tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropane-2-yl group, 3- Examples thereof include, but are not limited to, a bromo-2-methylpropyl group, a 4-bromobutyl group, and a perfluoropentyl group.
  • the alkoxyalkyl group refers to an alkyl group substituted with an alkoxy group. Specific examples of such an alkyl group and an alkoxy group include the same as those described above.
  • the number of carbon atoms of the alkoxyalkyl group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, still more preferably 10 or less.
  • Specific examples of the alkoxyalkyl group include, but are not limited to, lower alkyloxy lower alkyl groups such as methoxymethyl group, ethoxymethyl group, 1-ethoxyethyl group, 2-ethoxyethyl group and ethoxymethyl group. ..
  • Examples of the substituent in the alkyl group, alkyl halide group, or alkoxyalkyl group include an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group, an alkoxyalkyl group, and an aryl group.
  • Examples thereof include an oxy group, an alkoxyaryl group, an alkoxyaralkyl group, an alkenyl group, an alkoxy group, and an aralkyloxy group, among which an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, and a halogenated group.
  • the aralkyl group, the alkoxyalkyl group, the alkoxyaryl group, the alkoxyaralkyl group, the alkenyl group, the alkoxy group, and the aralkyloxy group and the suitable number of carbon atoms thereof include the same as those described above.
  • the aryloxy group mentioned in the above-mentioned substituent is a group to which the aryl group is bonded via an oxygen atom (—O—), and specific examples of such an aryl group include the same as those described above. ..
  • the number of carbon atoms of the aryloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, and specific examples thereof include a phenoxy group and naphthalene. 2-Iloxy group and the like can be mentioned, but the present invention is not limited thereto. Further, when two or more substituents are present, the substituents may be bonded to each other to form a ring.
  • Examples of the organic group containing the epoxy group include, but are not limited to, the above-mentioned glycidoxymethyl group, glycidoxyethyl group, glycidoxypropyl group, glycidoxybutyl group, epoxycyclohexyl group and the like.
  • Examples of the organic group containing the acryloyl group include, but are not limited to, an acryloyl methyl group, an acryloyl ethyl group, and an acryloyl propyl group.
  • Examples of the organic group containing a methacryloyl group include, but are not limited to, a methacryloylmethyl group, a methacryloylethyl group, a methacryloylpropyl group, and the like.
  • Examples of the organic group containing the mercapto group include, but are not limited to, an ethyl mercapto group, a butyl mercapto group, a hexyl mercapto group, and an octyl mercapto group.
  • Examples of the organic group containing an amino group include, but are not limited to, an amino group, an aminomethyl group, an aminoethyl group, a dimethylaminoethyl group, a dimethylaminopropyl group and the like.
  • Examples of the organic group containing an alkoxy group include, but are not limited to, a methoxymethyl group and a methoxyethyl group. However, groups in which the alkoxy group is directly bonded to the silicon atom are excluded.
  • Examples of the organic group containing a sulfonyl group include, but are not limited to, the above-mentioned sulfonylalkyl group and sulfonylaryl group.
  • Examples of the organic group containing a cyano group include, but are not limited to, a cyanoethyl group and a cyanopropyl group.
  • R 3 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom.
  • alkoxy group and the halogen atom include the same as those described above.
  • the aralkyloxy group is a group derived by removing a hydrogen atom from the hydroxy group of the aralkyl alcohol, and specific examples of such an aralkyl group include the same as those described above.
  • the number of carbon atoms of the aralkyloxy group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less.
  • Specific examples of the aralkyloxy group include a phenylmethyloxy group (benzyloxy group), a 2-phenylethyleneoxy group, a 3-phenyl-n-propyloxy group, a 4-phenyl-n-butyloxy group, and a 5-phenyl-n.
  • the acyloxy group is a group derived by removing a hydrogen atom from the carboxylic acid group of a carboxylic acid compound, and typically removes a hydrogen atom from the carboxylic acid group of an alkylcarboxylic acid, an arylcarboxylic acid or an aralkylcarboxylic acid.
  • Derived alkylcarbonyloxy groups, arylcarbonyloxy groups or aralkylcarbonyloxy groups are examples, but are not limited to these.
  • Specific examples of the alkyl group, aryl group and aralkyl group in such alkylcarboxylic acid, arylcarboxylic acid and aralkylcarboxylic acid include the same as those described above.
  • acyloxy group examples include an acyloxy group having 2 to 20 carbon atoms.
  • a represents 1
  • b represents an integer of 0 to 2
  • 4- (a + b) represents an integer of 1 to 3.
  • b preferably represents 0 or 1, and more preferably 0.
  • the compound represented by the above formula (1) include: [(3-trimethoxysilyl) propyl] succinic acid anhydride, [(3-triethoxysilyl) propyl] succinic acid anhydride, [( Silane compounds containing a succinate anhydride skeleton such as 3-trimethoxysilyl) ethyl] succinic acid anhydride, [(3-trimethoxysilyl) butyl] succinic acid anhydride; vinyl trimethoxysilane, vinyl triethoxysilane, vinyl Trichlorosilane, vinyl triacetoxysilane, methylvinyldimethoxysilane, methylvinyldiethoxysilane, methylvinyldichlorosilane, methylvinyldiacetoxysilane, dimethylvinylmethoxysilane, dimethylvinylethoxysilane, dimethylvinylchlorosilane, dimethylvinylace
  • the silane compound in which R 1 in the formula is an organic group containing a group represented by the above formula (1-2) is a commercially available product. It may be used, and it can also be synthesized by a known method described in International Publication No. 2011/102470 and the like.
  • specific examples of the silane compound containing an organic group containing a group represented by the above formula (1-2) include compounds represented by the formulas (1-2-1) to (1-2-29). However, it is not limited to these.
  • examples of the silane compound represented by the above formula (1) include aryl group-containing silane compounds represented by the formulas (A-1) to (A-41).
  • silane compounds hydrolyzable silane
  • the silane compound represented by the above formula (1) and the silane compound represented by the following formula (2) are used.
  • at least one selected from the silane compounds represented by the following formula (3) (other hydrolyzable silanes) can be used.
  • the silane compound represented by the formula (2) is preferable.
  • R 4 is a group bonded to the silicon atoms, independently of one another, an optionally substituted alkyl group, an optionally substituted halogenated alkyl group, or a substituted Also represents an alkoxyalkyl group, or an organic group containing an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, an amide group, an alkoxy group, a sulfonyl group, or a cyano group, or a combination thereof.
  • R 5 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • c represents an integer of 0 to 3.
  • each group in R 4 and suitable number of carbon atoms thereof may be mentioned groups and number of carbon atoms mentioned above for R 2.
  • Specific examples of each group in R 5 and a suitable number of carbon atoms thereof include the above-mentioned groups and atoms and the number of carbon atoms in R 3.
  • c preferably represents 0 or 1, and more preferably 0.
  • R 6 is a group bonded to a silicon atom, which is an alkyl group which may be substituted, an aryl group which may be substituted, and an aralkyl group which may be substituted independently of each other.
  • R 7 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • Y is a group bonded to a silicon atom and represents an alkylene group or an arylene group independently of each other. Then, d represents an integer of 0 or 1, and e represents an integer of 0 or 1.
  • each group in R 6 and a suitable number of carbon atoms thereof include the above-mentioned groups and the number of carbon atoms in R 2.
  • Specific examples of each group in R 7 and a suitable number of carbon atoms thereof include the above-mentioned groups and atoms and the number of carbon atoms in R 3.
  • Specific examples of the alkylene group in Y include linear chains such as methylene group, ethylene group, trimethylene group, tetramethylene group, pentamethylene group, hexamethylene group, heptamethylene group, octamethylene group, nonamethylene group and decamethylene group.
  • Alkane group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, 1-ethyltrimethylene group and other branched chain alkylene groups and other alkylene groups, methanetriyl groups, ethane-1,1,2-triyl groups, ethane -1,2,2-triyl group, ethane-2,2,2-triyl group, propane-1,1,1-triyl group, propane-1,1,2-triyl group, propane-1,2,3 -Triyl group, propane-1,2,2-triyl group, propane-1,1,3-triyl group, butane-1,1,1-triyl group, butane-1,1,2-triyl group, butane- 1,1,3-triyl group, butane-1,2,3-triy
  • allylene group examples include 1,2-phenylene group, 1,3-phenylene group, 1,4-phenylene group; 1,5-naphthalenedyl group, 1,8-naphthalenedyl group, 2,6-.
  • hydrolyzable silane represented by the formula (2) examples include tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetran-propoxysilane, tetrai-propoxysilane, and tetran-butoxysilane.
  • Methyltrimethoxysilane Methyltrichlorosilane, Methyltriacetoxysilane, Methyltriethoxysilane, Methyltripropoxysilane, Methyltributoxysilane, Methyltriamiloxysilane, Methyltribenzyloxysilane, Methyltriphenyloxysilane, Glyside Xymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxyethyltriethoxysilane, ⁇ -glycidoxyethyltrimethoxysilane, ⁇ -glycidoxyethyl Triethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane, ⁇ -glycidoxypropyltriethoxysilane, ⁇ -glycid
  • silane compound represented by the formula (3) examples include methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, propylenebistriethoxysilane, and butylene.
  • the hydrolyzable silane mixture may contain a silane compound (hydrolyzable organosilane) having an onium group in the molecule.
  • a silane compound (hydrolyzable organosilane) having an onium group in the molecule By using a silane compound (hydrolyzable organosilane) having an onium group in the molecule, the cross-linking reaction of the hydrolyzable silane can be effectively and efficiently promoted.
  • hydrolyzable organosilane having such an onium group in the molecule (hydrolyzable organosilane) is represented by the formula (4).
  • R 11 is a group bonded to a silicon atom and represents an onium group or an organic group containing the onium group.
  • R 12 is a group bonded to a silicon atom, which is independent of each other, an alkyl group which may be substituted, an aryl group which may be substituted, an aralkyl group which may be substituted, and an substituted aralkyl group.
  • R 13 is a group or atom bonded to a silicon atom, and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • f represents 1 or 2
  • g represents 0 or 1
  • 1 ⁇ f + g ⁇ 2 is satisfied.
  • alkyl group aryl group, aralkyl group, alkyl halide group, aryl halide group, aralkyl group halide, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, alkenyl group, and epoxy group, acryloyl group, methacryloyl group.
  • the onium group examples include a cyclic ammonium group or a chain ammonium group, and a tertiary ammonium group or a quaternary ammonium group is preferable. That is, suitable specific examples of the onium group or the organic group containing the same include a cyclic ammonium group, a chain ammonium group, or an organic group containing at least one of these, and a tertiary ammonium group or a quaternary ammonium group. Alternatively, an organic group containing at least one of these is preferable.
  • the onium group is a cyclic ammonium group
  • the nitrogen atom constituting the ammonium group also serves as an atom constituting the ring.
  • R 11 is a group bonded to the silicon atom is a hetero-aromatic cyclic ammonium group represented by the following formula (S1).
  • a 1 , A 2 , A 3 and A 4 represent groups represented by any of the following formulas (J1) to (J3) independently of each other, and A 1 to A 4 At least one of them is a group represented by the following formula (J2).
  • the constituent rings exhibit aromaticity, and each of A 1 to A 4 and adjacent to each of them are adjacent to each other. It is determined whether the bond between the atoms forming the ring together is a single bond or a double bond.
  • R 10 are independent of each other, single bond, hydrogen atom, alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, aralkyl group halide or alkenyl.
  • alkyl groups, aryl groups, aralkyl groups, alkyl halide groups, aryl halide groups, halogenated aralkyl groups and alkenyl groups and suitable carbon atoms thereof include the same as those described above. Be done.
  • R 14 is When two or more are present, the two R 14s may be bonded to each other to form a ring, and the ring formed by the two R 14s may have a crosslinked ring structure. In such a case, the ring may be formed.
  • the cyclic ammonium group will have an adamantan ring, a norbornene ring, a spiro ring and the like.
  • alkyl group an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, a halogenated aralkyl group and an alkenyl group, and suitable carbon atoms thereof include the same as described above. ..
  • n 1 is an integer from 1 to 8
  • m 1 is 0 or 1
  • m 2 is a positive number from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic ring. Is an integer of.
  • a (4 + n 1 ) member ring including A 1 to A 4 is formed. That is, a 5-membered ring when n 1 is 1, a 6-membered ring when n 1 is 2, a 7-membered ring when n 1 is 3, and an 8-membered ring when n 1 is 4.
  • m 1 1, a condensed ring is formed in which a (4 + n 1 ) member ring containing A 1 to A 3 and a 6-member ring containing A 4 are condensed.
  • a 1 to A 4 may have a hydrogen atom on the atom constituting the ring or may not have a hydrogen atom, depending on which of the formulas (J1) to (J3), but A 1 When ⁇ A 4 has a hydrogen atom on the atom constituting the ring, the hydrogen atom may be replaced with R 14. Further , R 14 may be substituted with a ring-constituting atom other than the ring-constituting atom in A 1 to A 4. Under these circumstances, as described above, m 2 is selected from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic integer.
  • the bond of the heteroaromatic cyclic ammonium group represented by the above formula (S1) is present at any carbon atom or nitrogen atom existing in such a monocyclic or fused ring, and is directly bonded to a silicon atom.
  • a linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to a silicon atom. Examples of such a linking group include, but are not limited to, an alkylene group, an arylene group, an alkaneylene group and the like. Specific examples of the alkylene group and the arylene group and the suitable number of carbon atoms thereof include the same as those described above.
  • the alkenylene group is a divalent group derived by further removing one hydrogen atom of the alkenyl group, and specific examples of such an alkenyl group include the same as those described above.
  • the number of carbon atoms of the alkenylene group is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and even more preferably 20 or less. Specific examples thereof include, but are not limited to, vinylene, 1-methylvinylene, propenylene, 1-butenylene, 2-butenylene, 1-pentenylene, 2-pentenylene group and the like.
  • silane compound (hydrolyzable organosilane) represented by the formula (4) having a heteroaromatic cyclic ammonium group represented by the above formula (S1) are the following formulas (I-1) to (I). Examples thereof include, but are not limited to, silane represented by -50).
  • R 11 which is a group bonded to a silicon atom in the above formula (4) can be a heteroaliphatic cyclic ammonium group represented by the following formula (S2).
  • a 5 , A 6 , A 7 and A 8 represent groups represented by any of the following formulas (J4) to (J6) independently of each other, and A 5 to A 8 At least one of them is a group represented by the following formula (J5).
  • R 10 are independent of each other, single bond, hydrogen atom, alkyl group, aryl group, aralkyl group, alkyl halide group, aryl halide group, aralkyl group halide or alkenyl.
  • the specific examples of the alkyl group, the aryl group, the aralkyl group, the alkyl halide group, the aryl halide group, the halogenated aralkyl group and the alkenyl group and their suitable carbon atoms are the same as those described above. Can be mentioned.
  • R 15 independently of one another, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group
  • R 15 is When two or more are present, the two R 15s may be bonded to each other to form a ring, and the ring formed by the two R 15s may have a crosslinked ring structure. In such a case, the ring may be formed.
  • the cyclic ammonium group will have an adamantan ring, a norbornene ring, a spiro ring and the like.
  • alkyl group aryl group, aralkyl group, alkyl halide group, aryl halide group, halogenated aralkyl group and alkenyl group and suitable carbon atoms thereof
  • alkyl group aryl group, aralkyl group, alkyl halide group, aryl halide group, halogenated aralkyl group and alkenyl group and suitable carbon atoms thereof.
  • n 2 is an integer from 1 to 8
  • m 3 is 0 or 1
  • m 4 is a positive number from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic ring. Is an integer of.
  • m 3 is 0, a (4 + n 2 ) member ring including A 5 to A 8 is formed. That is, a 5-membered ring when n 2 is 1, a 6-membered ring when n 2 is 2, a 7-membered ring when n 2 is 3, and an 8-membered ring when n 2 is 4.
  • a condensed ring is formed by condensing a (4 + n 2 ) member ring containing A 5 to A 7 and a 6-member ring containing A 8.
  • a 5 to A 8 may have a hydrogen atom on the atom constituting the ring or may not have a hydrogen atom, depending on which of the formulas (J4) to (J6), but A 5 When ⁇ A 8 has a hydrogen atom on the atom constituting the ring, the hydrogen atom may be replaced with R 15.
  • ring-constituting atom other than the ring member atoms in the A 5 ⁇ A 8, R 15 may be substituted.
  • m 4 is selected from 0 or 1 to the maximum number that can be replaced with a monocyclic or polycyclic integer.
  • the bond of the heteroaliphatic cyclic ammonium group represented by the above formula (S2) is present at any carbon atom or nitrogen atom present in such a monocyclic or fused ring, and is directly bonded to a silicon atom.
  • a linking group is bonded to form an organic group containing cyclic ammonium, which is bonded to a silicon atom. Examples of such a linking group include an alkylene group, an arylene group or an alkaneylene group, and specific examples of the alkylene group, the arylene group and the alkaneylene group and suitable carbon atoms thereof include the same as described above.
  • silane compound (hydrolyzable organosilane) represented by the formula (4) having a heteroaliphatic cyclic ammonium group represented by the above formula (S2) are the following formulas (II-1) to (II). Examples thereof include, but are not limited to, silane represented by -30).
  • R 11 which is a group bonded to a silicon atom in the above formula (4) can be a chain ammonium group represented by the following formula (S3).
  • R 10 independently represents a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group or an alkenyl group, and the alkyl group
  • Specific examples of the aryl group, the aralkyl group, the alkyl halide group, the aryl halide group, the halide aralkyl group and the alkenyl group, and suitable carbon atoms thereof include the same as those described above.
  • the chain ammonium group represented by the formula (S3) is directly bonded to the silicon atom, or the linking group is bonded to form an organic group containing the chain ammonium group, which is bonded to the silicon atom.
  • Examples of such a linking group include an alkylene group, an arylene group or an alkaneylene group, and specific examples of the alkylene group, the arylene group and the alkaneylene group include the same as described above.
  • silane compound (hydrolyzable organosilane) represented by the formula (4) having a chain ammonium group represented by the above formula (S3) are the following formulas (III-1) to (III-28). ), But not limited to these.
  • composition for forming a resist underlayer film of the present invention may further contain a silane compound having a sulfone group and a silane compound having a sulfonamide group in the hydrolyzable silane mixture. Specific examples thereof will be given below, but the present invention is not limited thereto.
  • Me represents a methyl group
  • Et represents an ethyl group.
  • hydrolyzable silane mixture may contain other silane compounds (hydrolyzable silanes) other than the above examples as long as the effects of the present invention are not impaired.
  • the composition for forming a resist underlayer film of the present invention contains a hydrolyzed condensate of the hydrolyzable silane mixture.
  • the composition for forming a resist underlayer film of the present invention contains at least a hydrolyzed condensate of the above hydrolyzable silane mixture.
  • the hydrolyzable condensate contained in the composition for forming a resist underlayer film of the present invention is a hydrolyzable silane represented by the formula (2) in addition to the silane represented by the formula (1).
  • optionally other hydrolyzable condensates obtained with at least other hydrolyzable silanes is optionally other hydrolyzable condensates obtained with at least other hydrolyzable silanes.
  • the hydrolyzed condensate contains the silane compound represented by the formula (1) in an amount of, for example, 5 mol% or more, preferably 10 mol, based on the total amount of the silane compound contained in the hydrolyzable silane mixture. It can be a hydrolyzed condensate of a hydrolyzable silane mixture containing% or more. In a more preferred embodiment, the hydrolyzed condensate is the hydrolysis of a hydrolyzable silane mixture containing, as a compound represented by the formula (1), a compound in which R 1 represents an organic group containing a succinic acid anhydride skeleton as an essential compound.
  • It can be a condensate, in which case the compound represented by the formula (1) in which R 1 represents an organic group containing a succinic anhydride skeleton is added to the total amount of the silane compound contained in the hydrolyzable silane mixture.
  • it can be a hydrolyzed condensate of a hydrolyzable silane mixture containing, for example, in a proportion of 1 mol% or more, preferably in a proportion of 5 mol% or more.
  • the amount of the silane compound represented by the formula (1) charged is the hydrolyzable silane. It can be, for example, 5 mol% or more, preferably 10 mol% or more, based on the charged amount (100 mol%) of all the silane compounds (hydrolytable silane) contained in the mixture. From the viewpoint of obtaining the above-mentioned effects of the present invention with good reproducibility, it is particularly preferable to use a compound represented by the formula (1) in which R 1 represents an organic group containing a succinic acid anhydride skeleton as essential, and this is hydrolyzable.
  • the silane compound contained in the silane mixture for example, usually 0.1 mol% or more, preferably 0.5 mol% or more, more preferably 1 mol% or more, even more preferably.
  • the ratio more preferably 5.5 mol% or less, can be used.
  • the amount of all silane compounds (hydrolyzable silanes) contained in the degradable silane mixture can be usually 60 mol% to 90 mol%, but as described above, the condensate of the mixture. From the viewpoint of improving the residue removability by etching when the film formed from the composition containing the above is dry-etched, the amount of alkyltrialkoxysilane charged is less than 40 mol%, that is, 0 mol% or more and less than 40 mol%. Is.
  • the amount of the organosilane charged is all silane compounds (hydrolyzable silane). It is usually 0.01 mol% or more, preferably 0.1 mol% or more, and usually 30 mol% or less, preferably 10 mol% or less, based on the charged amount of.
  • the hydrolyzed condensate of the above hydrolyzable silane mixture can have a weight average molecular weight of, for example, 500 to 1,000,000.
  • the weight average molecular weight is preferably 500,000 or less, more preferably 250,000 or less, still more preferably 100,000 or less. It can be preferably 700 or more, more preferably 1,000 or more, from the viewpoint of achieving both storage stability and coatability.
  • the weight average molecular weight is a molecular weight obtained in terms of polystyrene by GPC analysis.
  • a GPC apparatus (trade name: HLC-8220GPC, manufactured by Toso Co., Ltd.) and a GPC column (trade name: Shodex® KF803L, KF802, KF801, manufactured by Showa Denko KK) are used to determine the column temperature. It can be carried out at 40 ° C., using tetrahydrofuran as the eluent (eluting solvent), setting the flow rate (flow velocity) to 1.0 mL / min, and using polystyrene (manufactured by Showa Denko KK) as a standard sample.
  • the hydrolyzed condensate of the hydrolyzable silane mixture is obtained by hydrolyzing and condensing the above-mentioned silane compound (hydrolyzable silane).
  • the silane compound (hydrolyzable silane) contains an alkoxy group, an aralkyloxy group, an acyloxy group, and a halogen atom that are directly bonded to a silicon atom, that is, an alkoxysilyl group, an aralkyloxysilyl group, and an acyloxysilyl that are hydrolyzable groups. Includes groups, silyl halide groups.
  • hydrolysis catalyst for the hydrolysis of these hydrolyzable groups, usually 0.5 to 100 mol, preferably 1 to 10 mol of water is used per 1 mol of the hydrolyzable group.
  • a hydrolysis catalyst may be used for the purpose of accelerating the reaction, or hydrolysis and condensation may be carried out without using the hydrolysis catalyst.
  • a hydrolysis catalyst of usually 0.0001 to 10 mol, preferably 0.001 to 1 mol, can be used per mol of the hydrolyzable group.
  • the reaction temperature for hydrolysis and condensation is usually in the range of room temperature or higher and the reflux temperature of an organic solvent that can be used for hydrolysis at normal pressure, for example, 20 to 110 ° C., or 20 to 80 ° C.
  • the hydrolysis can be complete hydrolysis, i.e. all hydrolyzable groups may be converted to silanol groups, or partially hydrolyzed, i.e. leaving unreacted hydrolyzable groups. good.
  • the hydrolysis catalyst that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Metal chelate compounds as hydrolysis catalysts include, for example, triethoxy mono (acetylacetonet) titanium, tri-n-propoxymono (acetylacetonate) titanium, tri-i-propoxymono (acetylacetonate) titanium, and tri.
  • Organic acids as hydrolysis catalysts include, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacin.
  • Examples of the inorganic acid as a hydrolysis catalyst include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, phosphoric acid, phosphoric acid and the like.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrol, piperazine, pyrrolidine, piperidine, picolin, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, diah.
  • the inorganic base as the hydrolysis catalyst include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, calcium hydroxide and the like.
  • metal chelate compounds organic acids, and inorganic acids are preferable, and these may be used alone or in combination of two or more.
  • nitric acid can be preferably used as the hydrolysis catalyst.
  • the storage stability of the reaction solution after hydrolysis and condensation can be improved, and in particular, the change in the molecular weight of the hydrolysis condensate can be suppressed.
  • the stability of the hydrolyzed condensate in liquid has been found to depend on the pH of the solution. As a result of diligent studies, it was found that the pH of the solution became a stable range by using an appropriate amount of nitric acid.
  • an organic solvent may be used as a solvent, and specific examples thereof include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, and 2 , 2,4-trimethylpentane, n-octane, i-octane, cyclohexane, methylcyclohexane and other aliphatic hydrocarbon solvents; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i- Aromatic hydrocarbon solvents such as propylbenzene, diethylbenzene, i-butylbenzene, triethylbenzene, di-i-propylbenzene, n-amylnaphthalene; methanol
  • Ether 2-ethylhexyl ether, ethylene oxide, 1,2-propylene oxide, dioxolane, 4-methyldioxolane, dioxane, dimethyldioxane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol diethyl ether, ethylene glycol mono-n- Butyl ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethyl butyl ether, ethylene glycol dibutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol diethyl ether, diethylene glycol mono-n-butyl ether , Diethylene glycol di-n-butyl ether, diethylene glycol mono-n-hexyl ether, ethoxytriglycol, tetraethylene glycol di-n-butyl
  • sulfur-containing solvents such as dimethyl sulfide, diethyl sulfide, thiophene, tetrahydrothiophene, dimethylsulfoxide, sulfolane, 1,3-propanesulton and the like. , Not limited to these. These solvents can be used alone or in combination of two or more.
  • the reaction solution is used as it is or diluted or concentrated, neutralized, and treated with an ion exchange resin to hydrolyze the acids and bases used for the hydrolysis and condensation.
  • the catalyst can be removed. Further, before or after such treatment, alcohol or water as a by-product, the hydrolysis catalyst used, or the like can be removed from the reaction solution by vacuum distillation or the like.
  • the hydrolyzed condensate thus obtained (hereinafter, also referred to as polysiloxane) is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, and this is used as it is as a composition for forming a resist underlayer film, which will be described later. Can be used as.
  • the obtained polysiloxane varnish may be solvent-substituted, or may be appropriately diluted with a solvent.
  • the obtained polysiloxane varnish may have a solid content concentration of 100% by distilling off an organic solvent as long as its storage stability is not poor.
  • the organic solvent used for solvent substitution or dilution of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis and condensation reaction of the hydrolyzable silane mixture.
  • the dilution solvent is not particularly limited, and either one type or two or more types can be arbitrarily selected and used.
  • composition for forming a resist underlayer film contains a hydrolyzable condensate (polysiloxane) of the hydrolyzable silane mixture and a solvent, and may further contain other components described later.
  • the solid content concentration in the resist underlayer film forming composition is, for example, 0.1 to 50% by mass, 0.1 to 30% by mass, 0.1 to 25% by mass, 0 with respect to the total mass of the composition. It can be 5.5 to 20.0% by mass.
  • the solid content refers to a component obtained by removing the solvent component from all the components of the composition.
  • the content of the hydrolyzed condensate of the hydrolyzable silane mixture in the solid content is usually 20% by mass to 100% by mass, but from the viewpoint of obtaining the above-mentioned effect of the present invention with good reproducibility, the lower limit value thereof. Is preferably 50% by mass, more preferably 60% by mass, even more preferably 70% by mass, still more preferably 80% by mass, and the upper limit thereof is preferably 99% by mass. Can be used as an additive.
  • the content of the hydrolyzed condensate of the hydrolyzable silane mixture in the composition can be, for example, 0.5 to 20.0% by mass.
  • the resist underlayer film forming composition preferably has a pH of 2 to 5, and more preferably has a pH of 3 to 4.
  • the composition for forming a resist underlayer film can be produced by mixing a hydrolyzed condensate of the above-mentioned hydrolyzable silane mixture, a solvent, and, if desired, other components, if desired.
  • a solution containing a hydrolyzed condensate or the like may be prepared in advance, and this solution may be mixed with a solvent or other components.
  • the mixing order is not particularly limited.
  • a solvent may be added to a solution containing a hydrolyzed condensate or the like and mixed, and other components may be added to the mixture.
  • the solution containing the hydrolyzed condensate or the like, the solvent and other components may be mixed at the same time. You may.
  • additional solvent may be added at the end, or some components that are relatively soluble in the solvent may be left unincluded in the mixture and added at the end, but agglomeration of the components.
  • the hydrolyzed condensate and the like may aggregate or precipitate when they are mixed, depending on the type and amount of the solvent to be mixed together, the amount and properties of other components, and the like.
  • the hydrolyzed condensate or the like is prepared so that the amount of the hydrolyzed condensate or the like in the finally obtained composition is a desired amount. Also keep in mind that it is necessary to determine the concentration of the solution and the amount used. In the preparation of the composition, heating may be appropriately performed as long as the components are not decomposed or deteriorated.
  • the present invention may be filtered using a filter on the order of submicrometer or the like at the stage of producing the composition for forming the resist underlayer film or after mixing all the components.
  • the resist underlayer film forming composition of the present invention can be suitably used as a resist underlayer film forming composition used in the lithography process.
  • the solvent used in the composition for forming a resist underlayer film of the present invention can be used without particular limitation as long as it is a solvent capable of dissolving the solid content.
  • a solvent is not limited as long as it dissolves the hydrolyzed condensate of the hydrolyzable silane mixture and other components.
  • methyl cellosolve acetate ethyl cellosolve acetate
  • propylene glycol propylene glycol monomethyl ether (1-methoxy-2-propanol)
  • propylene glycol monoethyl ether 1,3-bis(trimethoxy-2-propanol)
  • methyl isobutyl carbinol methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy-2-propanol), and methyl isobutyl carbinol.
  • composition for forming a resist underlayer film of the present invention may contain water as a solvent.
  • water When water is contained as the solvent, the content thereof may be, for example, 30% by mass or less, preferably 20% by mass or less, and even more preferably 15% by mass or less, based on the total mass of the solvent contained in the composition. can.
  • additives can be added to the resist underlayer film forming composition of the present invention depending on the use of the composition.
  • the additive include a curing catalyst (ammonium salt, phosphine, phosphonium salt, sulfonium salt, nitrogen-containing silane compound, etc.), a cross-linking agent, a cross-linking catalyst, a stabilizer (organic acid, water, alcohol, etc.), and an organic substance.
  • Polymer compounds acid generators, surfactants (nonionic surfactants, anionic surfactants, cationic surfactants, silicon-based surfactants, fluorine-based surfactants, UV-curable surfactants, etc.), It is blended in materials (compositions) that form various films that can be used in the manufacture of semiconductor devices, such as pH adjusters, rheology adjusters, adhesive aids, resist underlayer films, antireflection films, and pattern inversion films.
  • surfactants nonionic surfactants, anionic surfactants, cationic surfactants, silicon-based surfactants, fluorine-based surfactants, UV-curable surfactants, etc.
  • materials compositions that form various films that can be used in the manufacture of semiconductor devices, such as pH adjusters, rheology adjusters, adhesive aids, resist underlayer films, antireflection films, and pattern inversion films.
  • Known additives can be mentioned.
  • Various additives are exemplified below, but the present invention is not limited
  • ⁇ Curing catalyst> ammonium salts, phosphines, phosphonium salts, sulfonium salts and the like can be used.
  • the following salts described as curing catalysts may be added in the form of salts, or those that form a salt in the above composition (the one that is added as a separate compound at the time of addition and forms a salt in the system). ) May be used.
  • the ammonium salt has the formula (D-1): (In the formula, m represents an integer of 2 to 11, n represents an integer of 2 to 3, R 21 represents an alkyl group or an aryl group, and Y ⁇ represents an anion.)
  • Equation (D-2) (In the formula, R 22 , R 23 , R 24 and R 25 represent an alkyl or aryl group, N represents a nitrogen atom, Y ⁇ represents an anion, and R 22 , R 23 , R 24 , and R 25.
  • Equation (D-3) A quaternary ammonium salt having a structure represented by (in the formula, R 26 and R 27 represent an alkyl group or an aryl group, N represents a nitrogen atom, and Y ⁇ represents an anion).
  • Equation (D-4) A quaternary ammonium salt having a structure represented by (in the formula, R 28 represents an alkyl group or an aryl group, N represents a nitrogen atom, and Y ⁇ represents an anion).
  • the formula (D-7) (In the formula, R 31 , R 32 , R 33 , and R 34 represent an alkyl or aryl group, P represents a phosphorus atom, Y ⁇ represents an anion, and R 31 , R 32 , R 33 , and R. Each of 34 is bonded to a phosphorus atom by a CP bond), and a quaternary phosphonium salt can be mentioned.
  • the formula (D-8) (In the formula, R 35 , R 36 , and R 37 represent an alkyl or aryl group, S represents a sulfur atom, Y ⁇ represents an anion, and R 35 , R 36 , and R 37 are CS, respectively.
  • a tertiary sulfonium salt represented by (which is bonded to a sulfur atom by a bond) can be mentioned.
  • the compound of the above formula (D-1) is a quaternary ammonium salt derived from an amine, and m represents an integer of 2 to 11 and n represents an integer of 2 to 3.
  • the compound of the above formula (D-2) is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y ⁇ .
  • R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms.
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halide ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholates - can be exemplified an acid group such as (-O).
  • This quaternary ammonium salt is commercially available and is available, for example, tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride. Ammonium, trimethylbenzylammonium chloride and the like are exemplified.
  • the compound of the above formula (D-3) is a quaternary ammonium salt derived from the 1-substituted imidazole, and R 26 and R 27 have 1 to 18 carbon atoms, and are of R 26 and R 27 .
  • the total number of carbon atoms is preferably 7 or more.
  • R 26 can be exemplified as a methyl group, an ethyl group, a propyl group, a phenyl group or a benzyl group
  • R 27 can be exemplified by a benzyl group, an octyl group or an octadecyl group.
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halide ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholates - can be exemplified an acid group such as (-O).
  • This compound can be obtained as a commercially available product, but for example, an imidazole compound such as 1-methylimidazole or 1-benzylimidazole is reacted with an alkyl halide such as benzyl bromide or methyl bromide or an aryl halide. Can be manufactured.
  • the compound of the above formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or a carbon atom.
  • the aryl group is number 6 to 18, and examples thereof include a butyl group, an octyl group, a benzyl group, and a lauryl group.
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halide ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholates - can be exemplified an acid group such as (-O).
  • This compound can be obtained as a commercially available product, but is produced by reacting, for example, pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, or octyl bromide, or an aryl halide. can do. Examples of this compound include N-lauryl pyridinium chloride, N-benzyl pyridinium bromide, and the like.
  • the compound of the above formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine represented by picoline or the like, and R 29 has 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. , Or an aryl group having 6 to 18 carbon atoms, and examples thereof include a methyl group, an octyl group, a lauryl group, and a benzyl group.
  • R 30 is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms, for example, when it is a quaternary ammonium derived from picoline, R 30 is a methyl group.
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halide ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholates - can be exemplified an acid group such as (-O).
  • This compound can be obtained as a commercial product, but for example, a substituted pyridine such as picoline is reacted with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, or benzyl bromide, or an aryl halide. Can be manufactured. Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.
  • the compound of the above formula (D-6) is a tertiary ammonium salt derived from an amine, where m represents an integer of 2 to 11 and n represents an integer of 2 to 3.
  • the anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halide ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 - ), alcoholates (-O - can be given) acid groups and the like.
  • This compound can be produced by reacting an amine with a weak acid such as a carboxylic acid or phenol.
  • the carboxylic acid include formic acid and acetic acid
  • the anion (Y -) - in the case of using formic acid, the anion (Y -) -, and the case of using acetic acid, the anion (HCOO) (Y -) is (CH 3 COO - ).
  • the anion (Y ⁇ ) is (C 6 H 5 O ⁇ ).
  • the compound of the above formula (D-7) is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y ⁇ .
  • R 31 , R 32 , R 33 , and R 34 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably among the four substituents R 31 to R 34.
  • Three are phenyl groups or substituted phenyl groups, for example, a phenyl group or a tolyl group can be exemplified, and the remaining one is an alkyl group having 1 to 18 carbon atoms and 6 to 18 carbon atoms. It is an aryl group.
  • This compound is available as a commercial product, for example, tetraalkylphosphonium halides such as tetran-butylphosphonium halides and tetran-propylphosphonium halides, and trialkylbenzyl halides such as triethylbenzylphosphonium halides.
  • Triphenyl monoalkyl phosphonium halides such as phosphonium, triphenyl methyl phosphonium halogenated, triphenyl ethyl phosphonium halogenated, triphenyl benzyl phosphonium halogenated, tetraphenyl phosphonium halogenated, tritril monoaryl phosphonium halogenated, or tritril mono halide
  • alkylphosphonium the halogen atom is a chlorine atom or a bromine atom.
  • halogenated triphenylmonoalkylphosphoniums such as triphenylmethylphosphonium halides and triphenylethylphosphonium halides
  • triphenylmonoarylphosphonium halides such as triphenylbenzylphosphonium halides
  • halogens such as tritrilmonophenylphosphonium halogenated.
  • Halogenated tritryl monoalkylphosphonium halogen atom is chlorine atom or bromine atom
  • tritryl monoarylphosphonium halide or tritril monomethyl phosphonium halogenated is preferable.
  • phosphines include primary phosphine such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine and phenylphosphine, and second phosphine such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine and diphenylphosphine. , Trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, dimethylphenylphosphine and the like.
  • the compound of the above formula (D-8) is a tertiary sulfonium salt having a structure of R 35 R 36 R 37 S + Y ⁇ .
  • R 35 , R 36 , and R 37 are alkyl groups having 1 to 18 carbon atoms or aryl groups having 6 to 18 carbon atoms, preferably two of the three substituents R 35 to R 37 are phenyl.
  • a group or substituted phenyl group, for example, a phenyl group or a tolyl group can be exemplified, and the remaining one is an alkyl group having 1 to 18 carbon atoms or an aryl group having 6 to 18 carbon atoms. be.
  • the anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halide ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 - ), alcoholates (-O -), maleic acid anion include an acid group such as a nitrate anion.
  • This compound is available as a commercial product, for example, trialkyl sulfonium halides such as tri n-butyl sulfonium halide and tri n-propyl sulfonium halide, and dialkyl benzyl sulfonium halides such as diethyl benzyl sulfonium halide.
  • trialkyl sulfonium halides such as tri n-butyl sulfonium halide and tri n-propyl sulfonium halide
  • dialkyl benzyl sulfonium halides such as diethyl benzyl sulfonium halide.
  • a nitrogen-containing silane compound can be added as a curing catalyst.
  • the nitrogen-containing silane compound include an imidazole ring-containing silane compound such as N- (3-triethoxysiripropyl) -4,5-dihydroimidazole.
  • a curing catalyst When a curing catalyst is used, it is 0.01 parts by mass to 10 parts by mass, 0.01 parts by mass to 5 parts by mass, or 0.01 parts by mass to 3 parts by mass with respect to 100 parts by mass of polysiloxane. ..
  • the stabilizer may be added for the purpose of stabilizing the hydrolyzed condensate of the hydrolyzable silane mixture, and as a specific example thereof, an organic acid, water, alcohol, or a combination thereof may be added.
  • an organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid, salicylic acid and the like. Of these, oxalic acid and maleic acid are preferable.
  • the amount added is 0.1 to 5.0% by mass with respect to the mass of the hydrolyzed condensate of the hydrolyzable silane mixture.
  • These organic acids can also act as pH regulators.
  • As the water pure water, ultrapure water, ion-exchanged water, or the like can be used, and when used, the amount added is 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the resist underlayer film forming composition.
  • the alcohol is preferably one that easily scatters (volatilizes) by heating after coating, and examples thereof include methanol, ethanol, propanol, i-propanol, butanol and the like.
  • the amount of alcohol added can be 1 part by mass to 20 parts by mass with respect to 100 parts by mass of the resist underlayer film forming composition.
  • Organic polymer By adding the organic polymer compound to the composition for forming a resist underlayer film, the dry etching rate (amount of decrease in film thickness per unit time) of the film (resist underlayer film) formed from the composition and the amount of decrease in the film thickness per unit time can be determined. Moreover, the attenuation coefficient, the refractive index, and the like can be adjusted.
  • the organic polymer compound is not particularly limited, and is appropriately selected from various organic polymers (condensation polymer and addition polymer) according to the purpose of addition thereof.
  • an organic polymer containing an aromatic ring such as a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring, a quinoxaline ring, or a heteroaromatic ring that functions as an absorption site also needs such a function.
  • an aromatic ring such as a benzene ring, a naphthalene ring, an anthracene ring, a triazine ring, a quinoline ring, a quinoxaline ring, or a heteroaromatic ring that functions as an absorption site also needs such a function.
  • organic polymer compounds include addition polymerizable properties such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthryl methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether and N-phenylmaleimide.
  • addition-polymerized polymers containing a monomer as a structural unit thereof and depolymerized polymers such as phenol novolac and naphthol novolac.
  • the polymer compound may be either a homopolymer or a copolymer.
  • Addition-polymerizable monomers are used in the production of addition-polymerizable polymers, and specific examples of such addition-polymerizable monomers include acrylic acid, methacrylic acid, acrylic acid ester compounds, methacrylic acid ester compounds, acrylamide compounds, and methacrylic acids. Examples thereof include, but are not limited to, amide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic acid anhydrides, and acrylonitrile.
  • acrylic acid ester compound examples include methyl acrylate, ethyl acrylate, normal hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthryl methyl acrylate, 2-hydroxyethyl acrylate, and 3-chloro-2.
  • methacrylic acid ester compound examples include methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthrylmethyl methacrylate, 2-hydroxyethyl methacrylate and 2-hydroxypropyl methacrylate.
  • 2,2,2-Trifluoroethyl methacrylate 2,2,2-trichloroethyl methacrylate, 2-bromoethyl methacrylate, 4-hydroxybutyl methacrylate, 2-methoxyethyl methacrylate, tetrahydrofurfuryl methacrylate, 2-methyl-2 -Adamantyl methacrylate, 5-methacryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-methacryloxypropyltriethoxysilane, glycidyl methacrylate, 2-phenylethyl methacrylate, hydroxyphenyl methacrylate, bromophenyl methacrylate, etc. However, it is not limited to these.
  • acrylamide compound examples include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N, N-dimethylacrylamide, N-anthrylacrylamide and the like. Not limited.
  • methacrylamide compounds include methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N, N-dimethylmethacrylamide, and N-anthrylmethacrylamide. Etc., but are not limited to these.
  • vinyl compounds include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetate, vinyl trimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene and vinyl. Anthracene and the like can be mentioned, but the present invention is not limited to these.
  • styrene compound examples include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, acetylstyrene and the like.
  • maleimide compound examples include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide and the like.
  • such a polymer includes, for example, a polycondensation polymer of a glycol compound and a dicarboxylic acid compound.
  • the glycol compound include diethylene glycol, hexamethylene glycol, butylene glycol and the like.
  • the dicarboxylic acid compound include succinic acid, adipic acid, terephthalic acid, maleic anhydride and the like.
  • polyesters such as polypyrromeritimide, poly (p-phenylene terephthalamide), polybutylene terephthalate, and polyethylene terephthalate, polyamides, and polyimides can be mentioned, but are not limited thereto.
  • the organic polymer compound contains a hydroxy group, the hydroxy group can undergo a cross-linking reaction with a hydrolyzed condensate or the like.
  • the weight average molecular weight of the organic polymer compound is usually 1,000 to 1,000,000.
  • the weight average molecular weight thereof is, for example, 3,000 to 300,000, or 5,000, from the viewpoint of suppressing precipitation in the composition while sufficiently obtaining the effect of the function as a polymer. It can be from 300,000, 10,000 to 200,000, and the like.
  • Such an organic polymer compound may be used alone or in combination of two or more.
  • the composition for forming a resist underlayer film of the present invention contains an organic polymer compound
  • its content cannot be unconditionally determined because it is appropriately determined in consideration of the function of the organic polymer compound and the like, but usually, the above-mentioned hydrolyzable silane It can be in the range of 1 to 200% by mass with respect to the mass of the hydrolyzed condensate of the mixture, and from the viewpoint of suppressing precipitation in the composition, for example, 100% by mass or less, preferably 50% by mass or less. , More preferably 30% by mass or less, and from the viewpoint of sufficiently obtaining the effect, for example, 5% by mass or more, preferably 10% by mass or more, more preferably 30% by mass or more.
  • thermoacid generator examples include a thermoacid generator and a photoacid generator, and a photoacid generator can be preferably used.
  • photoacid generator examples include, but are not limited to, onium salt compounds, sulfonimide compounds, disulfonyldiazomethane compounds and the like.
  • thermoacid generator examples include, but are not limited to, tetramethylammonium nitrate.
  • the onium salt compound examples include diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoronormal butane sulfonate, diphenyliodonium perfluoronormal octane sulfonate, diphenyliodonium camphor sulfonate, and bis (4-t-butylphenyl).
  • Iodonium salt compounds such as iodonium camphor sulfonate, bis (4-t-butylphenyl) iodonium trifluoromethane sulfonate, triphenyl sulfonium hexafluoroantimonate, triphenyl sulfonium nonafluoronormal butane sulfonate, triphenyl sulfonium camphor sulfonate, triphenyl sulfonium Examples thereof include, but are not limited to, trifluoromethanesulfonate, triphenylsulfonium nitrate (nitrate), triphenylsulfonium trifluoroacetate, triphenylsulfonium maleate, and sulfonium salt compounds such as triphenylsulfonium chloride.
  • sulfoneimide compound examples include N- (trifluoromethanesulfonyloxy) succinimide, N- (nonafluoronormal butanesulfonyloxy) succinimide, N- (kanfersulfonyloxy) succinimide, and N- (trifluoromethanesulfonyloxy) naphthalimide. Etc., but are not limited to these.
  • disulfonyldiazomethane compound examples include bis (trifluoromethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (p-toluenesulfonyl) diazomethane, and bis (2,4-dimethylbenzene).
  • Sulfonyl) Diazomethane, methylsulfonyl-p-toluenesulfonyldiazomethane and the like can be mentioned, but are not limited thereto.
  • the content thereof cannot be unconditionally determined because it is appropriately determined in consideration of the type of the acid generator and the like, but usually, the hydrolyzable silane mixture is described above. It is in the range of 0.01 to 5% by mass with respect to the mass of the hydrolyzed condensate of the above, and is preferably 3% by mass or less, more preferably 3% by mass or less, from the viewpoint of suppressing the precipitation of the acid generator in the composition. It is 1% by mass or less, preferably 0.1% by mass or more, and more preferably 0.5% by mass or more from the viewpoint of sufficiently obtaining the effect.
  • the acid generator may be used alone or in combination of two or more, and the photoacid generator and the thermoacid generator may be used in combination.
  • the surfactant is effective in suppressing the occurrence of pinholes, stirrers, etc. when the composition for forming a resist underlayer film is applied to a substrate.
  • the above-mentioned surfactant include nonionic surfactants, anionic surfactants, cationic surfactants, silicon-based surfactants, fluorine-based surfactants, UV-curable surfactants and the like. More specifically, for example, polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, and polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, and polyoxyethylene nonylphenol.
  • Polyoxyethylene alkylaryl ethers such as ethers, polyoxyethylene / polyoxypropylene block copolymers, sorbitan monolaurates, sorbitan monopalmitates, sorbitan monostearates, sorbitan monooleates, sorbitan trioleates, sorbitan tristearates.
  • Solbitan fatty acid esters such as, polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene such as polyoxyethylene sorbitan tristearate.
  • Nonionic surfactants such as sorbitan fatty acid esters, trade names EF301, EF303, EF352 (manufactured by Mitsubishi Materials Denshi Kasei Co., Ltd. (formerly Tochem Products Co., Ltd.)), trade name Megafuck ( Registered trademarks) F171, F173, R-08, R-30, R-30N, R-40LM (manufactured by DIC Co., Ltd.), Florard FC430, FC431 (manufactured by 3M Japan Co., Ltd.), trade name Asahi Guard (registered trademark) ) Fluorine surfactants such as AG710 (manufactured by AGC Co., Ltd.), Surflon (registered trademark) S-382, SC101, SC102, SC103, SC104, SC105, SC106 (manufactured by AGC Seimi Chemical Co., Ltd.), and organosiloxane. Polyethylene-KP341 (manufactured by Shin-Etsu Chemical Industry Co.
  • the content thereof is usually 0.0001 to 5% by mass with respect to the mass of the hydrolyzed condensate of the hydrolyzable silane mixture. , It can be preferably 0.001 to 4% by mass, and more preferably 0.01 to 3% by mass.
  • the rheology adjuster mainly improves the fluidity of the composition for forming a resist underlayer film, particularly in the baking step, improves the film thickness uniformity of the film to be formed, and improves the filling property of the composition inside the hole. Added for the purpose of enhancing. Specific examples include phthalate derivatives such as dimethylphthalate, diethylphthalate, dii-butylphthalate, dihexylphthalate, and butyl i-decylphthalate, dinormal butyl adipate, di-i-butyl adipate, and di-i-octyl adipate.
  • Adiponic acid derivatives such as octyldecyl adipate, maleic acid derivatives such as dinormal butylmalate, diethyl malate, dinonyl malate, oleic acid derivatives such as methyl oleate, butyl oleate, tetrahydrofurfuryl oleate, or normal butyl stealate, glyceryl steer Examples thereof include phthalates and other stearic acid derivatives. When these rheology modifiers are used, the amount added is usually less than 30% by mass based on the total solid content of the resist underlayer film forming composition.
  • the adhesive auxiliary mainly improves the adhesion between the substrate or the resist and the film formed from the composition for forming the resist underlayer film (resist underlayer film), and suppresses / prevents the peeling of the resist particularly during development. It is added for the purpose.
  • Specific examples include chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane, alkoxysilanes such as trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, and dimethylvinylethoxysilane, and hexamethyl.
  • Silazans such as disilazan, N, N'-bis (trimethylsilyl) urea, dimethyltrimethylsilylamine, trimethylsilylimidazole, ⁇ -chloropropyltrimethoxysilane, ⁇ -aminopropyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane
  • silanes such as benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-mercaptobenzothiazole, 2-mercaptobenzoxazole, urasol, thiouracil, mercaptoimidazole, mercaptopyrimidine and other heterocyclic compounds.
  • ureas such as 1,1-dimethylurea and 1,3-dimethylurea, or thiourea compounds can be mentioned.
  • the amount added thereof is usually less than 5% by mass, preferably less than 2% by mass, based on the total solid content of the resist underlayer film forming composition.
  • a bisphenol S or a bisphenol S derivative can be added in addition to the acid having one or two or more carboxylic acid groups such as the organic acid mentioned above as the ⁇ stabilizer>.
  • the bisphenol S or bisphenol S derivative is 0.01 to 20 parts by mass, 0.01 to 10 parts by mass, or 0.01 to 0.01 to 100 parts by mass with respect to 100 parts by mass of the hydrolyzed condensate of the hydrolyzable silane mixture. 5 parts by mass.
  • a substrate used for manufacturing a precision integrated circuit element for example, a semiconductor substrate such as a silicon oxide film, a silicon nitride film or a silicon wafer coated with a silicon nitride film, a silicon nitride substrate, a quartz substrate, a glass substrate (none). Includes alkali glass, low alkali glass, and crystallized glass), glass substrates on which ITO (indium tin oxide) films and IZO (indium zinc oxide) films are formed, plastic (polyimide, PET, etc.) substrates, low dielectrics.
  • a semiconductor substrate such as a silicon oxide film, a silicon nitride film or a silicon wafer coated with a silicon nitride film, a silicon nitride substrate, a quartz substrate, a glass substrate (none). Includes alkali glass, low alkali glass, and crystallized glass), glass substrates on which ITO (indium tin oxide) films and IZO (indium zinc oxide) films
  • the composition for forming a resist underlayer film of the present invention is applied onto a rate material (low-k material) coated substrate, flexible substrate, etc.] by an appropriate coating method such as a spinner or a coater, and then a hot plate or the like is used.
  • the composition is cured by firing using a heating means to form a resist underlayer film.
  • the resist underlayer film means a film formed from the resist underlayer film forming composition of the present invention.
  • the firing conditions are appropriately selected from a firing temperature of 40 ° C. to 400 ° C., or 80 ° C. to 250 ° C., and a firing time of 0.3 minutes to 60 minutes.
  • the firing temperature is 150 ° C. to 250 ° C.
  • the film thickness of the resist underlayer film formed here is, for example, 10 nm to 1,000 nm, 20 nm to 500 nm, 50 nm to 300 nm, or 100 nm to 200 nm, or 10 to 150 nm.
  • the organic underlayer film is formed on the substrate, and then the resist underlayer film is formed on the organic underlayer film.
  • the organic underlayer film may not be provided.
  • the organic underlayer film used here is not particularly limited, and can be arbitrarily selected and used from those conventionally used in the lithography process.
  • the resist underlayer film of the present invention can be processed by using a fluorine-based gas having a sufficiently fast etching rate for the photoresist film as the etching gas, and the resist underlayer film of the present invention can be sufficiently processed.
  • An oxygen-based gas having a high etching rate can be used as an etching gas to process an organic underlayer film, and a fluorine-based gas having a sufficiently fast etching rate with respect to the organic underlayer film can be used as an etching gas to form a substrate.
  • the substrate and coating method that can be used at this time include the same as those described above.
  • a layer of a photoresist material is formed on the resist underlayer film.
  • the resist film can be formed by a well-known method, that is, by applying a coating-type resist material (for example, a composition for forming a photoresist film) on a resist underlayer film and firing it.
  • the film thickness of the resist film is, for example, 10 nm to 10,000 nm, or 100 nm to 2,000 nm, or 200 nm to 1,000 nm, or 30 nm to 200 nm.
  • the photoresist material used for the resist film formed on the resist underlayer film is particularly limited as long as it is sensitive to light used for exposure (for example, KrF excimer laser, ArF excimer laser, etc.).
  • both negative photoresist materials and positive photoresist materials can be used.
  • a positive photoresist material consisting of novolak resin and 1,2-naphthoquinonediazide sulfonic acid ester
  • a chemically amplified photoresist consisting of a binder having a group that decomposes with an acid to increase the alkali dissolution rate and a photoacid generator.
  • Chemically amplified photoresist material consisting of a low molecular weight compound, an alkali-soluble binder and a photoacid generator, which decomposes with a material and an acid to increase the alkali dissolution rate of the photoresist material, and decomposes with an acid to increase the alkali dissolution rate.
  • chemically amplified photoresist materials composed of a low molecular weight compound that decomposes with a binder having a group to cause the photoresist and an acid to increase the alkali dissolution rate of the photoresist material, and a photoacid generator.
  • Specific examples available as commercial products include chypre product name APEX-E, Sumitomo Chemical Co., Ltd.
  • the resist film formed on the resist underlayer film is a resist film for electron beam lithography (also referred to as an electron beam resist film) or a resist film for EUV lithography (also referred to as an EUV resist film) instead of the resist film.
  • the composition for forming a resist underlayer film containing silicon of the present invention can be used for forming a resist underlayer film for electron beam lithography or for forming a resist underlayer film for EUV lithography.
  • it is suitable as a composition for forming a resist underlayer film for EUV lithography.
  • the electron beam resist material either a negative type material or a positive type material can be used.
  • Specific examples thereof include a chemically amplified resist material composed of an acid generator and a binder having a group that decomposes with an acid to change the alkali dissolution rate, and an alkali-soluble binder, an acid generator and an acid decomposed with an acid to make the alkali of the resist material.
  • a chemically amplified resist material composed of a low molecular weight compound that changes the dissolution rate, a binder having a group that decomposes with an acid generator and an acid to change the alkali dissolution rate, and an acid that decomposes with an acid to change the alkali dissolution rate of the resist material.
  • It has a chemically amplified resist material composed of a low molecular weight compound, a non-chemically amplified resist material composed of a binder having a group that decomposes with an electron beam and changes the alkali dissolution rate, and a site that is cut by an electron beam to change the alkali dissolution rate.
  • a non-chemically amplified resist material made of binders. Even when these electron beam resist materials are used, a resist film pattern can be formed in the same manner as when a photoresist material is used with the irradiation source as an electron beam.
  • a methacrylate resin-based resist material can be used as the EUV resist material.
  • the resist film formed on the upper layer of the resist lower layer film is exposed through a predetermined mask (rectyl).
  • a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), an F2 excimer laser (wavelength 157 nm), an EUV (wavelength 13.5 nm), an electron beam or the like can be used.
  • post-exposure heating (post exposure break) can be performed if necessary. Post-exposure heating is performed under appropriately selected conditions from a heating temperature of 70 ° C. to 150 ° C. and a heating time of 0.3 minutes to 10 minutes.
  • the developing solution includes an aqueous solution of an alkali metal hydroxide such as potassium hydroxide and sodium hydroxide, an aqueous solution of quaternary ammonium hydroxide such as tetramethylammonium hydroxide, tetraethylammonium hydroxide and choline, and ethanol.
  • alkaline aqueous solution such as an amine aqueous solution such as amine, propylamine, or ethylenediamine can be mentioned as an example. Further, a surfactant or the like can be added to these developers.
  • the development conditions are appropriately selected from a temperature of 5 to 50 ° C. and a time of 10 seconds to 600 seconds.
  • an organic solvent can be used as the developing solution, and development is performed with the developing solution (solvent) after exposure.
  • the developing solution solvent
  • the photoresist film in the unexposed portion is removed, and a pattern of the photoresist film is formed.
  • Examples of the developing solution include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxy acetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, and the like.
  • the resist lower layer film (intermediate layer) is removed, and then the patterned photoresist film and the patterned resist lower layer film (intermediate layer) are removed.
  • the substrate is processed using the organic underlayer film (lower layer) as a protective film.
  • Removal of the resist lower layer film (intermediate layer) performed using the pattern of the resist film (upper layer) as a protective film is performed by dry etching, and tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), and perfluoropropane.
  • gases such as (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane and dichloroborane. can do. It is preferable to use a halogen-based gas for dry etching of the resist underlayer film.
  • the photoresist film In dry etching with a halogen-based gas, it is difficult to remove a resist film (photoresist film) basically composed of an organic substance. On the other hand, the silicon-containing resist underlayer film containing a large amount of silicon atoms is rapidly removed by the halogen-based gas. Therefore, it is possible to suppress a decrease in the film thickness of the photoresist film due to dry etching of the resist underlayer film. As a result, the photoresist film can be used as a thin film.
  • the dry etching of the resist underlayer film is preferably performed by a fluorine-based gas
  • a fluorine-based gas examples include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), and perfluoro propane (C 3 F). 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ) and the like, but are not limited thereto.
  • the removal of the organic lower layer film (lower layer) performed by using the film as a protective film is preferably performed by dry etching with an oxygen-based gas (oxygen gas, oxygen / carbonyl sulfide (COS) mixed gas, etc.). This is because the resist underlayer film of the present invention containing a large amount of silicon atoms is difficult to be removed by dry etching with an oxygen-based gas.
  • oxygen-based gas oxygen gas, oxygen / carbonyl sulfide (COS) mixed gas, etc.
  • the processing of the (semiconductor) substrate using the patterned resist underlayer film (intermediate layer) and the optionally patterned organic underlayer film (lower layer) as the protective film is performed by dry etching with a fluorine-based gas.
  • a fluorine-based gas examples include tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane (CH 2 F 2 ).
  • the resist underlayer film it is possible to remove the resist underlayer film with a chemical solution after the step of etching (removing) the organic underlayer film.
  • the resist underlayer film can be removed with the chemical solution after the substrate is processed with the patterned organic underlayer film.
  • a structure derived from a silane compound containing the above-mentioned succinic anhydride skeleton and the like is incorporated into a hydrolyzed condensate (polysiloxane), whereby a film formed from the condensate is formed under alkaline conditions. Solubility can be increased. For example, it is soluble in alkaline solutions such as aqueous solutions containing ammonia and hydrogen peroxide.
  • the chemical solution includes dilute hydrofluoric acid, buffered fluorophore, an aqueous solution containing hydrochloric acid and hydrogen peroxide (SC-2 chemical solution), an aqueous solution containing sulfuric acid and hydrogen peroxide (SPM chemical solution), and fluoroacid and hydrogen peroxide.
  • alkaline solutions such as aqueous solutions (FPM chemicals) and aqueous solutions containing ammonia and hydrogen peroxide (SC-1 chemicals), and it is preferable to use alkaline chemicals (basic chemicals) from the viewpoint of reducing the effect on the substrate.
  • alkaline chemicals basic chemicals
  • examples of the alkaline solution include ammonia, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, as well as the above-mentioned ammonia superwater (SC-1 chemical solution) obtained by mixing ammonia, hydrogen peroxide solution and water.
  • an organic antireflection film can be formed on the upper layer of the resist lower layer film before the resist film is formed.
  • the antireflection film composition used therefor is not particularly limited, and for example, it can be arbitrarily selected and used from those conventionally used in the lithography process, and a commonly used method, for example, is used.
  • the antireflection film can be formed by coating and firing with a spinner or coater.
  • the substrate on which the composition for forming a resist underlayer film of the present invention is applied may have an organic or inorganic antireflection film formed on its surface by a CVD method or the like, and may be on the substrate. It is also possible to form a resist underlayer film.
  • the substrate to be used is an organic or inorganic antireflection film formed on the surface thereof by a CVD method or the like. It may have.
  • the resist underlayer film formed from the resist underlayer film forming composition of the present invention may also have absorption to the light depending on the wavelength of the light used in the lithography process. Then, in such a case, it can function as an antireflection film having an effect of preventing the reflected light from the substrate.
  • the resist underlayer film is a layer for preventing interaction between the substrate and the resist film (photoresist film, etc.), a material used for the resist film, or a substance generated during exposure to the resist film, which has an adverse effect on the substrate.
  • a layer having a function of preventing the resist film As a layer having a function of preventing the resist film, a layer having a function of preventing diffusion of substances generated from the substrate during heating and firing into the upper resist film, and a barrier layer for reducing the poisoning effect of the resist film by the dielectric layer of the semiconductor substrate. It is also possible to use it.
  • the resist underlayer film can be applied to a substrate on which via holes are formed, which is used in the dual damascene process, and can be used as a hole filling material (embedding material) capable of filling the holes without gaps. It can also be used as a flattening material for flattening the surface of a semiconductor substrate having irregularities.
  • the resist underlayer film serves as an underlayer film of the EUV resist film, and has a function as a hard mask. It can be used as an underlayer antireflection film of an EUV resist film that can prevent reflection of UV (ultraviolet) light or DUV (deep ultraviolet) light (: ArF light, KrF light) from the substrate or interface. That is, reflection can be efficiently prevented as a lower layer of the EUV resist film.
  • the process can be performed in the same manner as the photoresist underlayer film.
  • the semiconductor substrate can be suitably processed. Further, as described above, a step of forming an organic underlayer film, a step of forming a silicon-containing resist underlayer film on the organic underlayer film using the silicon-containing resist underlayer film forming composition of the present invention, and the above-mentioned According to the method for manufacturing a semiconductor device, which includes a step of forming a resist film on a silicon-containing resist underlayer film, highly accurate processing of a semiconductor substrate can be realized with good reproducibility, so that stable manufacturing of the semiconductor device can be achieved. You can expect it.
  • hydrolyzable condensate of the above-mentioned hydrolyzable silane polyorganosiloxane
  • a condensate having a weight average molecular weight of 1,000 to 1,000,000 or 1,000 to 100,000 can be obtained.
  • These molecular weights are the molecular weights obtained in terms of polystyrene by GPC analysis.
  • the measurement conditions for GPC are, for example, a GPC apparatus (trade name HLC-8220 GPC, manufactured by Toso Co., Ltd.), a GPC column (trade name Shodex® KF803L, KF802, KF801, manufactured by Showa Denko KK), and a column temperature of 40.
  • the temperature can be adjusted by using tetrahydrofuran as the eluent (eluting solvent), 1.0 mL / min as the flow rate (flow velocity), and polystyrene (manufactured by Showa Denko KK) as the standard sample.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,700 in terms of polystyrene.
  • Mw weight average molecular weight
  • the number attached next to the siloxane unit represents the molar ratio (total 100).
  • ⁇ Synthesis example 2> A 100 mL flask containing 29.2 g of tetraethoxysilane, 7.7 g of methyltriethoxysilane, 5.1 g of phenyltrimethoxysilane, 2.7 g of 3- (triethoxysilylpropyl) diallyl isocyanurate, and 67 g of 1-ethoxy-2-propanol. The obtained solution was stirred with a magnetic stirrer, and 28 g of a 0.2 mol / L nitrate aqueous solution was added dropwise thereto. After the dropping, the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using -ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,900 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure. A concentrated solution of a hydrolyzed condensate (polymer) using -ethoxy-2-propanol as a solvent was obtained. The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C. The obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,600 in terms of polystyrene.
  • Mw weight average molecular weight
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using -ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,800 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using -ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,700 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using -ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,000 in terms of polystyrene.
  • ⁇ Synthesis example 7> A 100 mL flask containing 14.6 g of tetraethoxysilane, 3.8 g of methyltriethoxysilane, 2.1 g of phenyltrimethoxysilane, 2.2 g of 3- (triethoxysilylpropyl) diallyl isocyanurate and 34 g of 1-ethoxy-2-propanol.
  • the obtained solution was stirred with a magnetic stirrer, and 14 g of a 0.2 mol / L nitrate aqueous solution was added dropwise thereto. After the dropping, the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure to obtain 1-.
  • a concentrated solution of a hydrolyzed condensate (polymer) using ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,800 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,100 in terms of polystyrene.
  • ⁇ Synthesis example 9 > 31.2 g of tetraethoxysilane, 6.4 g of vinyltrimethoxysilane, 4.3 g of phenyltrimethoxysilane, 0.7 g of [(3-triethoxysilyl) propyl] succinic anhydride and 64 g of 1-ethoxy-2-propanol.
  • the mixture was placed in a 100 mL flask and stirred, and 28 g of a 0.2 mol / L nitrate aqueous solution was added dropwise thereto while stirring the obtained solution with a magnetic stirrer. After the dropping, the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,900 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure to obtain 1-.
  • a concentrated solution of a hydrolyzed condensate (polymer) using ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,000 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and the reaction by-products methanol and ethanol are distilled off under reduced pressure to obtain 1-.
  • a concentrated solution of a hydrolyzed condensate (polymer) using ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,300 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,100 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,100 in terms of polystyrene.
  • the mixture was placed in a 100 mL flask and stirred, and 20 g of a 0.2 mol / L nitrate aqueous solution was added dropwise thereto while stirring the obtained solution with a magnetic stirrer. After the dropping, the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,300 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,500 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,300 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,200 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,000 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,000 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and ethanol, which is a reaction by-product, are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,300 in terms of polystyrene.
  • the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours. Then, the reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,800 in terms of polystyrene.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate, and the reaction by-products methanol and ethanol are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent The solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 1,900 in terms of polystyrene.
  • ⁇ Reference synthesis example> 20.8 g of tetraethoxysilane, 7.6 g of methyltriethoxysilane and 42 g of 1-ethoxy-2-propanol were placed in a 100 mL flask and stirred, and the obtained solution was stirred with a magnetic stirrer to 0. . 19 g of a 2 mol / L nitric acid aqueous solution was added dropwise. After the dropping, the flask was transferred to an oil bath adjusted to 65 ° C. and reacted for 16 hours.
  • reaction solution is cooled to room temperature, 100 g of 1-ethoxy-2-propanol is added to the reaction solution, and water and nitrate and ethanol, which is a reaction by-product, are distilled off from the reaction solution under reduced pressure.
  • a concentrated solution of a hydrolyzed condensate (polymer) using 1-ethoxy-2-propanol as a solvent was obtained.
  • the solid content concentration of the obtained concentrated liquid exceeded 20% by mass in terms of solid residue when heated at 150 ° C.
  • the obtained polysiloxane corresponded to the following formula, and the weight average molecular weight (Mw) by GPC was 2,700 in terms of polystyrene.
  • Examples 20 to 38 and Comparative Examples 2 to 4 Preparation of composition (coating liquid) for forming a silicon-containing resist underlayer film The hydrolyzed condensate obtained in Synthesis Examples 1 to 19 and Comparative Synthesis Examples 1 to 3. Various additives and solvents shown in Table 2 are mixed with the concentrated solution of (polymer) at the ratio shown in Table 2, and each mixture obtained is filtered through a fluororesin filter having a pore size of 0.1 ⁇ m to prepare a coating solution. Obtained. Each addition amount in Table 2 is shown by mass.
  • the addition ratio of the hydrolyzed condensate (polymer) in Table 2 shows the addition amount of the polymer itself, not the addition amount of the concentrated solution of the hydrolyzed condensate (polymer).
  • PGEE is 1-ethoxy-2-propanol (propylene glycol monoethyl ether)
  • PGMEA is 1-methoxy-2-propanol monoacetate (propylene glycol monomethyl ether acetate)
  • PGME is 1-methoxy-2.
  • -Propanol (propylene glycol monomethyl ether) means each.
  • MA means maleic acid
  • IMID means N- (3-triethoxysilylpropyl) -4,5-dihydroimidazole
  • TPSNO3 means triphenylsulfonium nitrate.
  • composition for forming an organic underlayer film Carbazole (6.69 g, 0.040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.), 9-fluorenone (7.28 g, 0. 040 mol, manufactured by Tokyo Chemical Industry Co., Ltd. and paratoluenesulfonic acid monohydrate (0.76 g, 0.0040 mol, manufactured by Tokyo Chemical Industry Co., Ltd.) are added, and 1,4-dioxane (6.69 g) is added thereto. , Kanto Chemical Co., Ltd. was added and stirred, and then the mixture was heated to 100 ° C. to dissolve the solid and initiate polymerization.
  • a resist film 120 nm
  • the NSR-S307E scanner manufactured by Nikon Co., Ltd. (wavelength: 193 nm, NA: 0.85, ⁇ : 0.85 / 0.93) was used to develop the photoresist line width and between the lines after the following development.
  • the mixture was heated after the exposure (110 ° C.
  • resist patterns were formed using the coating liquids obtained in Examples 21 to 38 and Comparative Examples 2 to 4, respectively.
  • the obtained photoresist pattern was evaluated by confirming the pattern shape by observing the pattern cross section, and those in which pattern collapse (significant pattern peeling, undercut, and line bottom thickening (footing)) did not occur were "good". , The one in which the pattern collapse occurred was evaluated as "defective”.
  • Table 3 the example number of the resist underlayer film forming composition used will also be treated as an example number of various evaluations performed using the composition.
  • Example 20 Evaluation of siloxane Bond Strength Ratio by FT-IR
  • the coating liquid obtained in Example 20 was spin-coated on a silicon wafer and heated on a hot plate at 215 ° C. for 1 minute to form a silicon-containing resist underlayer.
  • a film (B layer) 120 nm was formed.
  • the silicon-containing resist underlayer film was formed by using the coating liquids obtained in Examples 21 to 38 and Comparative Example 2, respectively.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Materials For Photolithography (AREA)

Abstract

【課題】半導体基板等の加工工程において、従来のドライエッチングによる方法だけでなく、希フッ酸、バッファードフッ酸およびアルカリ性薬液などの薬液を用いたウェットエッチングによる方法で剥離可能なレジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物を提供すること、また保存安定性に優れ、ドライエッチング工程における残渣の少ないレジスト下層膜を形成するためのリソグラフィー用レジスト下層膜形成用組成物を提供すること。 【解決手段】式(1)で表される加水分解性シランとアルキルトリアルコキシシランとを含む加水分解性シラン混合物の加水分解縮合物を含むレジスト下層膜形成用組成物であって、上記加水分解性シラン混合物におけるアルキルトリアルコキシシランの含有量は、上記加水分解性シラン混合物に含まれる全加水分解性シランの全モル数に基づいて、0モル%以上40モル%未満である、レジスト下層膜形成用組成物。(式(1)中、Rは、ケイ素原子に結合する基であって、コハク酸無水物骨格、ビニル基、フェニル基及びイソシアヌル酸骨格からなる群から選択される少なくとも1種の基又は骨格を含む有機基を表し、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基等を表し、Rは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)

Description

レジスト下層膜形成用組成物
 本発明は、レジスト下層膜形成用組成物に関し、微細パターニングにおいて、低ラフネスのパターンを形成でき、半導体基板やパターニング工程で必要な塗布型有機下層膜や炭素を主成分とするCVD膜に対してダメージを与えない剥離液で容易に剥離可能であり、かつドライエッチング後も剥離性を維持できるシリコン含有膜を形成できる、シリコン含有レジスト下層膜形成用組成物を提供する。
 従来から半導体装置の製造において、フォトレジストを用いたリソグラフィーによる微細加工が行われている。上記微細加工はシリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたフォトレジストパターンを保護膜として基板をエッチング処理することにより、基板表面に、上記パターンに対応する微細凹凸を形成する加工法である。
 近年、半導体デバイスの高集積度化が進み、使用される活性光線もKrFエキシマレーザー(248nm)からArFエキシマレーザー(193nm)へと短波長化される傾向にある。活性光線の短波長化に伴い、活性光線の半導体基板からの反射の影響が大きな問題となる中、フォトレジストと被加工基板の間に反射防止膜(BottomAnti-ReflectiveCoating、BARC)と呼ばれるレジスト下層膜を設ける方法が広く適用されるようになってきた。
 上記の半導体基板とフォトレジストとの間の下層膜として、シリコンやチタン等の金属元素を含むハードマスクとして知られる膜を使用することが行なわれている。この場合、レジストとハードマスクでは、その構成成分に大きな違いが有るため、それらのドライエッチングによって除去される速度は、ドライエッチングに使用されるガス種に大きく依存する。そして、ガス種を適切に選択することにより、フォトレジストの膜厚の大きな減少を伴うことなく、ハードマスクをドライエッチングによって除去することが可能となる。このように、近年の半導体装置の製造においては、反射防止効果を初め、さまざまな効果を達成するために、半導体基板とフォトレジストの間にレジスト下層膜が配置されるようになってきている。
 これまでもレジスト下層膜用の組成物の検討が行なわれてきているが、その要求される特性の多様性などから、レジスト下層膜用の新たな材料の開発が望まれている。例えばウェットエッチング可能な膜形成を課題とした、特定のケイ酸を骨格とする構造を含む塗布型のBPSG(ホウ素リンガラス)膜形成用組成物(特許文献1)や、リソグラフィー後のマスク残渣の薬液除去を課題とした、カルボニル構造を含有するシリコン含有レジスト下層膜形成用組成物(特許文献2)が開示されている。
特開2016-74774号公報 国際公開第2018/181989号
 最先端の半導体デバイスにおいて、インプラントレイヤーの微細化により、多層プロセスが多用される中、通常、多層プロセスでは下層への転写が上述のドライエッチングにより行われ、最終的に基板の加工や、基板加工後のマスクの残渣、例えば、レジスト膜やレジスト下層膜を含む下層膜の除去もドライエッチングや灰化処理で行われることがある。しかし、ドライエッチングや灰化処理は基板へのダメージが少なく無く、その改善が求められている。
 本発明は、上記事情に鑑みてなされたものであって、半導体基板等の加工工程において、従来のドライエッチングによる方法だけでなく、希フッ酸、バッファードフッ酸、アルカリ性薬液(塩基性薬液)などの薬液を用いたウェットエッチングによる方法でも剥離可能なレジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物を提供すること、また保存安定性に優れ、ドライエッチング工程における残渣の少ないレジスト下層膜を形成するためのシリコン含有レジスト下層膜形成用組成物を提供することを目的とする。
 本発明者らは上記課題を解決するため鋭意検討を行った結果、コハク酸無水物骨格等の特定構造を有する加水分解性シランから得られる加水分解縮合物(ポリシロキサン)を含む組成物から得られる膜が、アルカリ性溶液等の薬液で容易に除去可能であること、また、上記加水分解縮合物におけるアルキルトリアルコキシシラン由来の構造を制御することにより、上記膜のドライエッチングによる残渣除去性を高めることを見出し、本発明を完成した。
 すなわち、本発明は第1観点として、式(1)で表される加水分解性シランとアルキルトリアルコキシシランとを含む加水分解性シラン混合物の加水分解縮合物を含むレジスト下層膜形成用組成物であって、
上記加水分解性シラン混合物におけるアルキルトリアルコキシシランの含有量は、上記加水分解性シラン混合物に含まれる全加水分解性シランの全モル数に基づいて、0モル%以上40モル%未満である、レジスト下層膜形成用組成物に関する。
Figure JPOXMLDOC01-appb-C000005
(式(1)中、
は、ケイ素原子に結合する基であって、コハク酸無水物骨格、アルケニル基、アリール基及び下記式(1-2)で表される基からなる群から選択される少なくとも1種の基又は骨格を含む有機基を表し、
Figure JPOXMLDOC01-appb-C000006
〔式(1-2)中、
101は、下記式(1-3)乃至式(1-5)で表される基のいずれかを表すとともに、下記式(1-4)及び式(1-5)におけるケトン基の炭素原子は、式(1-2)におけるR102が結合する窒素原子と結合し
Figure JPOXMLDOC01-appb-C000007
(式(1-3)乃至式(1-5)中、R103乃至R107は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表す。)、
101は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表し、
102は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(-S-)、エーテル結合(-O-)又はエステル結合(-C(=O)-O-又は-O-C(=O)-)を表す。〕
は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。)
 第2観点として、上記Rは、ケイ素原子に結合する基であって、コハク酸無水物骨格、ビニル基、フェニル基及びイソシアヌル酸骨格からなる群から選択される少なくとも1種の基又は骨格を含む有機基を表す、第1観点に記載のレジスト下層膜形成用組成物に関する。
 第3観点として、上記加水分解性シラン混合物が、下記式(2)で表される加水分解性シランを更に含む、第1観点又は第2観点に記載のレジスト下層膜形成用組成物に関する。
Figure JPOXMLDOC01-appb-C000008
(式(2)中、
は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
cは、0~3の整数を表す。) 
 第4観点として、上記加水分解性シラン混合物における上記式(1)で表される化合物の含有量は、上記加水分解性シラン混合物に含まれる全加水分解性シランの全モル数に基づいて、5モル%以上である、第1観点乃至第3観点のうちいずれか1つに記載のレジスト下層膜形成用組成物に関する。
 第5観点として、式(1)で表される化合物として、Rがコハク酸無水物骨格を含む有機基を表す化合物を含む、第4観点に記載のレジスト下層膜形成用組成物に関する。
 第6観点として、上記加水分解性シラン混合物における、Rがコハク酸無水物骨格を含む有機基を表す式(1)で表される化合物の含有量は、上記加水分解性シラン混合物に含まれる全加水分解性シランの全モル数に基づいて、1モル%以上である、第5観点に記載のレジスト下層膜形成用組成物に関する。
 第7観点として、pHが2~5の組成物である、第1観点乃至第5観点のうち何れか1つに記載のレジスト下層膜形成用組成物に関する。
 第8観点として、半導体基板上に有機下層膜を形成する工程、
上記有機下層膜の上に、第1観点乃至第7観点のうち何れか1つに記載のレジスト下層膜形成用組成物を塗布し、焼成して、シリコン含有レジスト下層膜を形成する工程、
上記シリコン含有レジスト下層膜の上に、レジスト膜形成用組成物を塗布し、レジスト膜を形成する工程、
上記レジスト膜を露光、現像し、レジストパターンを得る工程、
レジストパターンをマスクに用い、上記シリコン含有レジスト下層膜をエッチングする工程、
パターン化された上記シリコン含有レジスト下層膜をマスクとして用い、上記有機下層膜をエッチングする工程を含む、
パターン形成方法に関する。
 第9観点として、上記有機下層膜をエッチングする工程の後に、薬液を用いた湿式法によりシリコン含有レジスト下層膜を除去する工程を更に含む、第8観点に記載のパターン形成方法に関する。
 第10観点として、上記薬液が、塩基性薬液である、第9観点に記載のパターン形成方法に関する。
 本発明にあっては、加水分解性シランとしてコハク酸無水物骨格や、ビニル基等のアルケニル基、フェニル基等のアリール基、又はイソシアヌル酸骨格を含む特定構造のシラン化合物を用いて得られる加水分解縮合物を、レジスト下層膜形成用組成物の一成分とすることにより、該組成物より形成される膜において、シリコン系の膜であっても、湿式法による除去性を高めることができる。中でも、加水分解性シランとしてコハク酸無水物骨格を含むシラン化合物を用いて得られる加水分解縮合物を一成分とすることにより、湿式法による除去性をより高めることができる。
 そのため、本発明のレジスト下層膜形成用組成物を用いて、フォトレジスト膜等を用いたパターン形成や半導体基板等の加工を行う際、加工後のマスクの残渣の除去、例えば、レジスト膜やレジスト下層膜を含む下層膜の除去を行う場合に、薬液による容易な除去が可能となり、基板ダメージの少ない半導体デバイスを製造することが可能となる。
 また本発明によれば、上記加水分解縮合物において、アルキルトリアルコキシシラン由来の構造割合を制御することにより、該縮合物を含む組成物から形成される膜をドライエッチングした際、エッチングによる残渣除去性を高めることができる。
 更に本発明によれば、該レジスト下層膜形成用組成物のpHを調製すること、例えば加水分解縮合物の製造時に加水分解触媒として硝酸を用いるなどして、組成物のpHを特定範囲とすることにより、保存安定性に優れる、加水分解縮合物を含む前駆溶液を実現することができ、ひいては保存安定性に優れるレジスト下層膜形成用組成物とすることができる。
 本発明は、湿式法により剥離可能なシリコン含有レジスト下層膜を形成する組成物を対象とし、特定構造の加水分解性シランを含む加水分解性シラン混合物を加水分解縮合して得られる生成物(加水分解縮合物)を含むことを特徴とする。
[加水分解性シラン混合物の加水分解縮合物]
 本発明のレジスト下層膜形成用組成物は、加水分解性シラン混合物の加水分解縮合物を含む。
 ここで、本発明において、加水分解縮合物には、縮合が完全に完了した縮合物であるポリオルガノシロキサンポリマーだけでなく、縮合が完全に完了しない部分加水分解縮合物であるポリオルガノシロキサンポリマーも包含される。このような部分加水分解縮合物も、縮合が完全に完了した縮合物と同様、加水分解性シラン化合物の加水分解及び縮合によって得られたポリマーであるが、部分的に加水分解で止まり、縮合しておらず、それ故、Si-OH基が残存しているものである。また、本発明のレジスト下層膜形成用組成物は、加水分解縮合物の他に、未縮合の加水分解物(完全加水分解物、部分加水分解物)や、モノマー(加水分解性シラン化合物)が残存していてもよい。
 なお、本明細書において、「加水分解性シラン」を単に「シラン化合物」とも称することがある。また、後述するように、上記加水分解性シラン混合物は、下記式(1)で表される加水分解性シランを含み、所望により、テトラアルコキシシランや、アルキルトリアルコキシシラン等のその他の加水分解性シランを含み得るものである。ただし、その他のシラン化合物としてアルキルトリアルコキシシランを含む場合、その含有量は、上記加水分解性シラン混合物中のシラン化合物の全モル数(100モル%)に基づいて、40モル%未満であり、すなわち該混合物中のアルキルトリアルコキシシランの割合は0モル%以上40モル%未満である。アルキルトリアルコキシシランの割合を制御することにより、エッチング残渣の除去率を制御できるとの知見は、本発明者らによって初めて見いだされたものである。
〔式(1)で表されるシラン化合物(加水分解性シラン)〕
 本発明のレジスト下層膜形成用組成物に使用する加水分解縮合物は、下記式(1)で表されるシラン化合物を含む加水分解性シラン混合物の、加水分解縮合の生成物とすることができる。
Figure JPOXMLDOC01-appb-C000009
 Rは、ケイ素原子に結合する基であって、コハク酸無水物骨格、アルケニル基、アリール基及び後述する式(1-2)で表される基からなる群から選択される少なくとも1種の基又は骨格を含む有機基を表す。
 上記Rの有機基として、上記の骨格または基を含む有機基である限り特に限定されるものではない。
 また、Rは、複数種及び/又は複数個のアルケニル基、アリール基、そして式(1-2)で表される基を含む基であってもよく、その場合、上記基又は骨格は、同一種の又は別種の上記基又は骨格で置換されたものとなっていてもよい。
 なお、コハク酸無水物骨格、アルケニル基、アリール基及び式(1-2)で表される基を含む基として、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基における水素原子が上記基又は骨格で置換された基や、後述するオニウム塩を含む化合物等に挙げるオニウム塩構造(例えば式(I-1)~式(I-50)、式(II-1)~式(II-30)、式(III-1)~式(III-28)として挙げる化合物等を参照)、アリール基を含む基として後述するスルホン基やスルホンアミド基を含む基(式(B-1)~式(B-36)として挙げる化合物等を参照)は除外される。
 例えば、コハク酸無水物骨格、アルケニル基、アリール基及び式(1-2)で表される基は、該骨格自体又は該基自体だけでなく、また特にアルキル基における1以上の水素原子がコハク酸無水物骨格、アルケニル基、アリール基及び式(1-2)で表される基からなる群から選択される少なくとも1種で置換された有機基を挙げることができる。
 上記コハク酸無水物骨格等によって水素原子が置換されるアルキル基は特に限定されるものではなく、直鎖状、分岐鎖状、環状のいずれであってもよく、その炭素原子数は、通常40以下、例えば30以下、より例えば20以下、また10以下とすることができる。
 上記直鎖状又は分岐鎖状アルキル基の具体例としては、メチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基、1-エチル-2-メチル-n-プロピル基等が挙げられるが、これらに限定されない。
 また上記環状アルキル基の具体例としては、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル、2-エチル-3-メチル-シクロプロピル基等のシクロアルキル基、ビシクロブチル基、ビシクロペンチル基、ビシクロヘキシル基、ビシクロヘプチル基、ビシクロオクチル基、ビシクロノニル基、ビシクロデシル基等のビシクロアルキル基等が挙げられるが、これらに限定されない。
 また、上記Rにおけるアルケニル基としては、置換されていてもよいアルケニル基を挙げることができ、例えば炭素原子数2乃至10のアルケニル基が挙げられる。より具体的には、エテニル基(ビニル基)、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
 上記Rにおけるアリール基としては、置換されていてもよいアリール基を挙げることができ、例えば炭素原子数6乃至20のアリール基が挙げられる。より具体的には、フェニル基、o-メチルフェニル基、m-メチルフェニル基、p-メチルフェニル基、o-クロルフェニル基、m-クロルフェニル基、p-クロルフェニル基、o-フルオロフェニル基、p-メルカプトフェニル基、o-メトキシフェニル基、p-メトキシフェニル基、p-アミノフェニル基、p-シアノフェニル基、α-ナフチル基、β-ナフチル基、o-ビフェニリル基、m-ビフェニリル基、p-ビフェニリル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基及び9-フェナントリル基等が挙げられる。
 また、上記アリール基を含む基としては、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアルキルキ等を挙げることができる。
 上記アラルキル基は、アリール基により置換されたアルキル基であり、このようなアリール基及びアルキル基の具体例としては、上述したものと同じものが挙げられる。
 アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキル基の具体例としては、例えばフェニルメチル基(ベンジル基)、2-フェニルエチレン基、3-フェニル-n-プロピル基、4-フェニル-n-ブチル基、5-フェニル-n-ペンチル基、6-フェニル-n-ヘキシル基、7-フェニル-n-ヘプチル基、8-フェニル-n-オクチル基、9-フェニル-n-ノニル基、10-フェニル-n-デシル基等を挙げることができるが、これらに限定されない。
 上記ハロゲン化アリール基は、ハロゲン原子により置換されたアリール基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。
 上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 ハロゲン化アリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アリール基の具体例としては、2-フルオロフェニル基、3-フルオロフェニル基、4-フルオロフェニル基、2,3-ジフルオロフェニル基、2,4-ジフルオロフェニル基、2,5-ジフルオロフェニル基、2,6-ジフルオロフェニル基、3,4-ジフルオロフェニル基、3,5-ジフルオロフェニル基、2,3,4-トリフルオロフェニル基、2,3,5-トリフルオロフェニル基、2,3,6-トリフルオロフェニル基、2,4,5-トリフルオロフェニル基、2,4,6-トリフルオロフェニル基、3,4,5-トリフルオロフェニル基、2,3,4,5-テトラフルオロフェニル基、2,3,4,6-テトラフルオロフェニル基、2,3,5,6-テトラフルオロフェニル基、ペンタフルオロフェニル基、2-フルオロ-1-ナフチル基、3-フルオロ-1-ナフチル基、4-フルオロ-1-ナフチル基、6-フルオロ-1-ナフチル基、7-フルオロ-1-ナフチル基、8-フルオロ-1-ナフチル基、4,5-ジフルオロ-1-ナフチル基、5,7-ジフルオロ-1-ナフチル基、5,8-ジフルオロ-1-ナフチル基、5,6,7,8-テトラフルオロ-1-ナフチル基、ヘプタフルオロ-1-ナフチル基、1-フルオロ-2-ナフチル基、5-フルオロ-2-ナフチル基、6-フルオロ-2-ナフチル基、7-フルオロ-2-ナフチル基、5,7-ジフルオロ-2-ナフチル基、ヘプタフルオロ-2-ナフチル基等が挙げられるが、これらに限定されない。
 上記ハロゲン化アラルキル基は、ハロゲン原子により置換されたアラルキル基であり、このようなアラルキル基及びハロゲン原子の具体例としては、上述したものと同じものが挙げられる。
 ハロゲン化アラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アラルキル基の具体例としては、2-フルオロベンジル基、3-フルオロベンジル基、4-フルオロベンジル基、2,3-ジフルオロベンジル基、2,4-ジフルオロベンジル基、2,5-ジフルオロベンジル基、2,6-ジフルオロベンジル基、3,4-ジフルオロベンジル基、3,5-ジフルオロベンジル基、2,3,4-トリフルオロベンジル基、2,3,5-トリフルオロベンジル基、2,3,6-トリフルオロベンジル基、2,4,5-トリフルオロベンジル基、2,4,6-トリフルオロベンジル基、2,3,4,5-テトラフルオロベンジル基、2,3,4,6-テトラフルオロベンジル基、2,3,5,6-テトラフルオロベンジル基、2,3,4,5,6-ペンタフルオロベンジル基等が挙げられるが、これらに限定されない。
 上記アルコキシアリール基は、アルコキシ基により置換されたアリール基であり、このようなアリール基の具体例としては、上述したものと同じものが挙げられる。
 上記アルコキシ基としては、炭素原子数1乃至20の直鎖、分岐、環状のアルキル部分を有するアルコキシ基が挙げられる。直鎖又は分岐を有するアルコキシ基としては、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が挙げられる。また環状のアルコキシ基としては、例えばシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられるが、これらに限定されるものではない。
 アルコキシアリール基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアリール基の具体例としては、例えば、2-メトキシフェニル基、3-メトキシフェニル基、4-メトキシフェニル基、2-(1-エトキシ)フェニル基、3-(1-エトキシ)フェニル基、4-(1-エトキシ)フェニル基、2-(2-エトキシ)フェニル基、3-(2-エトキシ)フェニル基、4-(2-エトキシ)フェニル基、2-メトキシナフタレン-1-イル基、3-メトキシナフタレン-1-イル基、4-メトキシナフタレン-1-イル基、5-メトキシナフタレン-1-イル基、6-メトキシナフタレン-1-イル基、7-メトキシナフタレン-1-イル基等が挙げられるが、これらに限定されない。
 上記アルコキシアラルキル基は、アルコキシ基により置換されたアラルキル基であり、このようなアルコキシ基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアラルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アルコキシアラルキル基の具体例としては、3-(メトキシフェニル)ベンジル基、4-(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
 また、下記式(1-2)で表される基:
Figure JPOXMLDOC01-appb-C000010
において、X101は、互いに独立して、下記式(1-3)乃至式(1-5)のいずれかを表すとともに、下記式(1-4)及び式(1-5)におけるケトン基の炭素原子は、式(1-2)におけるR102が結合する窒素原子と結合する。
Figure JPOXMLDOC01-appb-C000011
 式(1-3)乃至式(1-5)中、R103乃至R107は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表し、置換されていてもよいアルキル基、置換されていてもよいアルケニル基の具体例及び好適な炭素原子数等は、Rに関し、コハク酸無水物骨格等によって水素原子が置換されるアルキル基として挙げたアルキル基や、またアルケニル基として上述したものと同じものが挙げられる。
 また、エポキシ基を含む有機基としては、グリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられるが、これらに限定されない。
 スルホニル基を含む有機基としては、例えばスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 上記式(1-2)中、R101は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表し、R102は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(-S-)、エーテル結合(-O-)又はエステル結合(-C(=O)-O-又は-O-C(=O)-)を表す。
 ここで、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、エポキシ基若しくはエポキシ基を含む有機基の具体例、好適な炭素原子数等は、R103乃至R107に関して上述したものと同じものが挙げられる。これらの他、置換されていてもアルキル基としては、末端の水素原子がビニル基で置換されたアルキル基が好ましく、その具体例としては、アリル基、2-ビニルエチル基、3-ビニルプロピル基、4-ビニルブチル基等が挙げられる。
 上記アルキレン基は、上記アルキル基の水素原子を更に一つ取り除いて誘導される2価の基であり、直鎖状、分岐鎖状、環状のいずれでもよく、このようなアルキレン基の具体例としては、上述したものと同じものが挙げられる。アルキレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 また、R102のアルキレン基は、スルフィド結合、エーテル結合及びエステル結合から選ばれる1種又は2種以上を、その末端又は途中、好ましくは途中に有していてもよい。
 アルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、メチルエチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基、1,2-シクロプロピパンジイル基、1,2-シクロブタンジイル、1,3-シクロブチタンジイル基、1,2-シクロヘキサンジイル、1,3-シクロヘキサンジイル等の環状アルキレン等、-CHOCH-、-CHCHOCH-、-CHCHOCHCH-、-CHCHCHOCHCH-、-CHCHOCHCHCH-、-CHCHCHOCHCHCH-、-CHSCH-、-CHCHSCH-、-CHCHSCHCH-、-CHCHCHSCHCH-、-CHCHSCHCHCH-、-CHCHCHSCHCHCH-、-CHOCHCHSCH-等のエーテル基等を含むアルキレン基が挙げられるが、これらに限定されない。
 ヒドロキシアルキレン基は、上記アルキレン基の水素原子の少なくとも1つが、ヒドロキシ基に置き換わったものであり、その具体例としては、ヒドロキシメチレン基、1-ヒドロキシエチレン基、2-ヒドロキシエチレン基、1,2-ジヒドロキシエチレン基、1-ヒドロキシトリメチレン基、2-ヒドロキシトリメチレン基、3-ヒドロキシトリメチレン基、1-ヒドロキシテトラメチレン基、2-ヒドロキシテトラメチレン基、3-ヒドロキシテトラメチレン基、4-ヒドロキシテトラメチレン基、1,2-ジヒドロキシテトラメチレン基、1,3-ジヒドロキシテトラメチレン基、1,4-ジヒドロキシテトラメチレン基、2,3-ジヒドロキシテトラメチレン基、2,4-ジヒドロキシテトラメチレン基、4,4-ジヒドロキシテトラメチレン基等が挙げられるが、これらに限定されない。
 上記の中でも、Rとしては、コハク酸無水物骨格、ビニル基、フェニル基、及びイソシアヌル酸骨格(式(1-2)中、X101が式(1-5)で表される基を表す)からなる群から選択される少なくとも1種を含む基であることが好ましい。
 式(1)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 なお本発明において、アクリロイル基に含まれるCH=CH-構造は、Rの定義中のビニル基とは区別される。
 上記アルキル基として、例えば直鎖又は分枝を有する炭素原子数1乃至10のアルキル基が挙げられ、例えばメチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル基、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基及び1-エチル-2-メチル-n-プロピル基等が挙げられる。
 また環状アルキル基を用いることもでき、例えば炭素原子数3乃至10の環状アルキル基として、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等が挙げられる。
 ハロゲン化アルキル基は、ハロゲン原子により置換されたアルキル基を指す。
 上記ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられ、またアルキル基の具体例としては上述したものと同じものが挙げられる。
 ハロゲン化アルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 ハロゲン化アルキル基の具体例としては、モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、ブロモジフルオロメチル基、2-クロロエチル基、2-ブロモエチル基、1,1-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、2-クロロ-1,1,2-トリフルオロエチル基、ペンタフルオロエチル基、3-ブロモプロピル基、2,2,3,3-テトラフルオロプロピル基、1,1,2,3,3,3-ヘキサフルオロプロピル基、1,1,1,3,3,3-ヘキサフルオロプロパン-2-イル基、3-ブロモ-2-メチルプロピル基、4-ブロモブチル基、パーフルオロペンチル基等が挙げられるが、これらに限定されない。
 アルコキシアルキル基は、アルコキシ基により置換されたアルキル基をいう。このようなアルキル基及びアルコキシ基の具体例としては、上述したものと同じものが挙げられる。
 アルコキシアルキル基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 アルコキシアルキル基の具体例としては、メトキシメチル基、エトキシメチル基、1-エトキシエチル基、2-エトキシエチル基、エトキシメチル基等の低級アルキルオキシ低級アルキル基等が挙げられるが、これらに限定されない。
 上記アルキル基、ハロゲン化アルキル基、若しくはアルコキシアルキル基における置換基としては、例えば、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アリールオキシ基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基等が挙げられ、これらのうち、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基の具体例及びそれらの好適な炭素原子数としては、上述のものと同じものが挙げられる。
 また上記置換基において挙げたアリールオキシ基は、アリール基が酸素原子(-O-)を介して結合する基であり、このようなアリール基の具体例としては上述したものと同じものが挙げられる。上記アリールオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下であり、その具体例としては、フェノキシ基、ナフタレン-2-イルオキシ基等が挙げられるが、これらに限定されない。
 また、置換基が2以上存在する場合、置換基同士が結合して環を形成してもよい。
 上記エポキシ基を含む有機基としては、上述のグリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられるがこれらに限定されない。
 上記アクリロイル基を含む有機基としては、アクリロイルメチル基、アクリロイルエチル基、アクリロイルプロピル基等が挙げられるがこれらに限定されない。
 上記メタクリロイル基を含む有機基としては、メタクリロイルメチル基、メタクリロイルエチル基、メタクリロイルプロピル基等が挙げられるがこれらに限定されない。
 上記メルカプト基を含む有機基としては、エチルメルカプト基、ブチルメルカプト基、ヘキシルメルカプト基、オクチルメルカプト基等が挙げられるがこれらに限定されない。
 アミノ基を含む有機基としては、アミノ基、アミノメチル基、アミノエチル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。
 アルコキシ基を含む有機基としては、例えばメトキシメチル基、メトキシエチル基が挙げられるがこれらに限定されない。ただし、アルコキシ基が直接ケイ素原子に結合する基は除かれる。
 スルホニル基を含む有機基としては、上述のスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 シアノ基を含む有機基としては、例えばシアノエチル基、シアノプロピル基等が挙げられるが、これらに限定されない。
 式(1)中、Rは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表す。上記アルコキシ基、ハロゲン原子としては、上述したものと同じものが挙げられる。
 アラルキルオキシ基は、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される基であり、このようなアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アラルキルオキシ基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2-フェニルエチレンオキシ基、3-フェニル-n-プロピルオキシ基、4-フェニル-n-ブチルオキシ基、5-フェニル-n-ペンチルオキシ基、6-フェニル-n-ヘキシルオキシ基、7-フェニル-n-ヘプチルオキシ基、8-フェニル-n-オクチルオキシ基、9-フェニル-n-ノニルオキシ基、10-フェニル-n-デシルオキシ基等が挙げられるが、これらに限定されない。
 アシルオキシ基は、カルボン酸化合物のカルボン酸基から水素原子を取り除いて誘導される基であり、典型的には、アルキルカルボン酸、アリールカルボン酸又はアラルキルカルボン酸のカルボン酸基から水素原子を取り除いて誘導されるアルキルカルボニルオキシ基、アリールカルボニルオキシ基又はアラルキルカルボニルオキシ基が挙げられるが、これらに限定されない。このようなアルキルカルボン酸、アリールカルボン酸及びアラルキルカルボン酸におけるアルキル基、アリール基及びアラルキル基の具体例としては、上述したものと同じものが挙げられる。
 アシルオキシ基の具体例としては、炭素原子数2乃至20のアシルオキシ基が挙げられる。例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられるが、これらに限定されない。
 上記式(1)中、aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。
 bは好ましくは0又は1を表し、より好ましくは0である。
 上記式(1)で表される化合物の具体例としては、例えば:[(3-トリメトキシシリル)プロピル]コハク酸無水物、[(3-トリエトキシシリル)プロピル]コハク酸無水物、[(3-トリメトキシシリル)エチル]コハク酸無水物、[(3-トリメトキシシリル)ブチル]コハク酸無水物等のコハク酸無水物骨格を含むシラン化合物;ビニルトリメトキシシラン、ビニルトリエトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシラン、メチルビニルジクロロシラン、メチルビニルジアセトキシシラン、ジメチルビニルメトキシシラン、ジメチルビニルエトキシシラン、ジメチルビニルクロロシラン、ジメチルビニルアセトキシシラン、ジビニルジメトキシシラン、ジビニルジエトキシシラン、ジビニルジクロロシラン、ジビニルジアセトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、アリルトリクロロシラン、アリルトリアセトキシシシラン、アリルメチルジメトキシシラン、アリルメチルジエトキシシラン、アリルメチルジクロロシラン、アリルメチルジアセトキシシラン、アリルジメチルメトキシシラン、アリルジメチルエトキシシラン、アリルジメチルクロロシラン、アリルジメチルアセトキシシラン、ジアリルジメトキシシラン、ジアリルジエトキシシラン、ジアリルジクロロシラン、ジアリルジアセトキシシラン、3-アリルアミノプロピルトリメトキシシラン、3-アリルアミノプロピルトリエトキシシラン、p-スチリルトリメトキシシラン等のアルケニル基(ビニル基)を含むシラン化合物;フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリクロロシラン、フェニルトリアセトキシシラン、フェニルメチルジメトキシシラン、フェニルメチルジエトキシシラン、フェニルメチルジクロロシラン、フェニルメチルジアセトキシシラン、フェニルジメチルメトキシシラン、フェニルジメチルエトキシシラン、フェニルジメチルクロロシラン、フェニルジメチルアセトキシシラン、ジフェニルメチルメトキシシラン、ジフェニルメチルエトキシシラン、ジフェニルメチルクロロシラン、ジフェニルメチルアセトキシシラン、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジクロロシラン、ジフェニルジアセトキシシラン、トリフェニルメトキシシシラン、トリフェニルエトキシシラン、トリフェニルアセトキシシラン、トリフェニルクロロシラン、3-フェニルアミノプロピルトリメトキシシラン、3-フェニルアミノプロピルトリエトキシシラン、ジメトキシメチル-3-(3-フェノキシプロピルチオプロピル)シラン、ベンジルトリメトキシシラン、ベンジルトリエトキシシラン、ベンジルメチルジメトキシシラン、ベンジルメチルジエトキシシラン、ベンジルジメチルメトキシシラン、ベンジルジメチルエトキシシラン、ベンジルジメチルクロロシラン、フェネチルトリメトキシシラン、フェネチルトリエトキシシラン、フェネチルトリクロロシラン、フェネチルトリアセトキシシラン、フェネチルメチルジメトキシシラン、フェネチルメチルジエトキシシラン、フェネチルメチルジクロロシラン、フェネチルメチルジアセトキシシラン等のフェニル基を含むシラン化合物;メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、i-プロポキシフェニルトリメトキシシラン、i-プロポキシフェニルトリエトキシシラン、i-プロポキシフェニルトリアセトキシシラン、i-プロポキシフェニルトリクロロシラン、i-プロポキシベンジルトリメトキシシラン、i-プロポキシベンジルトリエトキシシラン、i-プロポキシベンジルトリアセトキシシラン、i-プロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン等の置換されたアリール基を含むシラン化合物;などが挙げられる。
 また、上記式(1)で表されるシラン化合物の具体例として、該式中のRが上記式(1-2)で表される基を含む有機基であるシラン化合物は、市販品を用いてもよく、国際公開第2011/102470号等に記載の公知方法で合成することもできる。
 以下、上記式(1-2)で表される基を含む有機基を含むシラン化合物の具体例として式(1-2-1)~式(1-2-29)で表される化合物を挙げるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000012
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000014
 さらに、上記式(1)で表されるシラン化合物として、式(A-1)~(A-41)で表されるアリール基含有シラン化合物等も挙げることができる。
Figure JPOXMLDOC01-appb-C000015
Figure JPOXMLDOC01-appb-C000016
Figure JPOXMLDOC01-appb-C000017
〔その他のシラン化合物(加水分解性シラン)〕
 本発明においては、膜密度等の膜物性の調整等を目的として、上記加水分解性シラン混合物において、上記式(1)で表されるシラン化合物とともに、下記式(2)で表されるシラン化合物、さらには、下記式(3)で表されるシラン化合物から選ばれる少なくとも1種(その他の加水分解性シラン)を使用することができる。これらその他の加水分解性シランの中でも、式(2)で表されるシラン化合物が好ましい。
Figure JPOXMLDOC01-appb-C000018
 式(2)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 そしてcは、0~3の整数を表す。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び炭素原子数を挙げることができる。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び原子並びに炭素原子数を挙げることができる。
 またcは好ましくは0又は1を表し、より好ましくは0である。
Figure JPOXMLDOC01-appb-C000019
 式(3)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 Yは、ケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表す。
 そして、dは、0又は1の整数を表し、eは、0又は1の整数を表す。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び炭素原子数を挙げることができる。
 上記Rにおける各基の具体例、及びそれらの好適な炭素原子数としては、Rについて上述した基及び原子並びに炭素原子数を挙げることができる。
 また上記Yにおけるアルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基等のアルキレン基、メタントリイル基、エタン-1,1,2-トリイル基、エタン-1,2,2-トリイル基、エタン-2,2,2-トリイル基、プロパン-1,1,1-トリイル基、プロパン-1,1,2-トリイル基、プロパン-1,2,3-トリイル基、プロパン-1,2,2-トリイル基、プロパン-1,1,3-トリイル基、ブタン-1,1,1-トリイル基、ブタン-1,1,2-トリイル基、ブタン-1,1,3-トリイル基、ブタン-1,2,3-トリイル基、ブタン-1,2,4-トリイル基、ブタン-1,2,2-トリイル基、ブタン-2,2,3-トリイル基、2-メチルプロパン-1,1,1-トリイル基、2-メチルプロパン-1,1,2-トリイル基、2-メチルプロパン-1,1,3-トリイル基のアルカントリイル基等が挙げられるが、これらに限定されない。
 またアリーレン基の具体例としては、1,2-フェニレン基、1,3-フェニレン基、1,4-フェニレン基;1,5-ナフタレンジイル基、1,8-ナフタレンジイル基、2,6-ナフタレンジイル基、2,7-ナフタレンジイル基、1,2-アントラセンジイル基、1,3-アントラセンジイル基、1,4-アントラセンジイル基、1,5-アントラセンジイル基、1,6-アントラセンジイル基、1,7-アントラセンジイル基、1,8-アントラセンジイル基、2,3-アントラセンジイル基、2,6-アントラセンジイル基、2,7-アントラセンジイル基、2,9-アントラセンジイル基、2,10-アントラセンジイル基、9,10-アントラセンジイル基等の縮合環芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基;4,4’-ビフェニルジイル基、4,4”-パラテルフェニルジイル基の環連結芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基等が挙げられるが、これらに限定されない。
 またdは好ましくは0又は1を表し、より好ましくは0である。
 さらにeは好ましくは1である。
 式(2)で表される加水分解性シランの具体例としては、テトラメトキシシラン、テトラクロロシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラn-プロポキシシラン、テトラi-プロポキシシラン、テトラn-ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、α-グリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3,3,3-トリフルオロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、β-シアノエチルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、ビシクロ(2,2,1)ヘプテニルトリエトキシシラン、ベンゼンスルホニルプロピルトリエトキシシラン、ベンゼンスルホンアミドプロピルトリエトキシシラン、ジメチルアミノプロピルトリメトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトメチルジエトキシシラン等が挙げられるがこれらに限定されない。
 式(3)で表されるシラン化合物の具体例としては、メチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられるが、これらに限定されない。
 これらの中でも、本発明の組成物から得られる膜の架橋密度を向上させて、レジスト膜の成分の当該得られる膜への拡散等を抑制し、当該レジスト膜のレジスト特性の維持・改善する観点等から、テトラメトキシシラン、テトラエトキシシラン等の4官能性のシランを用いることが好ましい。
 本発明においては、上記加水分解性シラン混合物において、オニウム基を分子内に有するシラン化合物(加水分解性オルガノシラン)を含んでいてもよい。オニウム基を分子内に有するシラン化合物(加水分解性オルガノシラン)を用いることで、加水分解性シランの架橋反応を効果的に且つ効率的に促進できる。
 このようなオニウム基を分子内に有する加水分解性オルガノシラン(加水分解性オルガノシラン)の好適な一例は、式(4)で表される。
Figure JPOXMLDOC01-appb-C000020
 R11は、ケイ素原子に結合する基であって、オニウム基又はそれを含む有機基を表す。
 R12は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表す。
 R13は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 fは1又は2を表し、gは0又は1を表し、1≦f+g≦2を満たす。
 上記アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、並びに、エポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基又はシアノ基を含む有機基、アルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子の具体例、またアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基及びアルケニル基の置換基の具体例、及びそれらの好適な炭素原子数としては、R12については、Rに関して上述したものを、R13については、Rに関して上述したものをそれぞれ挙げることができる。
 より詳述すれば、オニウム基の具体例としては、環状アンモニウム基又は鎖状アンモニウム基が挙げられ、第3級アンモニウム基又は第4級アンモニウム基が好ましい。
 すなわち、オニウム基又はそれを含む有機基の好適な具体例としては、環状アンモニウム基若しくは鎖状アンモニウム基又はこれらの少なくとも一方を含む有機基が挙げられ、第3級アンモニウム基若しくは第4級アンモニウム基又はこれらの少なくとも一方を含む有機基が好ましい。
 なお、オニウム基が環状アンモニウム基である場合、アンモニウム基を構成する窒素原子が環を構成する原子を兼ねる。この際、環を構成する窒素原子とシリコン原子とが直接又は2価の連結基を介して結合している場合と、環を構成する炭素原子とシリコン原子が直接に又は2価の連結基を介して結合している場合とがある。
 本発明の好適な態様の一例においては、ケイ素原子に結合する基であるR11は、下記式(S1)で表されるヘテロ芳香族環状アンモニウム基である。
Figure JPOXMLDOC01-appb-C000021
 式(S1)中、A、A、A及びAは、互いに独立して、下記式(J1)~(J3)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J2)で表される基である。上記式(4)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との間の結合が、単結合であるか、二重結合であるかが定まる。
Figure JPOXMLDOC01-appb-C000022
 式(J1)~(J3)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 式(S1)中、R14は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R14が2つ以上存在する場合、2つのR14は、互いに結合して環を形成していてもよく、2つのR14が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 このようなアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 式(S1)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J1)~(J3)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R14に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R14が置換していてもよい。このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 上記式(S1)で表されるヘテロ芳香族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基、アルケニレン基等が挙げられるが、これらに限定されない。
 アルキレン基及びアリーレン基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 またアルケニレン基は、アルケニル基の水素原子を更に1つ取り除いて誘導される2価の基であり、このようなアルケニル基の具体例としては、上述したものと同じものが挙げられる。アルケニレン基の炭素原子数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 その具体例としては、ビニレン、1-メチルビニレン、プロペニレン、1-ブテニレン、2-ブテニレン、1-ペンテニレン、2-ペンテニレン基等が挙げられるが、これらに限定されない。
 上記式(S1)で表されるヘテロ芳香族環状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(I-1)乃至式(I-50)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000023
Figure JPOXMLDOC01-appb-C000024
Figure JPOXMLDOC01-appb-C000025
 またその他の一例において、上記式(4)中のケイ素原子に結合する基であるR11は、下記式(S2)で表されるヘテロ脂肪族環状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000026
 式(S2)中、A、A、A及びAは、互いに独立して、下記式(J4)~(J6)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J5)で表される基である。上記式(4)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が非芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との結合が、単結合であるか、二重結合であるかが定まる。
Figure JPOXMLDOC01-appb-C000027
 式(J4)~(J6)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S2)中、R15は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R15が2つ以上存在する場合、2つのR15は、互いに結合して環を形成していてもよく、2つのR15が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 上記アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S2)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J4)~(J6)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R15に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R15が置換していてもよい。
 このような事情から、上述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例及びそれらの好適な炭素原子数としては、上述と同じものが挙げられる。
 上記式(S2)で表されるヘテロ脂肪族環状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(II-1)乃至式(II-30)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000028
Figure JPOXMLDOC01-appb-C000029
 さらにその他の一例において、上記式(4)中のケイ素原子に結合する基であるR11は、下記式(S3)で表される鎖状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000030
 式(S3)中、R10は、互いに独立して、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素原子数としては、上述したものと同じものが挙げられる。
 式(S3)で表される鎖状アンモニウム基は、ケイ素原子と直接結合するか、又は連結基が結合して鎖状アンモニウム基を含む有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例としては、上述と同じものが挙げられる。
 上記式(S3)で表される鎖状アンモニウム基を有する式(4)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(III-1)乃至式(III-28)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000031
Figure JPOXMLDOC01-appb-C000032
 また本発明のレジスト下層膜形成用組成物は、上記加水分解性シラン混合物において、スルホン基を有するシラン化合物や、スルホンアミド基を有するシラン化合物を更に含んでいてもよい。以下、その具体例を挙げるが、これらに限定されない。
 下記式中、Meはメチル基を、Etはエチル基をそれぞれ表す。
Figure JPOXMLDOC01-appb-C000033
Figure JPOXMLDOC01-appb-C000034
Figure JPOXMLDOC01-appb-C000035
 また上記の例示以外にも、本発明の効果を損なわない範囲において、上記加水分解性シラン混合物には、上記の例示以外のその他のシラン化合物(加水分解性シラン)を含んでいてよい。
 上述の通り、本発明のレジスト下層膜形成用組成物は、上記加水分解性シラン混合物の加水分解縮合物を含む。
 本発明の好ましい一態様においては、本発明のレジスト下層膜形成用組成物は、少なくとも上記加水分解性シラン混合物の加水分解縮合物を含む。
 本発明の好ましい一態様において、本発明のレジスト下層膜形成用組成物が含む加水分解縮合物は、式(1)で表されるシランに加え、式(2)で表される加水分解性シラン、並びに所望によりその他の加水分解性シランを少なくとも用いて得られる加水分解縮合物を含む。
 例えば上記加水分解縮合物は、式(1)で表されるシラン化合物を、加水分解性シラン混合物中に含まれるシラン化合物の全量に基づいて、例えば5モル%以上の割合で、好ましくは10モル%以上の割合で含む、加水分解性シラン混合物の加水分解縮合物とすることができる。
 より好ましい態様において、上記加水分解縮合物は、式(1)で表される化合物として、Rがコハク酸無水物骨格を含む有機基を表す化合物を必須として含む加水分解性シラン混合物の加水分解縮合物とすることができ、このとき、Rがコハク酸無水物骨格を含む有機基を表す式(1)で表される化合物を、加水分解性シラン混合物中に含まれるシラン化合物の全量に基づいて、例えば1モル%以上の割合で、好ましくは5モル%以上の割合で含む、加水分解性シラン混合物の加水分解縮合物とすることができる。
 加水分解性シラン混合物において、式(1)で表されるシラン化合物以外のシラン化合物(加水分解性シラン)を用いる場合、式(1)で表されるシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全てのシラン化合物(加水分解性シラン)の仕込み量(100モル%)に対して、例えば5モル%以上、好ましくは10モル%以上とすることができる。本発明の上記効果を再現性よく得る観点から、とりわけRがコハク酸無水物骨格を含む有機基を表す式(1)で表される化合物を必須として用いることが好ましく、これを加水分解性シラン混合物中に含まれるシラン化合物の全量に基づいて、例えば、通常0.1モル%以上の割合、好ましくは0.5モル%以上の割合、より好ましくは1モル%以上の割合、より一層好ましくは3モル%以上の割合、さらに好ましくは5モル%以上の割合とすることができ、また、通常10モル%以下の割合、好ましくは8モル%以下の割合、より好ましくは6モル%以下の割合、より一層好ましくは5.5モル%以下の割合とすることができる。
 加水分解性シラン混合物において、式(2)で表されるシラン化合物(アルキルトリアルコキシシランを除く。)や式(3)で表されるシラン化合物を用いる場合、これらシラン化合物の仕込み量は、加水分解性シラン混合物中に含まれる全てのシラン化合物(加水分解性シラン)の仕込み量に対して中、通常60モル%~90モル%とすることができるが、上述の通り、該混合物の縮合物を含む組成物から形成される膜をドライエッチングした際におけるエッチングによる残渣除去性を向上させる観点から、アルキルトリアルコキシシランの仕込み量は40モル%未満であり、すなわち0モル%以上40モル%未満である。
 また加水分解性シラン混合物において、式(4)で表されるオニウム基を分子内に有する加水分解性オルガノシランを用いる場合、当該オルガノシランの仕込み量は、全てのシラン化合物(加水分解性シラン)の仕込み量に対して、通常0.01モル%以上、好ましくは0.1モル%以上であり、通常30モル%以下、好ましくは10モル%以下である。
 上記の加水分解性シラン混合物の加水分解縮合物は、その重量平均分子量を例えば500~1,000,000とすることができる。組成物中での加水分解縮合物の析出等を抑制する観点等から、好ましくは重量平均分子量を500,000以下、より好ましくは250,000以下、より一層好ましくは100,000以下とすることができ、保存安定性と塗布性の両立の観点等から、好ましくは700以上、より好ましくは1,000以上とすることができる。
 なお、重量平均分子量は、GPC分析によるポリスチレン換算で得られる分子量である。GPC分析は、例えばGPC装置(商品名HLC-8220GPC、東ソー(株)製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工(株)製)を用い、カラム温度を40℃とし、溶離液(溶出溶媒)としてテトラヒドロフランを用い、流量(流速)を1.0mL/分とし、標準試料としてポリスチレン(昭和電工(株)製)を用いて、行うことができる。
 上記加水分解性シラン混合物の加水分解縮合物は、上述のシラン化合物(加水分解性シラン)を加水分解及び縮合することで得られる。
 上記シラン化合物(加水分解性シラン)は、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子を、すなわち加水分解性基であるアルコキシシリル基、アラルキルオキシシリル基、アシロキシシリル基、ハロゲン化シリル基を含む。
 これら加水分解性基の加水分解には、加水分解性基の1モル当たり、通常0.5~100モル、好ましくは1~10モルの水を用いる。
 加水分解及び縮合の際、反応を促進する目的等で、加水分解触媒を用いてもよいし、用いずに加水分解及び縮合を行ってもよい。加水分解触媒を用いる場合は、加水分解性基の1モル当たり、通常0.0001~10モル、好ましくは0.001~1モルの加水分解触媒を用いることができる。
 加水分解と縮合を行う際の反応温度は、通常、室温以上、加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲であり、例えば20乃至110℃、また例えば20乃至80℃とすることができる
 加水分解は完全に加水分解を行う、すなわち、全ての加水分解性基をシラノール基に変えてもよいし、部分加水分解する、即ち未反応の加水分解性基を残してもよい。
 加水分解し縮合させる際に使用可能な加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
 加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ-n-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-i-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-n-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-sec-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-t-ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ-n-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-i-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-n-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-sec-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-t-ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ-n-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-i-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-n-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-sec-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-t-ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ-n-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-i-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-n-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-t-ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ-n-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-i-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-n-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-t-ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ-n-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-i-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-n-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-t-ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-i-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-sec-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-t-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-i-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-sec-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-t-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-i-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-sec-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-t-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-i-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-t-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-i-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-t-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-i-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-t-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物等などを挙げることをできるが、これらに限定されない。
 加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機塩基は、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができるが、これらに限定されない。
 これらの触媒のうち、金属キレート化合物、有機酸、無機酸が好ましく、これらは1種を単独で使用してもよいし、2種以上を組み合わせて使用してもよい。
 中でも、本発明では、加水分解触媒として硝酸を好適に用いることができる。硝酸を使用することにより、加水分解及び縮合の後の反応溶液の保存安定性を向上させることができ、特に、加水分解縮合物の分子量変化を抑制することができる。液中の加水分解縮合物の安定性は、溶液のpHに依存することが分かっている。鋭意検討した結果、硝酸を適量用いることで、溶液のpHが安定領域となることが見いだされた。
 加水分解及び縮合をする際、溶媒として有機溶媒を用いてもよく、その具体例としては、例えばn-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン等の芳香族炭化水素系溶媒;メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、t-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、n-ヘプタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i-プロピルエーテル、n-ブチルエーテル、n-ヘキシルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチル-2-ピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができるが、これらに限定されない。これらの溶媒は、1種単独で又は2種以上組み合わせて用いることができる。
 加水分解及び縮合反応の終了後、反応溶液をそのまま又は希釈若しくは濃縮し、それを中和し、イオン交換樹脂を用いて処理することで、加水分解及び縮合に用いた酸や塩基等の加水分解触媒を取り除くことができる。また、このような処理の前又は後に、減圧蒸留等によって、反応溶液から副生成物のアルコールや水、用いた加水分解触媒等を除去することができる。
 このようにして得られた加水分解縮合物(以下、ポリシロキサンとも称する)は、有機溶媒中に溶解しているポリシロキサンワニスの形態として得られ、これをそのまま後述するレジスト下層膜形成用組成物として用いることができる。得られたポリシロキサンワニスは溶媒置換してもよいし、また適宜溶媒で希釈してもよい。なお得られたポリシロキサンワニスは、その保存安定性が悪くなければ、有機溶媒を留去し、固形分濃度100%とすることもできる。
 上記ポリシロキサンワニスの溶媒置換や希釈等に用いる有機溶媒は、加水分解性シラン混合物の加水分解及び縮合反応に用いた有機溶媒と同じでも異なってもよい。この希釈用溶媒は、特に限定されず、1種でも2種以上でも任意に選択して用いることができる。
[レジスト下層膜形成用組成物]
 本発明のレジスト下層膜形成用組成物は、上記加水分解性シラン混合物の加水分解縮合物(ポリシロキサン)と溶媒とを含み、さらに後述するその他成分を含み得るものである。
 レジスト下層膜形成用組成物における固形分の濃度は、当該組成物の全質量に対して、例えば0.1乃至50質量%、0.1乃至30質量%、0.1乃至25質量%、0.5乃至20.0質量%とすることができる。固形分とは、前述したように、当該組成物の全成分から溶媒成分を除いた成分を指す。
 固形分中の上記加水分解性シラン混合物の加水分解縮合物の含有量は、通常20質量%~100質量%であるが、上述した本発明の効果を再現性よく得る観点等から、その下限値は、好ましくは50質量%、より好ましくは60質量%、より一層好ましくは70質量%、更に好ましくは80質量%であり、その上限値は、好ましくは99質量%であり、その余を、後述の添加剤とすることができる。
 また該組成物中の上記加水分解性シラン混合物の加水分解縮合物の含有量は、例えば0.5乃至20.0質量%とすることができる。
 また当該レジスト下層膜形成用組成物は、好ましくはpH2~5を有し、より好ましくはpH3~4を有する。
 レジスト下層膜形成用組成物は、上記加水分解性シラン混合物の加水分解縮合物と、溶媒と、所望によりその他の成分が含まれる場合には当該その他の成分とを混合することで製造できる。この際、加水分解縮合物等を含む溶液を予め準備し、この溶液を、溶媒やその他の成分と混合してもよい。
 混合順序は特に限定されるものではない。例えば、加水分解縮合物等を含む溶液に、溶媒を加えて混合し、その混合物にその他の成分を加えてもよく、加水分解縮合物等を含む溶液と、溶媒と、その他の成分を同時に混合してもよい。
 必要であれば、最後に更に溶媒を追加で加えたり、溶媒に比較的溶けやすい一部の成分を混合物中に含めずにおき、最後にそれを加えたりしてもよいが、構成成分の凝集や分離を抑制し、均一性に優れる組成物を再現性よく調製する観点から、加水分解縮合物等が良好に溶解した溶液を予め準備し、これを用いて組成物を調製することが好ましい。なお、加水分解縮合物等は、共に混ぜられる溶媒の種類や量、その他の成分の量や性質等によっては、これらが混ぜられた際に凝集又は沈殿する可能性がある点に留意する。また、加水分解縮合物等が溶解した溶液を用いて組成物を調製する場合、最終的に得られる組成物中の加水分解縮合物等が所望の量となるように、加水分解縮合物等の溶液の濃度やその使用量を決める必要がある点も留意する。
 組成物の調製において、成分が分解したり変質したりしない範囲で、適宜加熱してもよい。
 本発明において、レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、サブマイクロメートルオーダーのフィルタ等を用いてろ過してもよい。
 本発明のレジスト下層膜形成用組成物はリソグラフィー工程に使用されるレジスト下層膜形成用の組成物として、好適に用いることができる。
〔溶媒〕
 本発明のレジスト下層膜形成用組成物に使用される溶媒は、上記固形分を溶解できる溶媒であれば特に制限なく使用することができる。
 このような溶媒は、上記の加水分解性シラン混合物の加水分解縮合物やその他の成分を溶解する限り制限されるものではない。
 その具体例としては、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテルプロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシプロピオン酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、トルエン、キシレン、メチルエチルケトン、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロヘキサノン、N,N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチル-2-ピロリドン、4-メチル-2-ペンタノール、γ-ブチロラクトン等を挙げることができ、溶媒は1種単独で又は2種以上組み合わせて使用できる。
 また本発明のレジスト下層膜形成用組成物は、溶媒として水を含んでいてもよい。溶媒として水を含む場合、その含有量は、当該組成物が含む溶媒の合計質量に対して、例えば30質量%以下、好ましくは20質量%以下、より一層好ましくは15質量%以下とすることができる。
〔その他添加剤〕
 本発明のレジスト下層膜形成用組成物には、組成物の用途に応じて種々の添加剤を配合可能である。
 上記添加剤としては、例えば、硬化触媒(アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩、窒素含有シラン化合物等)、架橋剤、架橋触媒、安定化剤(有機酸、水、アルコール等)、有機ポリマー化合物、酸発生剤、界面活性剤(ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等)、pH調整剤、レオロジー調整剤、接着補助剤等、レジスト下層膜や、反射防止膜、パターン反転用膜など、半導体装置の製造に使用され得る各種膜を形成する材料(組成物)に配合される公知の添加剤を挙げることができる。
 なお以下に各種添加剤を例示するが、これらに限定されるものではない。
<硬化触媒>
 上記硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩等を用いることができる。なお硬化触媒として記載した下記の塩類は、塩の形態にて添加してもよいし、上記組成物中において塩を形成するもの(添加時には別化合物として添加され、系内で塩を形成するもの)のいずれであってもよい。
 上記アンモニウム塩としては、式(D-1):
Figure JPOXMLDOC01-appb-C000036
(式中、mは2乃至11、nは2乃至3の整数を、R21はアルキル基又はアリール基を、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-2):
Figure JPOXMLDOC01-appb-C000037
(式中、R22、R23、R24及びR25はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表し、且つR22、R23、R24、及びR25はそれぞれC-N結合により窒素原子と結合されているものである)で表される構造を有する第4級アンモニウム塩、
 式(D-3):
Figure JPOXMLDOC01-appb-C000038
(式中、R26及びR27はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-4):
Figure JPOXMLDOC01-appb-C000039
(式中、R28はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-5):
Figure JPOXMLDOC01-appb-C000040
(式中、R29及びR30はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第4級アンモニウム塩、
 式(D-6):
Figure JPOXMLDOC01-appb-C000041
(式中、mは2乃至11、nは2乃至3の整数を、Hは水素原子を、Nは窒素原子を、Yは陰イオンを表す)で表される構造を有する第3級アンモニウム塩を挙げることができる。
 また、上記ホスホニウム塩としては、式(D-7):
Figure JPOXMLDOC01-appb-C000042
(式中、R31、R32、R33、及びR34はアルキル基又はアリール基を、Pはリン原子を、Yは陰イオンを表し、且つR31、R32、R33、及びR34はそれぞれC-P結合によりリン原子と結合されているものである)で表される第4級ホスホニウム塩を挙げることができる。
 また、上記スルホニウム塩としては、式(D-8):
Figure JPOXMLDOC01-appb-C000043
(式中、R35、R36、及びR37はアルキル基又はアリール基を、Sは硫黄原子を、Yは陰イオンを表し、且つR35、R36、及びR37はそれぞれC-S結合により硫黄原子と結合されているものである)で表される第3級スルホニウム塩を挙げることができる。
 上記の式(D-1)の化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2乃至11、nは2乃至3の整数を示す。この第4級アンモニウム塩のR21は炭素原子数1乃至18、好ましくは2乃至10のアルキル基、又は炭素原子数6乃至18のアリール基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。
 上記の式(D-2)の化合物は、R22232425で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この第4級アンモニウム塩は、市販品で入手することが可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。
 上記の式(D-3)の化合物は、1-置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27の炭素原子数は1乃至18であり、R26及びR27の炭素原子数の総和が7以上であることが好ましい。例えばR26はメチル基、エチル基、プロピル基、フェニル基、ベンジル基を、R27はベンジル基、オクチル基、オクタデシル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品で入手することもできるが、例えば1-メチルイミダゾール、1-ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル等のハロゲン化アルキルやハロゲン化アリールを反応させて製造することができる。
 上記の式(D-4)の化合物は、ピリジンから誘導される第4級アンモニウム塩であり、R28は炭素原子数1乃至18、好ましくは炭素原子数4乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品として入手することもできるが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、塩化N-ラウリルピリジニウム、臭化N-ベンジルピリジニウム等を例示することができる。
 上記の式(D-5)の化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は炭素原子数1乃至18、好ましくは炭素原子数4乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示することができる。R30は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、例えばピコリンから誘導される第4級アンモニウムである場合には、R30はメチル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することもできるが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、N-ベンジルピコリニウムクロリド、N-ベンジルピコリニウムブロミド、N-ラウリルピコリニウムクロリド等を例示することができる。
 上記の式(D-6)の化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2乃至11、nは2乃至3の整数を示す。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。本化合物は、アミンとカルボン酸やフェノール等の弱酸との反応によって製造することができる。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(Y)は(HCOO)であり、酢酸を使用した場合は、陰イオン(Y)は(CHCOO)である。またフェノールを使用した場合は、陰イオン(Y)は(C)である。
 上記の式(D-7)の化合物は、R31323334の構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基であり、好ましくはR31乃至R34の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1乃至18のアルキル基、炭素原子数6乃至18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化テトラn-ブチルホスホニウム、ハロゲン化テトラn-プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。
 また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが挙げられる。
 上記の式(D-8)の化合物は、R353637の構造を有する第3級スルホニウム塩である。R35、R36、及びR37は炭素原子数1乃至18のアルキル基又は炭素原子数6乃至18のアリール基であり、好ましくはR35乃至R37の3つの置換基の内で2つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素原子数1乃至18のアルキル基、又は炭素原子数6乃至18のアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化トリn-ブチルスルホニウム、ハロゲン化トリn-プロピルスルホニウム等のハロゲン化トリアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化ジアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)、トリn-ブチルスルホニウムカルボキシラート、トリn-プロピルスルホニウムカルボキシラート等のトリアルキルスルホニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のジアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラートが挙げられる。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。
 また、本発明では硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN-(3-トリエトキシシリプロピル)-4,5-ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。
 硬化触媒が使用される場合、ポリシロキサン100質量部に対して、0.01質量部乃至10質量部、または0.01質量部乃至5質量部、または0.01質量部乃至3質量部である。
<安定化剤>
 上記安定化剤は、上記加水分解性シラン混合物の加水分解縮合物の安定化等の目的のために添加され得、その具体例として、有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
 上記有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸が好ましい。有機酸を添加する場合、その添加量は、上記加水分解性シラン混合物の加水分解縮合物の質量に対して0.1~5.0質量%である。これら有機酸はpH調整剤としても働き得る。
 上記水としては、純水、超純水、イオン交換水等を用いることができ、使用する場合、その添加量は、レジスト下層膜形成用組成物100質量部に対して1質量部~20質量部とすることができる。
 上記アルコールとしては塗布後の加熱により飛散(揮発)しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、i-プロパノール、ブタノール等が挙げられる。アルコールを添加する場合、その添加量は、レジスト下層膜形成用組成物100質量部に対して1質量部~20質量部とすることができる。
<有機ポリマー>
 上記有機ポリマー化合物は、該レジスト下層膜形成用組成物に添加することにより、該組成物から形成される膜(レジスト下層膜)のドライエッチング速度(単位時間当たりの膜厚の減少量)や、また減衰係数や屈折率等を調整することができる。該有機ポリマー化合物としては特に制限はなく、その添加目的に応じて、種々の有機ポリマー(縮重合ポリマー及び付加重合ポリマー)の中から適宜選択される。
 その具体例としては、ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーが挙げられる。
 本発明においては、吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、キノキサリン環等の芳香環や複素芳香環を含む有機ポリマーも、そのような機能が必要な場合には、好適に用い得る。そのような有機ポリマー化合物の具体例としては、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN-フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられるが、これらに限定されない。
 有機ポリマー化合物として付加重合ポリマーが使用される場合、そのポリマー化合物は、単独重合体、共重合体のいずれであってもよい。
 付加重合ポリマーの製造には付加重合性モノマーが使用されるが、そのような付加重合性モノマーの具体例としては、アクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられるが、これらに限定されない。
 アクリル酸エステル化合物の具体例としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、i-プロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2-ヒドロキシエチルアクリレート、3-クロロ-2-ヒドロキシプロピルアクリレート、2-ヒドロキシプロピルアクリレート、2,2,2-トリフルオロエチルアクリレート、2,2,2-トリクロロエチルアクリレート、2-ブロモエチルアクリレート、4-ヒドロキシブチルアクリレート、2-メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2-メチル-2-アダマンチルアクリレート、5-アクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-アクリロキシプロピルトリエトキシシラン、グリシジルアクリレート等が挙げられるが、これらに限定されない。
 メタクリル酸エステル化合物の具体例としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、i-プロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2-ヒドロキシエチルメタクリレート、2-ヒドロキシプロピルメタクリレート、2,2,2-トリフルオロエチルメタクリレート、2,2,2-トリクロロエチルメタクリレート、2-ブロモエチルメタクリレート、4-ヒドロキシブチルメタクリレート、2-メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2-メチル-2-アダマンチルメタクリレート、5-メタクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2-フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート、ブロモフェニルメタクリレート等が挙げられるが、これらに限定されない。
 アクリルアミド化合物の具体例としては、アクリルアミド、N-メチルアクリルアミド、N-エチルアクリルアミド、N-ベンジルアクリルアミド、N-フェニルアクリルアミド、N,N-ジメチルアクリルアミド、N-アントリルアクリルアミド等が挙げられるが、これらに限定されない。
 メタクリルアミド化合物の具体例としては、メタクリルアミド、N-メチルメタクリルアミド、N-エチルメタクリルアミド、N-ベンジルメタクリルアミド、N-フェニルメタクリルアミド、N,N-ジメチルメタクリルアミド、N-アントリルメタクリルアミド等が挙げられるが、これらに限定されない。
 ビニル化合物の具体例としては、ビニルアルコール、2-ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2-クロロエチルビニルエーテル、2-メトキシエチルビニルエーテル、ビニルナフタレン、ビニルアントラセン等が挙げられるが、これらに限定されない。
 スチレン化合物の具体例としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン、アセチルスチレン等が挙げられるが、これらに限定されない。
 マレイミド化合物としては、マレイミド、N-メチルマレイミド、N-フェニルマレイミド、N-シクロヘキシルマレイミド、N-ベンジルマレイミド、N-ヒドロキシエチルマレイミド等が挙げられるが、これらに限定されない。
 ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p-フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミドが挙げられるが、これらに限定されない。
 有機ポリマー化合物がヒドロキシ基を含む場合は、このヒドロキシ基は、加水分解縮合物等と架橋反応をし得る。
 上記有機ポリマー化合物の重量平均分子量は、通常1,000~1,000,000でとすることができる。有機ポリマー化合物を配合する場合、ポリマーとしての機能の効果を十分に得つつ、組成物中での析出を抑制する観点から、その重量平均分子量を例えば3,000~300,000、又は5,000~300,000、あるいは10,000~200,000などとすることができる。
 このような有機ポリマー化合物は、1種単独で使用してもよいし、2種以上組み合わせて用いることができる。
 本発明のレジスト下層膜形成用組成物が有機ポリマー化合物を含む場合、その含有量は、その有機ポリマー化合物の機能等を考慮して適宜定まるため一概に規定できないが、通常、上記加水分解性シラン混合物の加水分解縮合物の質量に対して、1~200質量%の範囲とすることができ、組成物中での析出を抑制する観点等から、例えば100質量%以下、好ましくは50質量%以下、より好ましくは30質量%以下とすることができ、その効果を十分に得る観点等から、例えば5質量%以上、好ましくは10質量%以上、より好ましくは30質量%以上とすることができる。
<酸発生剤>
 酸発生剤としては、熱酸発生剤や光酸発生剤が挙げられ、光酸発生剤を好ましく用いることができる。
 光酸発生剤としては、オニウム塩化合物、スルホンイミド化合物、ジスルホニルジアゾメタン化合物等が挙げられるが、これらに限定されない。
 また熱酸発生剤としては、例えばテトラメチルアンモニウム硝酸塩などが挙げられるが、これに限定されない。
 オニウム塩化合物の具体例としては、ジフェニルヨードニウムヘキサフルオロホスフェート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、トリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム硝酸塩(ナイトレート)、トリフェニルスルホニウムトリフルオロ酢酸塩、トリフェニルスルホニウムマレイン酸塩、トリフェニルスルホニウムクロリド等のスルホニウム塩化合物等を挙げることができるが、これらに限定されない。
 スルホンイミド化合物の具体例としては、N-(トリフルオロメタンスルホニルオキシ)スクシンイミド、N-(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N-(カンファースルホニルオキシ)スクシンイミド、N-(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられるが、これらに限定されない。
 ジスルホニルジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン、ビス(2,4-ジメチルベンゼンスルホニル)ジアゾメタン、メチルスルホニル-p-トルエンスルホニルジアゾメタン等が挙げられるが、これらに限定されない。
 本発明のレジスト下層膜形成用組成物が酸発生剤を含む場合、その含有量は、酸発生剤の種類等を考慮して適宜定まるため一概に規定できないが、通常、上記加水分解性シラン混合物の加水分解縮合物の質量に対して、0.01~5質量%の範囲であり、組成物中での酸発生剤の析出を抑制する観点等から、好ましくは3質量%以下、より好ましくは1質量%以下であり、その効果を十分に得る観点等から、好ましくは0.1質量%以上、より好ましくは0.5質量%以上である。
 なお酸発生剤は、1種単独で又は2種以上組み合わせて用いることができ、また、光酸発生剤と熱酸発生剤とを併用してもよい。
<界面活性剤>
 界面活性剤は、上記レジスト下層膜形成用組成物を基板に塗布した際に、ピンホール、ストレーション等の発生を抑制するのに有効である。上記界面活性剤としては、ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等が挙げられる。より具体的には、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリールエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップ(登録商標)EF301、EF303、EF352(三菱マテリアル電子化成(株)(旧(株)トーケムプロダクツ)製)、商品名メガファック(登録商標)F171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(スリーエムジャパン(株)製)、商品名アサヒガード(登録商標)AG710(AGC(株)製)、サーフロン(登録商標)S-382、SC101、SC102、SC103、SC104、SC105、SC106(AGCセイミケミカル(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができるが、これらに限定されない。
 界面活性剤は、1種単独で又は2種以上組み合わせて用いることができる。
 本発明のレジスト下層膜形成用組成物が界面活性剤を含む場合、その含有量は、上記加水分解性シラン混合物の加水分解縮合物の質量に対して、通常0.0001~5質量%であり、好ましくは0.001~4質量%、より好ましくは0.01~3質量%とすることができる。
<レオロジー調整剤>
 上記レオロジー調整剤は、主にレジスト下層膜形成用組成物の流動性を向上させ、特にベーキング工程において、形成される膜の膜厚均一性の向上や、ホール内部への組成物の充填性を高める目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジi-ブチルフタレート、ジヘキシルフタレート、ブチルi-デシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジ-i-ブチルアジペート、ジ-i-オクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、またはノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体等を挙げることができる。
 これらのレオロジー調整剤が使用される場合、その添加量は、レジスト下層膜形成用組成物の全固形分に対して通常30質量%未満である。
<接着補助剤>
 上記接着補助剤は、主に基板あるいはレジストと、当該レジスト下層膜形成用組成物から形成される膜(レジスト下層膜)との密着性を向上させ、特に現像においてレジストの剥離を抑制・防止する目的で添加される。具体例としては、トリメチルクロロシラン、ジメチルビニルクロロシラン、メチルジフェニルクロロシラン、クロロメチルジメチルクロロシラン等のクロロシラン類、トリメチルメトキシシラン、ジメチルジエトキシシラン、メチルジメトキシシラン、ジメチルビニルエトキシシラン等のアルコキシシラン類、ヘキサメチルジシラザン、N,N’-ビス(トリメチルシリル)ウレア、ジメチルトリメチルシリルアミン、トリメチルシリルイミダゾール等のシラザン類、γ-クロロプロピルトリメトキシシラン、γ-アミノプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン等のその他のシラン類、ベンゾトリアゾール、ベンズイミダゾール、インダゾール、イミダゾール、2-メルカプトベンズイミダゾール、2ーメルカプトベンゾチアゾール、2-メルカプトベンゾオキサゾール、ウラゾール、チオウラシル、メルカプトイミダゾール、メルカプトピリミジン等の複素環式化合物や、1,1-ジメチルウレア、1,3-ジメチルウレア等の尿素、またはチオ尿素化合物を挙げることができる。
 これらの接着補助剤が使用される場合、その添加量は、レジスト下層膜形成用組成物の全固形分に対して通常5質量%未満、好ましくは2質量%未満である。
<pH調整剤>
 また、pH調整剤として、前述の<安定化剤>として挙げた有機酸などのカルボン酸基を1又は2以上有する酸の他、ビスフェノールS、又はビスフェノールS誘導体を添加することができる。ビスフェノールS、又はビスフェノールS誘導体は、上記加水分解性シラン混合物の加水分解縮合物の100質量部に対して、0.01~20質量部、又は0.01~10質量部、又は0.01~5質量部である。
 以下、ビスフェノールSやビスフェノールS誘導体の具体例を挙げるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000044
[パターン形成方法及び半導体装置の製造方法]
 以下、本発明の一態様として、本発明のレジスト下層膜形成用組成物を使用したパターン形成方法、並びに半導体装置の製造方法について説明する。
 まず、精密集積回路素子の製造に使用される基板〔例えば、酸化珪素膜、窒化珪素膜又は酸化窒化珪素膜で被覆されたシリコンウエハー等の半導体基板、窒化珪素基板、石英基板、ガラス基板(無アルカリガラス、低アルカリガラス、結晶化ガラスを含む。)、ITO(インジウムスズ酸化物)膜やIZO(インジウム亜鉛酸化物)膜が形成されたガラス基板、プラスチック(ポリイミド、PET等)基板、低誘電率材料(low-k材料)被覆基板、フレキシブル基板等〕の上に、スピナー、コーター等の適当な塗布方法により、本発明のレジスト下層膜形成用組成物を塗布し、その後、ホットプレート等の加熱手段を用いて焼成することによって組成物を硬化物とし、レジスト下層膜を形成する。以下、本明細書において、レジスト下層膜とは、本発明のレジスト下層膜形成用組成物より形成される膜をいう。
 焼成する条件としては、焼成温度40℃~400℃、又は80℃~250℃、焼成時間0.3分間~60分間の中から適宜選択される。好ましくは、焼成温度150℃~250℃、焼成時間0.5分間~2分間である。
 ここで形成されるレジスト下層膜の膜厚としては、例えば、10nm~1,000nmであり、又は20nm~500nmであり、又は50nm~300nmであり、又は100nm~200nm、または10~150nmである。
 本発明では、上記基板上に有機下層膜を形成した後、この上に上記レジスト下層膜を形成した態様とするが、場合によって有機下層膜を設けない態様とすることもあり得る。
 ここで使用する有機下層膜としては、特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができる。
 基板上に、有機下層膜、その上にレジスト下層膜、さらにその上に後述するレジスト膜を設けた態様とすることにより、フォトレジスト膜のパターン幅が狭くなり、パターン倒れを防ぐ為にフォトレジスト膜を薄く被覆した場合でも、後述する適切なエッチングガスを選択することにより基板の加工が可能になる。例えば、フォトレジスト膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、本発明のレジスト下層膜の加工が可能であり、また本発明のレジスト下層膜に対して十分に早いエッチング速度を有する酸素系ガスをエッチングガスとして用いて、有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、基板の加工を行うことができる。
 なお、この際に用い得る基板及び塗布方法は、上述したものと同じものが挙げられる。
 次いで、上記レジスト下層膜の上に、例えばフォトレジスト材料の層(レジスト膜)が形成される。レジスト膜の形成は周知の方法にて、すなわち、レジスト下層膜の上に、塗布型レジスト材料(例えばフォトレジスト膜形成用組成物)を塗布し焼成することによって行なうことができる。
 レジスト膜の膜厚は、例えば10nm~10,000nmであり、又は100nm~2,000nmであり、又は200nm~1,000nmであり、又は30nm~200nmである。
 上記レジスト下層膜上に形成されるレジスト膜に使用されるフォトレジスト材料としては、露光に使用される光(例えば、KrFエキシマレーザー、ArFエキシマレーザー等)に感光するものであれば特に限定はされず、ネガ型フォトレジスト材料及びポジ型フォトレジスト材料のいずれも使用できる。例えば、ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト材料、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト材料、酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト材料、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジスト材料等がある。
 市販品として入手可能な具体例としては、シプレー社製商品名APEX-E、住友化学(株)製商品名PAR710、JSR(株)製;商品名AR2772JN、及び信越化学工業(株)製商品名SEPR430等が挙げられるが、これらに限定されない。また、例えば、Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、やProc.SPIE,Vol.3999,365-374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジスト材料を挙げることができる。
 また、上記レジスト下層膜上に形成されるレジスト膜には、フォトレジスト膜に替えて電子線リソグラフィー用レジスト膜(電子線レジスト膜とも称する)、又はEUVリソグラフィー用レジスト膜(EUVレジスト膜とも称する)を用いることができ、すなわち、本発明のシリコン含有レジスト下層膜形成用組成物は、電子線リソグラフィー用レジスト下層膜形成用又はEUVリソグラフィー用レジスト下層膜形成用として用いることができる。特にEUVリソグラフィー用レジスト下層膜形成用組成物として好適である。
 上記電子線レジスト材料としては、ネガ型材料、ポジ型材料いずれも使用できる。その具体例としては、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト材料、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト材料、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジスト材料などがある。これらの電子線レジスト材料を用いた場合も、照射源を電子線としてフォトレジスト材料を用いた場合と同様にレジスト膜のパターンを形成することができる。
 また上記EUVレジスト材料としては、メタクリレート樹脂系レジスト材料を用いることができる。
 次に、レジスト下層膜の上層に形成されたレジスト膜に対して、所定のマスク(レクチル)を通して露光を行う。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)、F2エキシマレーザー(波長157nm)、EUV(波長13.5nm)、電子線等を使用することができる。
 露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3分間~10分間から適宜選択された条件で行われる。
 次いで、現像液(例えばアルカリ現像液)によって現像が行なわれる。これにより、例えばポジ型フォトレジスト膜が使用された場合は、露光された部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(アルカリ現像液)としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液(アルカリ現像液)等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5~50℃、時間10秒~600秒から適宜選択される。
 また本発明では、現像液として有機溶剤を用いることができ、露光後に現像液(溶剤)によって現像が行なわれる。これにより、例えばネガ型フォトレジスト膜が使用された場合は、露光されていない部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(有機溶剤)としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、ギ酸メチル、ギ酸エチル、ギ酸ブチル、ギ酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度は5℃~50℃、時間は10秒~600秒から適宜選択される。
 このようにして形成されたフォトレジスト膜(上層)のパターンを保護膜としてレジスト下層膜(中間層)の除去を行い、次いでパターン化されたフォトレジスト膜とパターン化されたレジスト下層膜(中間層)からなる膜を保護膜として、有機下層膜(下層)の除去を行い、最後に、パターン化されたフォトレジスト膜(上層)、パターン化されたレジスト下層膜(中間層)及び、パターン化された有機下層膜(下層)を保護膜として、基板の加工を行う。
 レジスト膜(上層)のパターンを保護膜として行われるレジスト下層膜(中間層)の除去はドライエッチングによって行われ、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素、三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。
 なおレジスト下層膜のドライエッチングには、ハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるレジスト膜(フォトレジスト膜)は除去されにくい。それに対し、ケイ素原子を多く含むシリコン含有レジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、該レジスト下層膜のドライエッチングに伴うフォトレジスト膜の膜厚の減少を抑えることができる。そして、その結果、フォトレジスト膜を薄膜で使用することが可能となる。従って、レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 基板とレジスト下層膜の間に有機下層膜を有している場合、次いで(残存している場合にはパターン化されたレジスト膜(上層)と)パターン化されたレジスト下層膜(中間層)からなる膜を保護膜として行われる有機下層膜(下層)の除去は、酸素系ガス(酸素ガス、酸素/硫化カルボニル(COS)混合ガス等)によるドライエッチングによって行なわれることが好ましい。これは、ケイ素原子を多く含む本発明のレジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいことによる。
 最後に、パターン化されたレジスト下層膜(中間層)、及び所望によりパターン化された有機下層膜(下層)を保護膜として行われる(半導体)基板の加工は、フッ素系ガスによるドライエッチングによって行なわれることが好ましい。
 フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。
 本発明では、有機下層膜のエッチング(除去)する工程の後に、レジスト下層膜の除去を薬液によって行うことが可能である。なお、薬液によるレジスト下層膜の除去は、パターン化された有機下層膜による基板の加工後に行うこともできる。本発明にあっては、上記のコハク酸無水物骨格等を含むシラン化合物由来の構造を、加水分解縮合物(ポリシロキサン)に組み込むことにより、該縮合物から形成した膜において、アルカリ性条件下で可溶性を高めることができる。例えば、アンモニアおよび過酸化水素を含む水溶液のようなアルカリ性溶液に対し、溶解性を示す。コハク酸無水物骨格の影響で、架橋密度が低下することが、アルカリ溶解性促進に寄与すると推測される。そのため、当該膜はアルカリ性溶液で処理した場合に良好な剥離性を示し、シリコン含有レジスト下層膜等のシリコン系のマスク残渣であっても薬液により容易に除去可能となるレジスト下層膜により、基板ダメージの少ない半導体デバイスを製造することができる。
 上記薬液としては、希フッ酸、バッファードフッ酸、塩酸と過酸化水素を含む水溶液(SC-2薬液)、硫酸と過酸化水素を含む水溶液(SPM薬液)、弗酸と過酸化水素を含む水溶液(FPM薬液)や、アンモニアと過酸化水素を含む水溶液(SC-1薬液)等のアルカリ性溶液が挙げられ、基板への影響を少なくできる観点からアルカリ性薬液(塩基性薬液)の使用が好適である。
 上記アルカリ性溶液としては、前述のアンモニアと過酸化水素水と水の混合によるアンモニア過水(SC-1薬液)のほか、アンモニア、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、コリンヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド、DBU(ジアザビシクロウンデセン)、DBN(ジアザビシクロノネン)、ヒドロキシルアミン、1-ブチル-1-メチルピロリジニウムヒドロキシド、1-プロピル-1-メチルピロリジニウムヒドロキシド、1-ブチル-1-メチルピペリジニウムヒドロキシド、1-プロピル-1-メチルピペリジニウムヒドロキシド、メピクアトヒドロキシド、トリメチルスルホニウムヒドロキシド、ヒドラジン類、エチレンジアミン類、又はグアニジンを1~99質量%含有する水溶液を挙げることができる。
 またレジスト下層膜の上層には、レジスト膜の形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、例えば、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行なうことができる。
 また、本発明のレジスト下層膜形成用組成物が塗布される基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよく、その上にレジスト下層膜を形成することもできる。基板上に有機下層膜を形成した後、この上に本発明のレジスト下層膜を形成する場合も、用いる基板は、その表面にCVD法などで形成された有機系又は無機系の反射防止膜を有するものであってもよい。
 本発明のレジスト下層膜形成用組成物より形成されるレジスト下層膜はまた、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。
 さらに上記レジスト下層膜は、基板とレジスト膜(フォトレジスト膜等)との相互作用の防止するための層、レジスト膜に用いられる材料又はレジスト膜への露光時に生成する物質の基板への悪作用を防ぐ機能を有する層、加熱焼成時に基板から生成する物質の上層レジスト膜への拡散を防ぐ機能を有する層、及び半導体基板誘電体層によるレジスト膜のポイズニング効果を減少させるためのバリア層等として使用することも可能である。
 上記レジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され得、ホールを隙間なく充填することができる穴埋め材(埋め込み材)として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。
 また上記レジスト下層膜は、EUVレジスト膜の下層膜として、ハードマスクとしての機能以外にも、例えばEUVレジスト膜とインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えばUV(紫外)光やDUV(深紫外)光(:ArF光、KrF光)の基板又は界面からの反射を防止することができる、EUVレジスト膜の下層反射防止膜として、用いることができる。すなわちEUVレジスト膜の下層として効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、そのプロセスはフォトレジスト用下層膜と同様に行うことができる。
 以上説明した本発明のレジスト下層膜と、半導体基板とを備える半導体加工用基板は、これを用いることによって、好適に半導体基板を加工することができる。
 また、上述した通りの、有機下層膜を形成する工程と、該有機下層膜上に、本発明のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、該シリコン含有レジスト下層膜上に、レジスト膜を形成する工程とを含む、半導体素子の製造方法によれば、精度の高い半導体基板の加工を再現性よく実現できるため、半導体素子の安定的な製造を期待できる。
 以下、合成例および実施例を挙げて、本発明をより具体的に説明するが、本発明は下記に限定されるものではない。
 上記の加水分解性シランの加水分解縮合物(ポリオルガノシロキサン)は、重量平均分子量1,000~1,000,000、又は,1000~100,000の縮合物を得ることができる。これらの分子量はGPC分析によるポリスチレン換算で得られる分子量である。
 GPCの測定条件は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工(株)製)、カラム温度は40℃、溶離液(溶出溶媒)はテトラヒドロフラン、流量(流速)は1.0mL/min、標準試料はポリスチレン(昭和電工(株)製)を用いて行うことができる。
[1]合成例1~19、比較合成例1~3、参考合成例:加水分解縮合物(ポリシロキサン)の合成
<合成例1>
 テトラエトキシシラン29.2g、メチルトリエトキシシラン5.8g、フェニルトリメトキシシラン5.1g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート2.7g、[(3-トリエトキシシリル)プロピル]コハク酸無水物3.3gおよび1-エトキシ-2-プロパノール67gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸28g水溶液を滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下で、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,700であった。
 なお以下の合成例・比較合成例・参考合成例に記載の化学式において、シロキサン単位の横に付された数字はモル比(合計100)を表す。
Figure JPOXMLDOC01-appb-C000045
<合成例2>
 テトラエトキシシラン29.2g、メチルトリエトキシシラン7.7g、フェニルトリメトキシシラン5.1g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート2.7gおよび1-エトキシ-2-プロパノール67gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液28gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下で、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,900であった。
Figure JPOXMLDOC01-appb-C000046
<合成例3>
 テトラエトキシシラン29.2g、メチルトリエトキシシラン15.0g、フェニルトリメトキシシラン6.7g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート3.5g、[(3-トリエトキシシリル)プロピル]コハク酸無水物4.3gおよび1-エトキシ-2-プロパノール88gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液35gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下で、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,600であった。
Figure JPOXMLDOC01-appb-C000047
<合成例4>
 テトラエトキシシラン29.2g、メチルトリエトキシシラン17.5g、フェニルトリメトキシシラン6.7g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート3.5gおよび1-エトキシ-2-プロパノール85gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液35gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下で、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,800であった。
Figure JPOXMLDOC01-appb-C000048
<合成例5>
 テトラエトキシシラン31.2g、メチルトリエトキシシラン5.7g、フェニルトリメトキシシラン5.1g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート2.7gおよび1-エトキシ-2-プロパノール67gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液28gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下で、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,700であった。
Figure JPOXMLDOC01-appb-C000049
<合成例6>
 テトラエトキシシラン31.2g、メチルトリエトキシシラン9.1g、フェニルトリメトキシシラン4.6g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート2.9gおよび1-エトキシ-2-プロパノール72gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液30gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下で、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,000であった。
Figure JPOXMLDOC01-appb-C000050
<合成例7>
 テトラエトキシシラン14.6g、メチルトリエトキシシラン3.8g、フェニルトリメトキシシラン2.1g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート2.2gおよび1-エトキシ-2-プロパノール34gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液14gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,800であった。
Figure JPOXMLDOC01-appb-C000051
<合成例8>
 テトラエトキシシラン16.7g、ビニルトリメトキシシラン3.4g、フェニルトリメトキシシラン2.3gおよび1-エトキシ-2-プロパノール33gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液15gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,100であった。
Figure JPOXMLDOC01-appb-C000052
<合成例9>
 テトラエトキシシラン31.2g、ビニルトリメトキシシラン6.4g、フェニルトリメトキシシラン4.3g、[(3-トリエトキシシリル)プロピル]コハク酸無水物0.7gおよび1-エトキシ-2-プロパノール64gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液28gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,900であった。
Figure JPOXMLDOC01-appb-C000053
<合成例10>
 テトラエトキシシラン29.2g、ビニルトリメトキシシラン6.1g、フェニルトリメトキシシラン4.1g、[(3-トリエトキシシリル)プロピル]コハク酸無水物1.3gおよび1-エトキシ-2-プロパノール61gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液28gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,000であった。
Figure JPOXMLDOC01-appb-C000054
<合成例11>
 テトラエトキシシラン16.7g、ビニルトリメトキシシラン3.7g、フェニルトリメトキシシラン2.4g、[(3-トリエトキシシリル)プロピル]コハク酸無水物1.9g、[3-(N,N-ジメチルアミノ)プロピル]トリメトキシシラン0.1gおよび1-エトキシ-2-プロパノール37gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液16gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,300であった。
Figure JPOXMLDOC01-appb-C000055
<合成例12>
 テトラエトキシシラン14.6g、ビニルトリメトキシシラン2.2g、フェニルトリメトキシシラン2.0g、ジメトキシメチルビニルシラン0.7gおよび1-エトキシ-2-プロパノール29gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液13gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,100であった。
Figure JPOXMLDOC01-appb-C000056
<合成例13>
テトラエトキシシラン14.6g、ビニルトリメトキシシラン3.7g、ジフェニルジメトキシシラン2.0g、および1-エトキシ-2-プロパノール29gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液13gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,100であった。
Figure JPOXMLDOC01-appb-C000057
<合成例14>
 テトラエトキシシラン20.8g、ビニルトリメトキシシラン4.3g、フェニルトリメトキシシラン4.6g、[(3-トリエトキシシリル)プロピル]コハク酸無水物0.5gおよび1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液20gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,300であった。
Figure JPOXMLDOC01-appb-C000058
<合成例15>
 テトラエトキシシラン20.8g、ビニルトリメトキシシラン4.3g、フェニルトリメトキシシラン4.6g、[(3-トリエトキシシリル)プロピル]コハク酸無水物0.5g、[3-(N,N-ジメチルアミノ)プロピル]トリメトキシシラン0.1gおよび1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液20gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,500であった。
Figure JPOXMLDOC01-appb-C000059
<合成例16>
 テトラエトキシシラン20.8g、ビニルトリメトキシシラン4.3g、フェニルトリメトキシシラン4.6g、[(3-トリエトキシシリル)プロピル]コハク酸無水物0.5g、[3-(N,N-ジメチルアミノ)プロピル]トリメトキシシラン0.03gおよび1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液20gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,300であった。
Figure JPOXMLDOC01-appb-C000060
<合成例17>
 テトラエトキシシラン20.8g、ビニルトリメトキシシラン4.3g、フェニルトリメトキシシラン4.6g、[(3-トリエトキシシリル)プロピル]コハク酸無水物0.5g、[3-(N,N-ジメチルアミノ)プロピル]トリメトキシシラン0.01gおよび1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液20gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,200であった。
Figure JPOXMLDOC01-appb-C000061
<合成例18>
 テトラエトキシシラン20.8g、ビニルトリメトキシシラン4.4g、フェニルトリメトキシシラン4.7g、[(3-トリエトキシシリル)プロピル]コハク酸無水物1.2g、[3-(N,N-ジメチルアミノ)プロピル]トリメトキシシラン0.03gおよび1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液20gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,000であった。
Figure JPOXMLDOC01-appb-C000062
<合成例19>
 テトラエトキシシラン20.8g、ビニルトリメトキシシラン4.6g、フェニルトリメトキシシラン4.9g、[(3-トリエトキシシリル)プロピル]コハク酸無水物2.5g、[3-(N,N-ジメチルアミノ)プロピル]トリメトキシシラン0.03gおよび1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液20gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,000であった。
Figure JPOXMLDOC01-appb-C000063
<比較合成例1>
 テトラエトキシシラン20.8g、メチルトリエトキシシラン7.6gおよび1-エトキシ-2-プロパノール42gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの酢酸水溶液19gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,300であった。
Figure JPOXMLDOC01-appb-C000064
<比較合成例2>
 テトラエトキシシラン12.5g、メチルトリエトキシシラン10.7g、フェニルトリメトキシシラン3.6g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート1.9g、[(3-トリエトキシシリル)プロピル]コハク酸無水物2.3gおよび1-エトキシ-2-プロパノール46gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへマグネチックスターラーにて撹拌しながら、0.2mol/Lの硝酸水溶液18gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,800であった。
Figure JPOXMLDOC01-appb-C000065
<比較合成例3>
 テトラエトキシシラン12.5g、メチルトリエトキシシラン12.0g、フェニルトリメトキシシラン3.6g、3-(トリエトキシシリルプロピル)ジアリルイソシアヌレート1.9gおよび1-エトキシ-2-プロパノール45gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへマグネチックスターラーにて撹拌しながら、0.2mol/Lの硝酸水溶液18gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるメタノールおよびエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で1,900であった。
Figure JPOXMLDOC01-appb-C000066
<参考合成例>
 テトラエトキシシラン20.8g、メチルトリエトキシシラン7.6gおよび1-エトキシ-2-プロパノール42gを100mLのフラスコに入れて撹拌し、得られた溶液をマグネチックスターラーにて撹拌しながら、そこへ0.2mol/Lの硝酸水溶液19gを滴下した。
 滴下後、65℃に調整されたオイルバスにフラスコを移し、16時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に1-エトキシ-2-プロパノールを100g加え、減圧下、水および硝酸、並びに反応副生物であるエタノールを反応溶液から減圧留去することによって、1-エトキシ-2-プロパノールを溶媒とする加水分解縮合物(ポリマー)の濃縮液を得た。なお、得られた濃縮液の固形分濃度は、150℃で加熱した場合における固形残物換算で20質量%を超えるものであった。
 得られたポリシロキサンは下記式に相当し、GPCによる重量平均分子量(Mw)はポリスチレン換算で2,700であった。
Figure JPOXMLDOC01-appb-C000067
[2]実施例1~19、参考例及び比較例1:保存安定性評価
 合成例1~19、参考合成例、および比較合成例1で得られた加水分解縮合物(ポリマー)の濃縮液に、それぞれ1-エトキシ-2-プロパノールを加えて150℃で加熱した場合における固形残物換算で13質量%となるように濃度を調整し、プロピレングリコールモノエチルエーテルを溶媒とする加水分解縮合物(ポリマー)の溶液(固形分濃度13質量%)を得た。
 得られた溶液を35℃で1週間保管し、保管前後における溶液中の加水分解縮合物の重量平均分子量をそれぞれ測定し、重量平均分子量の変化率(%)を算出した。保管前の重量平均分子量に対して保管後の重量平均分子量の変化率が10%を超えた場合を「不良」と、10%以下の場合を「良好」として評価した。得られた結果を表1に示す。
Figure JPOXMLDOC01-appb-T000068
[3]実施例20~38および比較例2~4:シリコン含有レジスト下層膜形成用組成物(塗布液)の調製
 合成例1~19および比較合成例1~3で得られた加水分解縮合物(ポリマー)の濃縮液に、表2に示す各種添加剤および溶媒を表2に示す割合で混合し、得られた各混合物を孔径0.1μmのフッ素樹脂製のフィルタでろ過し、塗布液を得た。表2中の各添加量は質量部で示した。
 なお、表2中の加水分解縮合物(ポリマー)の添加割合は、加水分解縮合物(ポリマー)の濃縮液の添加量ではなく、ポリマー自体の添加量を示した。
 また表2中、PGEEは1-エトキシ-2-プロパノール(プロピレングリコールモノエチルエーテル)を、PGMEAは1-メトキシ-2-プロパノールモノアセテート(プロピレングリコールモノメチルエーテルアセテート)を、PGMEは1-メトキシ-2-プロパノール(プロピレングリコールモノメチルエーテル)を、それぞれ意味する。
 またMAはマレイン酸を、IMIDはN-(3-トリエトキシシリルプロピル)-4,5-ジヒドロイミダゾールを、TPSNO3はトリフェニルスルホニウム硝酸塩を、それぞれ意味する。
Figure JPOXMLDOC01-appb-T000069
[4]有機下層膜形成用組成物の調製
 窒素下、100mLの四口フラスコにカルバゾール(6.69g、0.040mol、東京化成工業(株)製)、9-フルオレノン(7.28g、0.040mol、東京化成工業(株)製)およびパラトルエンスルホン酸一水和物(0.76g、0.0040mol、東京化成工業(株)製)を入れ、そこへ1,4-ジオキサン(6.69g、関東化学(株)製)を入れて撹拌した後、混合物を100℃まで昇温して固体を溶解させ、重合を開始させた。
 24時間後、反応混合物を60℃まで放冷し、クロロホルム(34g、関東化学(株)製)を加え希釈し、希釈した反応混合物をメタノール(168g、関東化学(株)製)に滴下し、再沈殿を行った。得られた沈殿物をろ過で回収し、回収した固体を80℃で24時間乾燥し、目的とする式(X)で表されるポリマー(以下、PCzFLと略す)9.37gを得た。
 なお、PCzFLのH-NMRの測定結果は以下の通りであった。
H-NMR(400MHz,DMSO-d):δ7.03-7.55(br,12H),δ7.61-8.10(br,4H),δ11.18(br,1H)
 また、PCzFLの重量平均分子量(Mw)は、GPCによるポリスチレン換算で2,800で、多分散度Mw/Mnは1.77であった。
Figure JPOXMLDOC01-appb-C000070
 PCzFL 20gと、架橋剤としてテトラメトキシメチルグリコールウリル(日本サイテック・インダストリーズ(株)(旧 三井サイテック(株))製、商品名パウダーリンク1174)3.0gと、触媒としてピリジニウムパラトルエンスルホネート0.30gと、界面活性剤としてメガファックR-30(DIC(株)製、商品名)0.06gとを混合し、混合物をプロピレングリコールモノメチルエーテルアセテート88gに溶解させ溶液とした。その後、該溶液を孔径0.10μmのポリエチレン製ミクロフィルタを用いてろ過し、更に、孔径0.05μmのポリエチレン製ミクロフィルタを用いてろ過して、有機下層膜形成用組成物を調製した。
[5]ArF露光によるレジストパターン評価:PTD
 シリコンウエハー上に、上記有機下層膜形成用組成物を、スピナーを用いてシリコンウエハー上に塗布し、ホットプレート上で240℃で60秒間加熱することで、有機下層膜(A層)(膜厚200nm)を形成した。
 その上に、実施例20で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)(20nm)を形成した。
 更にその上に、市販のArF用レジスト(JSR(株)製、商品名:AR2772JN)をスピンコートし、ホットプレート上で110℃で90秒間加熱することにより、レジスト膜(C層)(120nm)を形成した後、(株)ニコン製NSR-S307Eスキャナー(波長:193nm、NA:0.85、σ:0.85/0.93)を用い、下記現像後にフォトレジストのライン幅およびライン間の幅が0.065μmとなるように、すなわち0.065μmのラインアンドスペース(L/S)=1/1のデンスラインが形成されるように設定されたマスクを通して露光を行った。
 露光後、露光後加熱(110℃1分間)を行い、クーリングプレート上で室温まで冷却し、2.38%アルカリ水溶液を用いて60秒間現像し、リンス処理をし、レジストパターンを形成した。
 同様の手順にて、実施例21~38及び比較例2~4で得られた各塗布液を用いて、レジストパターンをそれぞれ形成した。
 得られたフォトレジストパターンについて、パターン断面観察によるパターン形状を確認することにより評価し、パターン倒れ(著しいパターン剥がれやアンダーカット、ライン底部の太り(フッティング))が発生していないものを「良好」、パターン倒れが発生しているものを「不良」と評価した。得られた結果を表3に示す。
 なお、以降の説明において、使用したレジスト下層膜形成用組成物の例番号を、当該組成物を用いて実施した各種評価の例番号としても扱うものとする。
[6]FT-IRによるシロキサン結合強度比の評価
 シリコンウエハー上に、実施例20で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)(120nm)を形成した。
 同様の手順にて、実施例21~38及び比較例2で得られた各塗布液を用いて、シリコン含有レジスト下層膜をそれぞれ形成した。
 得られた各シリコン含有レジスト下層膜について、フーリエ変換赤外分光法(FT/IR-6600(日本分光(株)製))を用い、波数1000~1250cm-1に観察されるシロキサン結合のピーク強度を比較した。ピーク強度は、比較例2のシリコン含有レジスト下層膜の強度を100として規格化した値を用いて比較した。比較例2に対する結合強度比が比較的高い(例えば90以上など)場合、溶解性が低下する傾向がある。得られた結果を表3に示す。
[7]SC-1薬液(アンモニア/過酸化水素水溶液)による除去性評価
 シリコンウエハー上に、実施例20で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)(20nm)を形成した。
 同様の手順にて、実施例21~38及び比較例2で得られた各塗布液を用いて、シリコン含有レジスト下層膜をそれぞれ形成した。
 得られた各シリコン含有レジスト下層膜が形成されたシリコンウエハーを、液温60℃に調整したSC-1薬液(28%アンモニア水/33%過酸化水素水/水=1/1/40(v/v/v))に180秒間浸漬し、次いで水で60秒間リンスした後、乾燥させた。そして、SC-1薬液への浸漬後におけるシリコン含有レジスト下層膜の厚さを測定し、膜厚の変化率(%)を算出した。浸漬前のシリコン含有レジスト下層膜の膜厚に対して浸漬後の膜厚の変化率が90%以上のものを「良好」、90%未満のものを「不良」と評価した。得られた結果を表3に示す。
[8]ドライエッチング後の残渣評価
 シリコンウエハー上に、上記有機下層膜形成用組成物を、スピナーを用いてシリコンウエハー上に塗布し、ホットプレート上で240℃で60秒間加熱することで、有機下層膜(A層)(膜厚70nm)を形成した。
 その上に、実施例20で得られた塗布液をスピンコートし、ホットプレート上で215℃で1分間加熱することにより、シリコン含有レジスト下層膜(B層)(20nm)を形成した。
 ラムリサーチ(株)製ドライエッチャー(LAM-2300)を用い、CF4系ガス条件下、ドライエッチングを20秒間実施し、得られた膜付きシリコンウエハーからシリコン含有レジスト下層膜(B層)を除去した。その後、O2/COS系ガス条件下、ドライエッチングを5秒間実施し、有機下層膜(A層)を除去した。
 同様の手順にて、実施例21~38並びに比較例3および4で得られた各塗布液を用いて、シリコン含有レジスト下層膜を形成し、シリコン含有レジスト下層膜(B層)および有機下層膜(A層)を除去した。
 有機下層膜(A層)およびシリコン含有レジスト下層膜(B層)が除去されたシリコンシリコンウエハー表面を走査型プローブ顕微鏡((株)日立ハイテク製、AFM5000)を用いて観察した。幅0.05μm以上、高さ2nm以上の凸型のエッチング残渣が確認された場合は「不良」、確認されない場合は「良好」と評価した。得られた結果を表3に示す。
Figure JPOXMLDOC01-appb-T000071

Claims (10)

  1. 式(1)で表される加水分解性シランとアルキルトリアルコキシシランとを含む加水分解性シラン混合物の加水分解縮合物を含むレジスト下層膜形成用組成物であって、
    上記加水分解性シラン混合物におけるアルキルトリアルコキシシランの含有量は、上記加水分解性シラン混合物に含まれる全加水分解性シランの全モル数に基づいて、0モル%以上40モル%未満である、レジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000001
    [式(1)中、
    は、ケイ素原子に結合する基であって、コハク酸無水物骨格、アルケニル基、アリール基及び下記式(1-2)で表される基からなる群から選択される少なくとも1種の基又は骨格を含む有機基を表し、
    Figure JPOXMLDOC01-appb-C000002
    〔式(1-2)中、
    101は、下記式(1-3)乃至式(1-5)で表される基のいずれかを表すとともに、下記式(1-4)及び式(1-5)におけるケトン基の炭素原子は、式(1-2)におけるR102が結合する窒素原子と結合し
    Figure JPOXMLDOC01-appb-C000003
    (式(1-3)乃至式(1-5)中、R103乃至R107は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表す。)、
    101は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を含む有機基を表し、
    102は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(-S-)、エーテル結合(-O-)又はエステル結合(-C(=O)-O-又は-O-C(=O)-)を表す。〕
    は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表し、
    aは1を表し、bは0~2の整数を表し、4-(a+b)は1~3の整数を表す。]
  2. 上記Rは、ケイ素原子に結合する基であって、コハク酸無水物骨格、ビニル基、フェニル基及びイソシアヌル酸骨格からなる群から選択される少なくとも1種の基又は骨格を含む有機基を表す、請求項1に記載のレジスト下層膜形成用組成物。
  3. 上記加水分解性シラン混合物が、下記式(2)で表される加水分解性シランを更に含む、請求項1又は請求項2に記載のレジスト下層膜形成用組成物。
    Figure JPOXMLDOC01-appb-C000004
    (式(2)中、
    は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいハロゲン化アルキル基、若しくは置換されていてもよいアルコキシアルキル基を表すか、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アミノ基、アミド基、アルコキシ基、スルホニル基、若しくはシアノ基を含む有機基、又はそれらの組み合わせを表し、
    は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表し、
    cは、0~3の整数を表す。)
  4. 上記加水分解性シラン混合物における上記式(1)で表される化合物の含有量は、上記加水分解性シラン混合物に含まれる全加水分解性シランの全モル数に基づいて、5モル%以上である、請求項1乃至請求項3のうち何れか一項に記載のレジスト下層膜形成用組成物。
  5. 式(1)で表される化合物として、Rがコハク酸無水物骨格を含む有機基を表す化合物を含む、請求項4に記載のレジスト下層膜形成用組成物。
  6. 上記加水分解性シラン混合物における、Rがコハク酸無水物骨格を含む有機基を表す式(1)で表される化合物の含有量は、上記加水分解性シラン混合物に含まれる全加水分解性シランの全モル数に基づいて、1モル%以上である、請求項5に記載のレジスト下層膜形成用組成物。
  7. pHが2~5の組成物である、請求項1乃至請求項6のうち何れか一項に記載のレジスト下層膜形成用組成物。
  8. 半導体基板上に有機下層膜を形成する工程、
    上記有機下層膜の上に、請求項1乃至請求項7のうち何れか一項に記載のレジスト下層膜形成用組成物を塗布し、焼成して、シリコン含有レジスト下層膜を形成する工程、
    上記シリコン含有レジスト下層膜の上に、レジスト膜形成用組成物を塗布し、レジスト膜を形成する工程、
    上記レジスト膜を露光、現像し、レジストパターンを得る工程、
    レジストパターンをマスクに用い、上記シリコン含有レジスト下層膜をエッチングする工程、
    パターン化された上記シリコン含有レジスト下層膜をマスクとして用い、上記有機下層膜をエッチングする工程を含む、
    パターン形成方法。
  9. 上記有機下層膜をエッチングする工程の後に、薬液を用いた湿式法によりシリコン含有レジスト下層膜を除去する工程を更に含む、
    請求項8に記載のパターン形成方法。
  10. 上記薬液が、塩基性薬液である、請求項9に記載のパターン形成方法。
PCT/JP2021/017282 2020-04-30 2021-04-30 レジスト下層膜形成用組成物 WO2021221171A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2022518158A JPWO2021221171A1 (ja) 2020-04-30 2021-04-30
KR1020227041316A KR20230003058A (ko) 2020-04-30 2021-04-30 레지스트 하층막 형성용 조성물
US17/922,553 US20230168582A1 (en) 2020-04-30 2021-04-30 Composition for forming resist underlying film
CN202180031906.8A CN115485624A (zh) 2020-04-30 2021-04-30 抗蚀剂下层膜形成用组合物

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020080738 2020-04-30
JP2020-080738 2020-04-30

Publications (1)

Publication Number Publication Date
WO2021221171A1 true WO2021221171A1 (ja) 2021-11-04

Family

ID=78332032

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2021/017282 WO2021221171A1 (ja) 2020-04-30 2021-04-30 レジスト下層膜形成用組成物

Country Status (6)

Country Link
US (1) US20230168582A1 (ja)
JP (1) JPWO2021221171A1 (ja)
KR (1) KR20230003058A (ja)
CN (1) CN115485624A (ja)
TW (1) TW202204483A (ja)
WO (1) WO2021221171A1 (ja)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011102470A1 (ja) * 2010-02-19 2011-08-25 日産化学工業株式会社 窒素含有環を有するシリコン含有レジスト下層膜形成組成物
WO2016093172A1 (ja) * 2014-12-08 2016-06-16 日産化学工業株式会社 ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
JP2017020000A (ja) * 2015-06-15 2017-01-26 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 湿式剥離性シリコン含有反射防止剤
JP2019185008A (ja) * 2018-04-13 2019-10-24 三星電子株式会社Samsung Electronics Co.,Ltd. リソグラフィー用基板処理組成物及びこれを利用する半導体素子の製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6250514B2 (ja) 2014-10-03 2017-12-20 信越化学工業株式会社 塗布型bpsg膜形成用組成物、基板、及びパターン形成方法
US20200041906A1 (en) 2017-03-31 2020-02-06 Nissan Chemical Corporation Composition for forming silicon-containing resist underlayer film having carbonyl structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011102470A1 (ja) * 2010-02-19 2011-08-25 日産化学工業株式会社 窒素含有環を有するシリコン含有レジスト下層膜形成組成物
WO2016093172A1 (ja) * 2014-12-08 2016-06-16 日産化学工業株式会社 ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
JP2017020000A (ja) * 2015-06-15 2017-01-26 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 湿式剥離性シリコン含有反射防止剤
JP2019185008A (ja) * 2018-04-13 2019-10-24 三星電子株式会社Samsung Electronics Co.,Ltd. リソグラフィー用基板処理組成物及びこれを利用する半導体素子の製造方法

Also Published As

Publication number Publication date
KR20230003058A (ko) 2023-01-05
TW202204483A (zh) 2022-02-01
US20230168582A1 (en) 2023-06-01
CN115485624A (zh) 2022-12-16
JPWO2021221171A1 (ja) 2021-11-04

Similar Documents

Publication Publication Date Title
WO2014069329A1 (ja) エステル基を有するシリコン含有レジスト下層膜形成組成物
WO2016009965A1 (ja) 脂肪族多環構造含有有機基を有するシリコン含有レジスト下層膜形成組成物
JP7235211B2 (ja) 膜形成用組成物
WO2020196563A1 (ja) 膜形成用組成物
JP2021189314A (ja) シリコン含有レジスト下層膜の製造方法
WO2023037979A1 (ja) シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法
WO2022230940A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022114132A1 (ja) シリコン含有レジスト下層膜形成用組成物
JP7495015B2 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
WO2021221171A1 (ja) レジスト下層膜形成用組成物
WO2022210960A1 (ja) 誘導自己組織化用シリコン含有下層膜形成用組成物
WO2023074777A1 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
WO2022210901A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210954A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022260154A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023136250A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2022114134A1 (ja) レジスト下層膜形成用組成物
WO2022210944A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023008507A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2024063044A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2020196642A1 (ja) 膜形成用組成物
WO2024019064A1 (ja) 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物
WO2024009993A1 (ja) 積層体の製造方法、及び半導体素子の製造方法
WO2023157943A1 (ja) 不飽和結合及び環式構造を有するシリコン含有レジスト下層膜形成組成物
KR20220162140A (ko) 막 형성용 조성물

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21797913

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2022518158

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20227041316

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21797913

Country of ref document: EP

Kind code of ref document: A1