WO2023037979A1 - シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法 - Google Patents

シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法 Download PDF

Info

Publication number
WO2023037979A1
WO2023037979A1 PCT/JP2022/033125 JP2022033125W WO2023037979A1 WO 2023037979 A1 WO2023037979 A1 WO 2023037979A1 JP 2022033125 W JP2022033125 W JP 2022033125W WO 2023037979 A1 WO2023037979 A1 WO 2023037979A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
silicon
resist underlayer
underlayer film
composition
Prior art date
Application number
PCT/JP2022/033125
Other languages
English (en)
French (fr)
Inventor
亘 柴山
諭 武田
俊介 森谷
高広 岸岡
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Priority to JP2023546919A priority Critical patent/JPWO2023037979A1/ja
Priority to KR1020247004110A priority patent/KR20240063861A/ko
Priority to CN202280059504.3A priority patent/CN117940850A/zh
Publication of WO2023037979A1 publication Critical patent/WO2023037979A1/ja

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon

Definitions

  • the present invention relates to a composition for forming a silicon-containing resist underlayer film, a laminate using the composition, and a method for manufacturing a semiconductor element.
  • Cu wiring has been widely used as silicon semiconductor products have become finer and have higher performance. Since dry etching of copper is extremely difficult, the formation of Cu wiring is generally performed by forming a wiring groove by dry etching an inter-wiring insulating film (also called an interlayer insulating film) and by electroplating the formed wiring groove. A series of steps (damascene method) including a copper embedding step, a step of removing an excess Cu film by chemical mechanical polishing (CMP), and a planarization step are performed.
  • CMP chemical mechanical polishing
  • the barrier metal layer prevents Cu metal atoms from diffusing from the wiring into the surrounding insulating film.
  • a metal material having a higher resistivity than Cu is used for the barrier metal layer. Therefore, the barrier metal layer increases wiring resistance.
  • the wiring pitch is reduced, it is desirable to reduce the thickness of the barrier metal layer as well.
  • the barrier metal layer can only be thinned to a certain extent. That is, as miniaturization progresses, the ratio of the barrier metal layer to the wiring cross section increases from a certain stage.
  • the metal of the barrier metal layer typically has a higher resistivity than Cu. Therefore, the resistance value rapidly increases due to miniaturization. Therefore, in order to deal with this problem, it is expected that new metals such as Ru, W, and Mo will be applied to the metal wiring in the next-generation semiconductor devices for ultra-fine processing, instead of Cu.
  • a subtractive method can be used to manufacture a semiconductor device having a semiconductor substrate and a metal wiring layer (patterned metal film).
  • a hard mask layer is formed on a metal film, and the hard mask layer is etched to form a wiring pattern (prototype).
  • the hard mask is used to etch the metal film (to form a metal wiring layer) to form a wiring pattern similar to that of the hard mask.
  • the hard mask is removed and an insulating film (dielectric film) is embedded by chemical vapor deposition (CVD). Then, the surface is scraped and flattened by CMP to expose the surface of the metal wiring layer.
  • CVD chemical vapor deposition
  • a semiconductor device can be manufactured by the subtractive method through the series of steps described above.
  • the present invention uses a new metal, such as Ru, which is not Cu, by a subtractive method to manufacture a semiconductor device having a semiconductor substrate and a metal wiring layer, and dry-etches the film of the new metal. It is an object of the present invention to provide a mask material that can be suitably used as an etching mask for. More specifically, the present invention uses a new metal, such as Ru, which is not Cu, to manufacture a semiconductor device by a subtractive method. The object of the present invention is to provide a composition for forming a resist underlayer film that can be suitably used as an etching mask for dry etching a film of a metal selected from the group consisting of Groups 9 and 9.
  • the present inventors have found that as an etching mask used in dry etching a metal film containing a metal selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table of elements such as Ru, silicon-containing It was found that a silicon-containing resist underlayer film formed from a composition for forming a resist underlayer film can be suitably used. The inventors have found that a barrier metal layer-free semiconductor device that does not cause the problem of a barrier metal layer can be suitably obtained, and have completed the present invention.
  • a silicon-containing resist for use as an etching mask when dry-etching a metal film containing at least one metal selected from the group consisting of groups 6, 7, 8, and 9 of the periodic table A composition for forming a silicon-containing resist underlayer film that forms an underlayer film.
  • composition for forming a silicon-containing resist underlayer film according to any one of [3] to [6], wherein the polysiloxane of component [A] is a polysiloxane using a trifunctional alkoxysilane as a raw material.
  • the solvent of component [B] contains at least one of propylene glycol monoalkyl ether and methyl isobutyl carbinol.
  • a laminate having The silicon-containing resist underlayer film is formed using the composition for forming a silicon-containing resist underlayer film according to any one of [1] to [14], A laminate, wherein the metal film is subjected to dry etching.
  • [16] forming a metal film containing at least one metal selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table on a semiconductor substrate; forming a silicon-containing resist underlayer film on the metal film using the composition for forming a silicon-containing resist underlayer film according to any one of [1] to [14]; forming a resist film on the silicon-containing resist underlayer film;
  • a method of manufacturing a semiconductor device comprising: [17] The method for manufacturing a semiconductor device according to [16], wherein in the step of forming the silicon-containing resist underlayer film, a composition for forming a silicon-containing resist underlayer film filtered through a nylon filter is used.
  • a new metal such as Ru which is not Cu is used to manufacture a semiconductor device by a subtractive method. It is possible to provide a composition for forming a resist underlayer film that can be suitably used as an etching mask for dry etching a metal film selected from the group consisting of:
  • composition for forming silicon-containing resist underlayer film of the present invention is used for forming a silicon-containing resist underlayer film.
  • the silicon-containing resist underlayer film is a metal film containing at least one metal selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table (hereinafter also referred to as "specific metal"). Used as an etching mask during dry etching.
  • a silicon-containing resist underlayer film is formed on a metal film containing a specific metal to be used as an etching mask.
  • the silicon-containing resist underlayer film is preferably a film formed by coating.
  • the present inventors have found that as an etching mask used in dry etching a metal film containing a metal selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table of elements such as Ru, silicon-containing It has been found that a silicon-containing resist underlayer film formed from a composition for forming a resist underlayer film can be suitably used.
  • composition for forming a silicon-containing resist underlayer film of the present invention contains polysiloxane as the [A] component, a solvent as the [B] component, and, if necessary, other components.
  • Polysiloxane as component [A] is not particularly limited as long as it is a polymer having a siloxane bond.
  • the polysiloxane may be a polysiloxane using a tetrafunctional alkoxysilane as a raw material.
  • the polysiloxane may also be a polysiloxane using a trifunctional alkoxysilane as a raw material.
  • the polysiloxane may include a modified polysiloxane in which at least a portion of the silanol groups are modified, such as a modified polysiloxane in which at least a portion of the silanol groups are alcohol-modified or acetal-protected.
  • polysiloxane includes, for example, a hydrolytic condensate of a hydrolyzable silane, and may contain a dehydration reaction product of a hydrolytic condensate and an alcohol, or at least part of the silanol groups possessed by the hydrolytic condensate. may contain alcohol-modified or acetal-protected modified polysiloxanes.
  • the hydrolyzable silane associated with the hydrolytic condensate can contain one or more hydrolyzable silanes.
  • the polysiloxane may have any structure having a cage, ladder, linear, or branched main chain. Furthermore, commercially available polysiloxane can be used as polysiloxane.
  • the "hydrolytic condensate" of the hydrolyzable silane that is, the product of hydrolytic condensation, includes not only the polyorganosiloxane polymer, which is a condensate in which the condensation has been completely completed, but also Also included are polyorganosiloxane polymers that are incomplete partial hydrolytic condensates.
  • Such a partially hydrolyzed condensate is also a polymer obtained by hydrolysis and condensation of a hydrolyzable silane, similar to the condensate in which the condensation is completely completed, but it stops at partial hydrolysis and condenses. , and therefore the Si--OH groups remain.
  • the silicon-containing resist underlayer film-forming composition contains uncondensed hydrolysates (complete hydrolysates, partial hydrolysates) and monomers (hydrolyzable silane).
  • hydrolyzable silane may be simply referred to as "silane compound”.
  • polysiloxane examples include hydrolytic condensates of hydrolyzable silanes containing at least one hydrolyzable silane represented by the following formula (1).
  • R 1 is a group bonded to a silicon atom and independently of each other, an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted an alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or an organic group having an epoxy group, an organic group having an acryloyl group, or an organic group having a methacryloyl group; It represents an organic group having a mercapto group, an organic group having an amino group, an organic group having an alkoxy group, an organic group having a sulfonyl group, an organic group having a cyano group, or a combination of two or more thereof.
  • R 2 is a group
  • the alkyl group may be linear, branched, or cyclic, and the number of carbon atoms thereof is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less. , more preferably 10 or less.
  • alkyl group specific examples of linear or branched alkyl groups include methyl group, ethyl group, n-propyl group, i-propyl group, n-butyl group, i-butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, n-hexyl group, 1-methyl-n-pentyl group, 2-methyl-n -pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-
  • cyclic alkyl groups include cyclopropyl, cyclobutyl, 1-methyl-cyclopropyl, 2-methyl-cyclopropyl, cyclopentyl, 1-methyl-cyclobutyl, 2-methyl-cyclobutyl, 3 -methyl-cyclobutyl, 1,2-dimethyl-cyclopropyl, 2,3-dimethyl-cyclopropyl, 1-ethyl-cyclopropyl, 2-ethyl-cyclopropyl, cyclohexyl, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group, 1,2-dimethyl-cyclobutyl group, 1,3- dimethyl-cyclobutyl group, 2,2-dimethyl-cyclobutyl group, 2,3-dimethyl-cyclobutyl group
  • the aryl group includes a phenyl group, a monovalent group derived by removing one hydrogen atom from a condensed ring aromatic hydrocarbon compound, and 1 derived by removing one hydrogen atom from a ring-linked aromatic hydrocarbon compound.
  • the number of carbon atoms is not particularly limited, but is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • the aryl group includes an aryl group having 6 to 20 carbon atoms, examples of which include a phenyl group, 1-naphthyl group, 2-naphthyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1- phenanthryl group, 2-phenanthryl group, 3-phenanthryl group, 4-phenanthryl group, 9-phenanthryl group, 1-naphthacenyl group, 2-naphthacenyl group, 5-naphthacenyl group, 2-chrysenyl group, 1-pyrenyl group, 2- pyrenyl group, pentacenyl group, benzopyrenyl group, triphenylenyl group; biphenyl-2-yl group (o-biphenylyl group), biphenyl-3-yl group (m-biphenylyl group), biphenyl-4-yl group (p-biphenylyl group;
  • An aralkyl group is an alkyl group substituted with an aryl group, and specific examples of such an aryl group and an alkyl group are the same as those described above.
  • the number of carbon atoms in the aralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • aralkyl groups include phenylmethyl group (benzyl group), 2-phenylethylene group, 3-phenyl-n-propyl group, 4-phenyl-n-butyl group, 5-phenyl-n-pentyl group, 6 -phenyl-n-hexyl group, 7-phenyl-n-heptyl group, 8-phenyl-n-octyl group, 9-phenyl-n-nonyl group, 10-phenyl-n-decyl group, etc., and these is not limited to
  • Halogenated alkyl groups, halogenated aryl groups, and halogenated aralkyl groups are alkyl groups, aryl groups, and aralkyl groups, respectively, substituted with one or more halogen atoms, and such alkyl groups, aryl groups, and aralkyl Specific examples of the groups are the same as those mentioned above.
  • Halogen atoms include fluorine, chlorine, bromine, and iodine atoms.
  • halogenated alkyl groups include monofluoromethyl group, difluoromethyl group, trifluoromethyl group, bromodifluoromethyl group, 2-chloroethyl group, 2-bromoethyl group, 1,1-difluoroethyl group, 2,2 ,2-trifluoroethyl group, 1,1,2,2-tetrafluoroethyl group, 2-chloro-1,1,2-trifluoroethyl group, pentafluoroethyl group, 3-bromopropyl group, 2,2 , 3,3-tetrafluoropropyl group, 1,1,2,3,3,3-hexafluoropropyl group, 1,1,1,3,3,3-hexafluoropropan-2-yl
  • halogenated aryl groups include 2-fluorophenyl group, 3-fluorophenyl group, 4-fluorophenyl group, 2,3-difluorophenyl group, 2,4-difluorophenyl group and 2,5-difluorophenyl group, 2,6-difluorophenyl group, 3,4-difluorophenyl group, 3,5-difluorophenyl group, 2,3,4-trifluorophenyl group, 2,3,5-trifluorophenyl group, 2, 3,6-trifluorophenyl group, 2,4,5-trifluorophenyl group, 2,4,6-trifluorophenyl group, 3,4,5-trifluorophenyl group, 2,3,4,5- tetrafluorophenyl group, 2,
  • halogenated aralkyl group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples of halogenated aralkyl groups include 2-fluorobenzyl, 3-fluorobenzyl, 4-fluorobenzyl, 2,3-difluorobenzyl, 2,4-difluorobenzyl and 2,5-difluorobenzyl.
  • fluorine atom (fluoro group) in these groups is arbitrarily substituted with a chlorine atom (chloro group), a bromine atom (bromo group), or an iodine atom (iodo group), but is not limited thereto.
  • Alkoxyalkyl, alkoxyaryl, and alkoxyaralkyl groups are alkyl, aryl, and aralkyl groups, respectively, substituted with one or more alkoxy groups, and specific examples of such alkyl, aryl, and aralkyl groups are Examples are the same as those mentioned above.
  • alkoxy groups as substituents include alkoxy groups having at least one of linear, branched and cyclic alkyl moieties having 1 to 20 carbon atoms.
  • linear or branched alkoxy groups include methoxy, ethoxy, n-propoxy, i-propoxy, n-butoxy, i-butoxy, s-butoxy and t-butoxy groups.
  • n-pentyloxy group 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1,1-dimethyl-n-propoxy group, 1,2-dimethyl- n-propoxy group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n-pentyloxy group, 2-methyl-n-pentyloxy group, 3- methyl-n-pentyloxy group, 4-methyl-n-pentyloxy group, 1,1-dimethyl-n-butoxy group, 1,2-dimethyl-n-butoxy group, 1,3-dimethyl-n-butoxy group, 2 , 2-dimethyl-n-butoxy group, 2,3-dimethyl-n-butoxy group, 3,3-dimethyl-n-butoxy group, 1-ethyl-n-butoxy group, 2-ethyl-n-butoxy group, 1,1,2-trimethyl
  • cyclic alkoxy groups include cyclopropoxy, cyclobutoxy, 1-methyl-cyclopropoxy, 2-methyl-cyclopropoxy, cyclopentyloxy, 1-methyl-cyclobutoxy, 2-methyl- cyclobutoxy, 3-methyl-cyclobutoxy, 1,2-dimethyl-cyclopropoxy, 2,3-dimethyl-cyclopropoxy, 1-ethyl-cyclopropoxy, 2-ethyl-cyclopropoxy, cyclohexyloxy group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclo butoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2,2-dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group, 2,4-dimethyl-cyclobut
  • alkoxyalkyl groups include lower (about 5 or less carbon atoms) alkyloxy lower (about 5 or less carbon atoms) groups such as methoxymethyl group, ethoxymethyl group, 1-ethoxyethyl group, 2-ethoxyethyl group and ethoxymethyl group. degree) alkyl groups and the like, but are not limited to these.
  • alkoxyaryl groups include 2-methoxyphenyl, 3-methoxyphenyl, 4-methoxyphenyl, 2-(1-ethoxy)phenyl, 3-(1-ethoxy)phenyl, 4-( 1-ethoxy)phenyl group, 2-(2-ethoxy)phenyl group, 3-(2-ethoxy)phenyl group, 4-(2-ethoxy)phenyl group, 2-methoxynaphthalen-1-yl group, 3-methoxy naphthalene-1-yl group, 4-methoxynaphthalene-1-yl group, 5-methoxynaphthalene-1-yl group, 6-methoxynaphthalene-1-yl group, 7-methoxynaphthalene-1-yl group and the like. but not limited to these.
  • Specific examples of the alkoxyaralkyl group include, but are not limited to, 3-(methoxyphenyl)benzyl group, 4-(methoxyphenyl)benzyl group and the
  • the alkenyl group may be linear or branched, and the number of carbon atoms thereof is not particularly limited, but is preferably 40 or less, more preferably 30 or less, still more preferably 20 or less, and further preferably 20 or less. It is preferably 10 or less.
  • alkenyl groups include ethenyl (vinyl), 1-propenyl, 2-propenyl, 1-methyl-1-ethenyl, 1-butenyl, 2-butenyl, 3-butenyl, 2 -methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2-pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2-ethyl- 2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-butenyl group, 3-methyl-2-butenyl group, 3-methyl-3-butenyl group, 1,
  • substituents on the above alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, and alkenyl group include , alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, alkoxyalkyl group, aryloxy group, alkoxyaryl group, alkoxyaralkyl group, alkenyl group, alkoxy group, aralkyloxy group etc., and specific examples thereof and suitable carbon numbers thereof are the same as those described above or below.
  • the aryloxy group mentioned in the substituent group is a group to which an aryl group is bonded via an oxygen atom (--O--), and specific examples of such an aryl group are the same as those mentioned above.
  • the number of carbon atoms in the aryloxy group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less.
  • Specific examples thereof include a phenoxy group, naphthalene-2- Examples include, but are not limited to, an yloxy group and the like.
  • the substituents may be combined to form a ring.
  • the organic group having an epoxy group includes glycidoxymethyl group, glycidoxyethyl group, glycidoxypropyl group, glycidoxybutyl group, epoxycyclohexyl group and the like.
  • the organic group having an acryloyl group includes an acryloylmethyl group, an acryloylethyl group, an acryloylpropyl group and the like.
  • Examples of the organic group having a methacryloyl group include methacryloylmethyl group, methacryloylethyl group and methacryloylpropyl group.
  • Organic groups having a mercapto group include mercaptoethyl, mercaptobutyl, mercaptohexyl, mercaptooctyl, and mercaptophenyl groups.
  • the organic group having an amino group includes, but is not limited to, an amino group, an aminomethyl group, an aminoethyl group, an aminophenyl group, a dimethylaminoethyl group, a dimethylaminopropyl group, and the like. Further details of the organic group having an amino group will be described later.
  • Examples of the organic group having an alkoxy group include, but are not limited to, methoxymethyl group and methoxyethyl group.
  • organic groups having a sulfonyl group include, but are not limited to, sulfonylalkyl groups and sulfonylaryl groups.
  • the organic group having a cyano group includes cyanoethyl group, cyanopropyl group, cyanophenyl group, thiocyanate group and the like.
  • the organic group having an amino group includes an organic group having at least one of a primary amino group, a secondary amino group, and a tertiary amino group.
  • a hydrolytic condensate obtained by hydrolyzing a hydrolyzable silane having a tertiary amino group with a strong acid to form a counter cation having a tertiary ammonium group can be preferably used.
  • the organic group can contain a heteroatom such as an oxygen atom or a sulfur atom in addition to the nitrogen atom constituting the amino group.
  • a preferable example of the organic group having an amino group is a group represented by the following formula (A1).
  • R 101 and R 102 each independently represent a hydrogen atom or a hydrocarbon group
  • L each independently represents an optionally substituted alkylene group. * represents a bond.
  • Hydrocarbon groups include, but are not limited to, alkyl groups, alkenyl groups, aryl groups, and the like. Specific examples of these alkyl groups, alkenyl groups and aryl groups are the same as those described above for R 1 .
  • the alkylene group may be linear or branched, and usually has 1 to 10 carbon atoms, preferably 1 to 5 carbon atoms.
  • Examples thereof include linear alkylene groups such as methylene, ethylene, trimethylene, tetramethylene, pentamethylene, hexamethylene, heptamethylene, octamethylene, nonamethylene and decamethylene.
  • the organic group having an amino group includes, but is not limited to, an amino group, an aminomethyl group, an aminoethyl group, an aminophenyl group, a dimethylaminoethyl group, a dimethylaminopropyl group, and the like.
  • R 2 examples include the alkoxy groups exemplified for R 1 .
  • examples of the halogen atom for R 2 include the halogen atoms exemplified in the description of R 1 .
  • the aralkyloxy group is a monovalent group derived by removing a hydrogen atom from the hydroxy group of aralkyl alcohol, and specific examples of the aralkyl group in the aralkyloxy group are the same as those mentioned above.
  • the number of carbon atoms in the aralkyloxy group is not particularly limited, it can be, for example, 40 or less, preferably 30 or less, more preferably 20 or less.
  • Specific examples of aralkyloxy groups include phenylmethyloxy group (benzyloxy group), 2-phenylethyleneoxy group, 3-phenyl-n-propyloxy group, 4-phenyl-n-butyloxy group and 5-phenyl-n.
  • -pentyloxy group 6-phenyl-n-hexyloxy group, 7-phenyl-n-heptyloxy group, 8-phenyl-n-octyloxy group, 9-phenyl-n-nonyloxy group, 10-phenyl-n- Examples include, but are not limited to, a decyloxy group and the like.
  • the acyloxy group is a monovalent group derived by removing a hydrogen atom from the carboxyl group (—COOH) of a carboxylic acid compound, typically from the carboxyl group of an alkylcarboxylic acid, an arylcarboxylic acid or an aralkylcarboxylic acid.
  • Examples include, but are not limited to, alkylcarbonyloxy groups, arylcarbonyloxy groups, or aralkylcarbonyloxy groups derived by removing a hydrogen atom.
  • Specific examples of the alkyl group, aryl group and aralkyl group in such alkylcarboxylic acid, arylcarboxylic acid and aralkylcarboxylic acid are the same as those mentioned above.
  • acyloxy groups include acyloxy groups having 2 to 20 carbon atoms, such as methylcarbonyloxy, ethylcarbonyloxy, n-propylcarbonyloxy, i-propylcarbonyloxy, n-butylcarbonyloxy, group, i-butylcarbonyloxy group, s-butylcarbonyloxy group, t-butylcarbonyloxy group, n-pentylcarbonyloxy group, 1-methyl-n-butylcarbonyloxy group, 2-methyl-n-butylcarbonyloxy group, 3-methyl-n-butylcarbonyloxy group, 1,1-dimethyl-n-propylcarbonyloxy group, 1,2-dimethyl-n-propylcarbonyloxy group, 2,2-dimethyl-n-propylcarbonyloxy group group, 1-ethyl-n-propylcarbonyloxy group, n-hexylcarbonyloxy group, 1-methyl-n-n
  • hydrolyzable silane represented by Formula (1) includes tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra-n-propoxysilane, tetra-i-propoxysilane, tetra-n -butoxysilane, methyltrimethoxysilane, methyltrichlorosilane, methyltriacetoxysilane, methyltriethoxysilane, methyltripropoxysilane, methyltributoxysilane, methyltriamyloxysilane, methyltriphenoxysilane, methyltribenzyloxysilane, methyltriphenethyloxysilane, glycidoxymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, ⁇ -glycid
  • each T independently represents an alkoxy group, an acyloxy group, or a halogen group, for example, preferably a methoxy group or an ethoxy group.
  • hydrolyzed silane represented by the following formula (2) Hydrolytic condensates of hydrolyzable silanes, including hydrolysable silanes, may be mentioned.
  • R 3 is a group bonded to a silicon atom and independently of each other, an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted an alkoxyaryl group, an optionally substituted alkoxyaralkyl group, or an optionally substituted alkenyl group, or an organic group having an epoxy group, an organic group having an acryloyl group, or an organic group having a methacryloyl group; It represents an organic group having a mercapto group, an organic group having an amino group, an organic group having an alkoxy group, an organic group having a sulfonyl group, an organic group having a cyano group, or a combination of two or more thereof.
  • R 4 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • R 5 is a group bonded to a silicon atom and independently represents an alkylene group or an arylene group. b represents 0 or 1, c represents 0 or 1;
  • each group in R 3 and preferred carbon numbers thereof include the groups and carbon numbers described above for R 1 .
  • Specific examples of each group and atom in R 4 and their preferred carbon numbers include the groups and atoms and carbon numbers described above for R 2 .
  • Specific examples of the alkylene group for R 5 include linear groups such as methylene, ethylene, trimethylene, tetramethylene, pentamethylene, hexamethylene, heptamethylene, octamethylene, nonamethylene and decamethylene groups.
  • alkylene group 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1, 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, alkylene group such as branched alkylene group such as 1-ethyltrimethylene group, methanetriyl group, ethane-1,1,2-triyl group, ethane- 1,2,2-triyl group, ethane-2,2,2-triyl group, propane-1,1,1-triyl group, propane-1,1,2-triyl group, propane-1,2,3- triyl group, propane-1,2,2-triyl group, propane-1,1,3-triyl group, butane-1,1,1-triyl group, butane-1,1,2-triyl group, butane-1 , 1,3-triyl group, butane-1,2,3-triyl group, but
  • arylene group for R 5 include 1,2-phenylene group, 1,3-phenylene group, 1,4-phenylene group; 1,5-naphthalenediyl group, 1,8-naphthalenediyl group, 2, 6-naphthalenediyl group, 2,7-naphthalenediyl group, 1,2-anthracenediyl group, 1,3-anthracenediyl group, 1,4-anthracenediyl group, 1,5-anthracenediyl group, 1,6- anthracenediyl group, 1,7-anthracenediyl group, 1,8-anthracenediyl group, 2,3-anthracenediyl group, 2,6-anthracenediyl group, 2,7-anthracenediyl group, 2,9-anthracenediyl A group derived by removing two hydrogen atoms on the aromatic ring of a condensed ring aromatic hydrocarbon compound such as a 2,10-anth
  • hydrolyzable silanes represented by formula (2) include methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, and propylenebistriethoxysilane.
  • hydrolyzable silane represented by the formula (1) and/or a hydrolyzable silane represented by the formula (2), as well as other hydrolyzable silanes listed below Hydrolytic condensates of decomposable silanes may be mentioned.
  • Other hydrolyzable silanes include silane compounds having an onium group in the molecule, silane compounds having a sulfone group, silane compounds having a sulfonamide group, and silane compounds having a cyclic urea skeleton in the molecule. is not limited to
  • silane compound having an onium group in the molecule hydrolyzable organosilane
  • a silane compound having an onium group in its molecule is expected to effectively and efficiently promote the cross-linking reaction of hydrolyzable silane.
  • a preferred example of a silane compound having an onium group in its molecule is represented by formula (3).
  • R 11 is a group bonded to a silicon atom and represents an onium group or an organic group having the same.
  • R 12 is a group that binds to a silicon atom and is independently of each other an optionally substituted alkyl group, an optionally substituted aryl group, an optionally substituted aralkyl group, a substituted optionally substituted halogenated alkyl group, optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, substituted represents an optionally substituted alkoxyaralkyl group or an optionally substituted alkenyl group, or an organic group having an epoxy group, an organic group having an acryloyl group, an organic group having a methacryloyl group, or an organic group having a mercapto group , an amino group-containing organic group, or a cyano group-containing organic group, or a combination of
  • R 13 is a silicon-bonded group or atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom.
  • f represents 1 or 2
  • g represents 0 or 1
  • the number of carbon atoms the number of carbon atoms mentioned above for R 1 can be mentioned for R 12
  • the number of carbon atoms mentioned above for R 2 can be mentioned for R 13 .
  • the onium group include a cyclic ammonium group and a chain ammonium group, preferably a tertiary ammonium group or a quaternary ammonium group. That is, preferred specific examples of the onium group or an organic group having it include a cyclic ammonium group or a chain ammonium group, or an organic group having at least one of these, a tertiary ammonium group or a quaternary ammonium group Or an organic group having at least one of these is preferred.
  • the onium group is a cyclic ammonium group
  • the nitrogen atoms constituting the ammonium group also serve as atoms constituting the ring. In this case, the nitrogen atom and the silicon atom constituting the ring are bonded directly or via a divalent linking group, and the carbon atom and the silicon atom constituting the ring are directly or via a divalent linking group.
  • the silicon-bonded group R 11 is a heteroaromatic cyclic ammonium group represented by the following formula (S1).
  • a 1 , A 2 , A 3 and A 4 each independently represent a group represented by any one of the following formulas (J1) to (J3), and A 1 to A At least one of 4 is a group represented by the following formula (J2), and depending on which of A 1 to A 4 the silicon atom in formula (3) bonds to, the ring formed is aromatic It is determined whether the bond between each of A 1 to A 4 and the atoms adjacent to each of them and forming a ring together is a single bond or a double bond, so as to indicate the family property.
  • * represents a bond.
  • each R 10 is independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or Representing an alkenyl group, specific examples of an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group and an alkenyl group and their preferred carbon numbers are the same as those described above. be done.
  • * represents a bond.
  • R 14 independently represents an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group, and R 14 is
  • the two R 14 may be bonded to each other to form a ring, and the ring formed by the two R 14 may be a bridged ring structure.
  • the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring and the like.
  • alkyl groups aryl groups, aralkyl groups, halogenated alkyl groups, halogenated aryl groups, halogenated aralkyl groups and alkenyl groups and their preferred carbon numbers are the same as those mentioned above.
  • n 1 is an integer of 1 to 8
  • m 1 is 0 or 1
  • m 2 is a positive number from 0 or 1 to the maximum number of monocyclic or polycyclic substitutable is an integer of When m 1 is 0, a (4+n 1 ) membered ring containing A 1 to A 4 is constructed.
  • n1 is 5, it is a 9-membered ring
  • n1 is 6, it is a 10-membered ring
  • n1 is 7, it is an 11-membered ring
  • n1 8 it is a 12-membered ring.
  • m 1 1, a condensed ring is formed by condensing a (4+n 1 )-membered ring containing A 1 to A 3 with a 6-membered ring containing A 4 .
  • a 1 to A 4 may or may not have a hydrogen atom on a ring-constituting atom, depending on which of the formulas (J1) to (J3), but A When 1 to A 4 have a hydrogen atom on a ring-constituting atom, the hydrogen atom may be substituted with R 14 . In addition, ring-constituting atoms other than the ring-constituting atoms in A 1 to A 4 may be substituted with R 14 . Under such circumstances, as described above, m2 is selected from integers from 0 or 1 to the maximum number of monocyclic or polycyclic substitutable numbers.
  • the bond of the heteroaromatic cyclic ammonium group represented by formula (S1) is present at any carbon atom or nitrogen atom present in such a monocyclic or condensed ring and is directly bonded to a silicon atom, or A linking group is combined to form an organic group having a cyclic ammonium, which is bonded to a silicon atom.
  • Such linking groups include, but are not limited to, alkylene groups, arylene groups, alkenylene groups, and the like. Specific examples of the alkylene group and arylene group and their preferred carbon numbers are the same as those described above.
  • An alkenylene group is a divalent group derived by removing one more hydrogen atom from an alkenyl group, and specific examples of such alkenyl groups are the same as those described above.
  • the number of carbon atoms in the alkenylene group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, and still more preferably 20 or less. Specific examples thereof include, but are not limited to, vinylene, 1-methylvinylene, propenylene, 1-butenylene, 2-butenylene, 1-pentenylene, 2-pentenylene groups and the like.
  • silane compound (hydrolyzable organosilane) represented by formula (3) having a heteroaromatic cyclic ammonium group represented by formula (S1) include the following formulas (I-1) to (I-50 ), but not limited thereto.
  • the silicon-bonded group R 11 in formula (3) can be a heteroaliphatic cyclic ammonium group represented by the following formula (S2).
  • a 5 , A 6 , A 7 and A 8 each independently represent a group represented by any one of the following formulas (J4) to (J6), and A 5 to A At least one of 8 is a group represented by the following formula (J5).
  • Each of A 5 to A 8 and each adjacent It is determined whether the bond between the atoms forming the ring together is a single bond or a double bond. * represents a bond.
  • each R 10 is independently a single bond, a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, or Representing an alkenyl group, specific examples of an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group and an alkenyl group, and their preferred carbon numbers are the same as those described above. are mentioned.
  • * represents a bond.
  • R 15 independently represents an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group, an alkenyl group or a hydroxy group, and R 15 is When two or more R 15 are present, the two R 15 may be bonded to each other to form a ring, and the ring formed by the two R 15 may be a bridged ring structure. , the cyclic ammonium group has an adamantane ring, a norbornene ring, a spiro ring and the like.
  • alkyl group aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group and alkenyl group and their preferred carbon numbers are the same as those mentioned above.
  • n 2 is an integer of 1 to 8
  • m 3 is 0 or 1
  • m 4 is a positive number from 0 or 1 to the maximum number of monocyclic or polycyclic substitutable is an integer of When m 3 is 0, a (4+n 2 ) membered ring containing A 5 -A 8 is constructed.
  • n2 is 5, it is a 9-membered ring
  • n2 is 6, it is a 10-membered ring
  • n2 is 7, it is an 11-membered ring
  • n2 is 8, it is a 12-membered ring.
  • m 3 1, a condensed ring is formed by condensing a (4+n 2 )-membered ring containing A 5 to A 7 with a 6-membered ring containing A 8 .
  • a 5 to A 8 may or may not have a hydrogen atom on a ring-constituting atom, but A When 5 to A 8 have a hydrogen atom on a ring-constituting atom, the hydrogen atom may be substituted with R 15 . In addition, ring-constituting atoms other than the ring-constituting atoms in A 5 to A 8 may be substituted with R 15 . Under these circumstances, as described above, m4 is selected from integers from 0 or 1 to the maximum number of monocyclic or polycyclic substitutable numbers.
  • the bond of the heteroaliphatic cyclic ammonium group represented by formula (S2) is present at any carbon atom or nitrogen atom present in such a monocyclic or condensed ring and is directly bonded to the silicon atom, or A linking group is combined to form an organic group having a cyclic ammonium, which is bonded to a silicon atom.
  • Examples of such a linking group include an alkylene group, an arylene group and an alkenylene group, and specific examples of the alkylene group, arylene group and alkenylene group and their preferred carbon numbers are the same as those described above.
  • silane compound (hydrolyzable organosilane) represented by the formula (3) having a heteroaliphatic cyclic ammonium group represented by the formula (S2) include the following formulas (II-1) to (II- 30), but not limited thereto.
  • R 11 which is a silicon-bonded group in formula (3), can be a chain ammonium group represented by formula (S3) below.
  • R 10 each independently represents a hydrogen atom, an alkyl group, an aryl group, an aralkyl group, a halogenated alkyl group, a halogenated aryl group, a halogenated aralkyl group or an alkenyl group, an alkyl group, Specific examples of the aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group and alkenyl group and their preferred carbon numbers are the same as those mentioned above. * represents a bond.
  • the chain ammonium group represented by formula (S3) is directly bonded to a silicon atom, or is bonded to a linking group to form an organic group having a chain ammonium group, which is bonded to a silicon atom.
  • a linking group include an alkylene group, an arylene group and an alkenylene group, and specific examples of the alkylene group, arylene group and alkenylene group are the same as those described above.
  • silane compound (hydrolyzable organosilane) represented by formula (3) having a chain ammonium group represented by formula (S3) include the following formulas (III-1) to (III-28). Examples include, but are not limited to, silanes represented by.
  • silane compound having sulfone group or sulfonamide group hydrolyzable organosilane
  • examples of the silane compound having a sulfone group and the silane compound having a sulfonamide group include, but are not limited to, compounds represented by the following formulas (B-1) to (B-36). In the formula below, Me represents a methyl group, and Et represents an ethyl group.
  • Hydrolyzable organosilanes having a cyclic urea skeleton in the molecule include, for example, hydrolyzable organosilanes represented by the following formula (4-1).
  • R 401 is a group bonded to a silicon atom and independently represents a group represented by formula (4-2) below.
  • R 402 is a group bonded to a silicon atom, optionally substituted alkyl group, optionally substituted aryl group, optionally substituted aralkyl group, optionally substituted halogenated alkyl group , optionally substituted halogenated aryl group, optionally substituted halogenated aralkyl group, optionally substituted alkoxyalkyl group, optionally substituted alkoxyaryl group, optionally substituted alkoxy represents an aralkyl group or an optionally substituted alkenyl group, or an organic group having an epoxy group, an organic group having an acryloyl group, an organic group having a methacryloyl group, an organic group having a mercapto group, or an organic group having a cyano group group, or a combination of two or more thereof.
  • R 403 is a group or atom bonded to a silicon atom and independently represents an alkoxy group, an aralkyloxy group, an acyloxy group or a halogen atom.
  • x is 1 or 2
  • y is 0 or 1, and satisfies x+y ⁇ 2.
  • R 402 alkyl group, aryl group, aralkyl group, halogenated alkyl group, halogenated aryl group, halogenated aralkyl group, alkoxyalkyl group, alkoxyaryl group, alkoxyaralkyl group, alkenyl group, and an organic group having an epoxy group , an organic group having an acryloyl group, an organic group having a methacryloyl group, an organic group having a mercapto group, an organic group having a cyano group, and an alkoxy group, an aralkyloxy group, an acyloxy group and a halogen atom of R 403 , and these Specific examples of substituents, preferred number of carbon atoms, etc. are the same as those described above for R 1 and R 2 .
  • R 404 each independently has a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an organic group having an epoxy group or a sulfonyl group Representing an organic group
  • R 405 is independently an alkylene group, a hydroxyalkylene group, a sulfide bond (-S-), an ether bond (-O-) or an ester bond (-CO-O- or -O-CO -).
  • * represents a bond.
  • Specific examples of the optionally substituted alkyl group, optionally substituted alkenyl group, and epoxy group-containing organic group for R 404 and the preferred number of carbon atoms are the same as those described above for R 1 .
  • the optionally substituted alkyl group for R 404 is preferably an alkyl group having a terminal hydrogen atom substituted with a vinyl group, and specific examples thereof include allyl group, 2-vinylethyl group, 3-vinylpropyl group, 4-vinylbutyl group and the like.
  • the organic group having a sulfonyl group is not particularly limited as long as it contains a sulfonyl group, optionally substituted alkylsulfonyl group, optionally substituted arylsulfonyl group, optionally substituted aralkylsulfonyl group.
  • optionally substituted halogenated alkylsulfonyl group optionally substituted halogenated arylsulfonyl group, optionally substituted halogenated aralkylsulfonyl group, optionally substituted alkoxyalkylsulfonyl group, substituted optionally substituted alkoxyarylsulfonyl group, optionally substituted alkoxyaralkylsulfonyl group, optionally substituted alkenylsulfonyl group, and the like.
  • Specific examples and preferred number of carbon atoms are the same as those described above for R 1 .
  • the alkylene group is a divalent group derived by removing one more hydrogen atom from an alkyl group, and may be linear, branched, or cyclic. Specific examples of such an alkylene group include , the same as those described above. Although the number of carbon atoms in the alkylene group is not particularly limited, it is preferably 40 or less, more preferably 30 or less, even more preferably 20 or less, still more preferably 10 or less.
  • the alkylene group of R 405 may have one or more selected from a sulfide bond, an ether bond and an ester bond at the terminal or in the middle, preferably in the middle.
  • the alkylene group include linear alkylene groups such as methylene, ethylene, trimethylene, tetramethylene, pentamethylene, hexamethylene, heptamethylene, octamethylene, nonamethylene, and decamethylene, methylethylene group, 1-methyltrimethylene group, 2-methyltrimethylene group, 1,1-dimethylethylene group, 1-methyltetramethylene group, 2-methyltetramethylene group, 1,1-dimethyltrimethylene group, 1 , 2-dimethyltrimethylene group, 2,2-dimethyltrimethylene group, branched alkylene groups such as 1-ethyltrimethylene group, 1,2-cyclopropanediyl group, 1,2-cyclobutanediyl group, 1, Cyclic alkylenes such as 3-cyclobutylene group
  • the hydroxyalkylene group is obtained by replacing at least one of the hydrogen atoms of the alkylene group described above with a hydroxy group.
  • a hydroxymethylene group a 1-hydroxyethylene group, a 2-hydroxyethylene group, 2-dihydroxyethylene group, 1-hydroxytrimethylene group, 2-hydroxytrimethylene group, 3-hydroxytrimethylene group, 1-hydroxytetramethylene group, 2-hydroxytetramethylene group, 3-hydroxytetramethylene group, 4- hydroxytetramethylene group, 1,2-dihydroxytetramethylene group, 1,3-dihydroxytetramethylene group, 1,4-dihydroxytetramethylene group, 2,3-dihydroxytetramethylene group, 2,4-dihydroxytetramethylene group, Examples include, but are not limited to, 4,4-dihydroxytetramethylene group.
  • X 401 independently represents any one of the groups represented by the following formulas (4-3) to (4-5), and the following formula (4-4) and the carbon atom of the ketone group in formula (4-5) is bonded to the nitrogen atom to which R 405 in formula (4-2) is bonded.
  • R 406 to R 410 are each independently a hydrogen atom, an optionally substituted alkyl group, an optionally substituted alkenyl group, or an epoxy represents an organic group having a group or a sulfonyl group.
  • Specific examples of an optionally substituted alkyl group, an optionally substituted alkenyl group, an epoxy group, or an organic group having a sulfonyl group, and preferred number of carbon atoms are the same as those described above for R 1 .
  • specific examples of the organic group having a sulfonyl group and suitable number of carbon atoms are the same as those described above for R 404 .
  • * represents a bond.
  • X 401 is preferably a group represented by formula (4-5) from the viewpoint of realizing excellent lithography properties with good reproducibility.
  • At least one of R 404 and R 406 to R 410 is preferably an alkyl group having a terminal hydrogen atom substituted with a vinyl group.
  • a commercially available product may be used for the hydrolyzable organosilane represented by formula (4-1), or it may be synthesized by a known method described in International Publication No. 2011/102470 or the like.
  • hydrolyzable organosilane represented by the formula (4-1) include silanes represented by the following formulas (4-1-1) to (4-1-29). , but not limited to.
  • Polysiloxane can be a hydrolytic condensate of a hydrolyzable silane containing a silane compound other than those exemplified above as long as it does not impair the effects of the present invention.
  • modified polysiloxane in which at least part of the silanol groups have been modified can be used as [A] polysiloxane.
  • a modified polysiloxane in which some of the silanol groups are alcohol-modified or an acetal-protected polysiloxane modified product can be used.
  • the polysiloxane that is the modified product is a reaction product obtained by reacting at least part of the silanol groups of the condensate with the hydroxy groups of the alcohol in the hydrolytic condensate of the hydrolyzable silane described above. Examples include a dehydration reaction product of a compound and an alcohol, and a modified product obtained by protecting at least part of the silanol groups of the condensate with an acetal group.
  • Monohydric alcohols can be used as alcohols such as methanol, ethanol, 2-propanol, 1-butanol, 2-butanol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3- Pentanol, 1-heptanol, 2-heptanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-propanol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3- pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2 -diethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentano
  • 3-methoxybutanol ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether (1-ethoxy -2-propanol), propylene glycol monobutyl ether (1-butoxy-2-propanol) and other alkoxy group-containing alcohols can be used.
  • the reaction between the silanol group of the condensate and the hydroxy group of the alcohol is carried out by contacting the polysiloxane with the alcohol and reacting at a temperature of 40 to 160° C., for example, 60° C., for 0.1 to 48 hours, for example, 24 hours.
  • a modified polysiloxane with capped silanol groups is obtained.
  • the alcohol of the capping agent can be used as a solvent in the composition containing polysiloxane.
  • a dehydration reaction product of alcohol and polysiloxane which is a hydrolytic condensate of hydrolyzable silane, is prepared by reacting polysiloxane with alcohol in the presence of an acid as a catalyst, capping the silanol groups with alcohol, and dehydrating. It can be produced by removing the generated water out of the reaction system.
  • an organic acid having an acid dissociation constant (pka) of -1 to 5, preferably 4 to 5 can be used as the acid.
  • the acid can be trifluoroacetic acid, maleic acid, benzoic acid, isobutyric acid, acetic acid, etc. Among them, benzoic acid, isobutyric acid, acetic acid, etc. can be exemplified.
  • an acid having a boiling point of 70 to 160° C. can be used, and examples thereof include trifluoroacetic acid, isobutyric acid, acetic acid, nitric acid and the like.
  • the acid preferably has either an acid dissociation constant (pka) of 4 to 5 or a boiling point of 70 to 160°C. That is, one with weak acidity or one with strong acidity but low boiling point can be used.
  • pka acid dissociation constant
  • the acid it is possible to use any of the properties of the acid dissociation constant and the boiling point.
  • the silanol group of the condensate can be acetal-protected using a vinyl ether, for example, a vinyl ether represented by the following formula (5). can be introduced into
  • R 1a , R 2a and R 3a each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4a represents an alkyl group having 1 to 10 carbon atoms
  • R 2a and R 4a may combine with each other to form a ring.
  • Examples of the alkyl group can be exemplified above.
  • R 1 ', R 2 ', and R 3 ' each represent a hydrogen atom or an alkyl group having 1 to 10 carbon atoms
  • R 4 ' represents an alkyl group having 1 to 10 carbon atoms
  • R 2 ' and R 4 ' may combine with each other to form a ring.
  • * indicates a bond with an adjacent atom. Adjacent atoms include, for example, oxygen atoms in siloxane bonds, oxygen atoms in silanol groups, and carbon atoms derived from R 1 in formula (1). Examples of the alkyl group can be exemplified above.
  • Examples of the vinyl ether represented by formula (5) include aliphatic vinyl ether compounds such as methyl vinyl ether, ethyl vinyl ether, isopropyl vinyl ether, normal butyl vinyl ether, 2-ethylhexyl vinyl ether, tert-butyl vinyl ether, and cyclohexyl vinyl ether; -dihydrofuran, 4-methyl-2,3-dihydrofuran, and 3,4-dihydro-2H-pyran can be used.
  • ethyl vinyl ether propyl vinyl ether, butyl vinyl ether, ethylhexyl vinyl ether, cyclohexyl vinyl ether, 3,4-dihydro-2H-pyran, or 2,3-dihydrofuran can be preferably used.
  • Acetal protection of silanol groups can be achieved by using polysiloxane, vinyl ether, and an aprotic solvent such as propylene glycol monomethyl ether acetate, ethyl acetate, dimethylformamide, tetrahydrofuran, or 1,4-dioxane as a solvent, pyridium paratoluenesulfonic acid. , trifluoromethanesulfonic acid, p-toluenesulfonic acid, methanesulfonic acid, hydrochloric acid, sulfuric acid and the like.
  • an aprotic solvent such as propylene glycol monomethyl ether acetate, ethyl acetate, dimethylformamide, tetrahydrofuran, or 1,4-dioxane
  • pyridium paratoluenesulfonic acid pyridium paratoluenesulfonic acid.
  • trifluoromethanesulfonic acid
  • the capping of the silanol group with an alcohol and the acetal protection may be performed simultaneously with the hydrolysis and condensation of the hydrolyzable silane, which will be described later.
  • [A] polysiloxane is a hydrolyzable silane represented by formula (1), optionally a hydrolyzable silane represented by formula (2), and other hydrolyzable at least one of hydrolytic condensates of hydrolyzable silanes and modified products thereof, including silanes.
  • [A] polysiloxane contains a dehydration reaction product of a hydrolytic condensate and an alcohol.
  • the hydrolytic condensate (which may also include modified products) of hydrolyzable silane can have a weight average molecular weight of, for example, 500 to 1,000,000.
  • the weight average molecular weight is preferably 500,000 or less, more preferably 250,000 or less, and still more preferably 100,000 or less. It is preferably 700 or more, more preferably 1,000 or more, from the viewpoint of compatibility between storage stability and coatability.
  • a weight average molecular weight is a molecular weight obtained by polystyrene conversion by GPC analysis.
  • GPC analysis for example, GPC apparatus (trade name HLC-8220GPC, manufactured by Tosoh Corporation), GPC column (trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko Co., Ltd.), the column temperature is 40 ° C., Tetrahydrofuran can be used as an eluent (elution solvent), the flow rate (flow rate) can be set to 1.0 mL/min, and polystyrene (Shodex (registered trademark) manufactured by Showa Denko KK) can be used as a standard sample.
  • GPC apparatus trade name HLC-8220GPC, manufactured by Tosoh Corporation
  • GPC column trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko Co., Ltd.
  • Tetrahydrofuran can be used as an eluent (elution solvent)
  • the flow rate (flow rate) can be
  • a hydrolytic condensate of hydrolyzable silane is obtained by hydrolyzing and condensing the aforementioned silane compound (hydrolyzable silane).
  • the aforementioned silane compounds (hydrolyzable silanes) contain an alkoxy group, an aralkyloxy group, an acyloxy group, or a halogen atom directly bonded to a silicon atom, i.e., an alkoxysilyl group, an aralkyloxysilyl group, an acyloxysilyl group, or a halogen atom.
  • silyl groups hereinafter referred to as hydrolyzable groups).
  • water is generally used in an amount of 0.1 to 100 mol, for example 0.5 to 100 mol, preferably 1 to 10 mol, per 1 mol of hydrolyzable group.
  • a hydrolysis catalyst may be used for the purpose of promoting the reaction, or the hydrolysis and condensation may be performed without using a hydrolysis catalyst.
  • a hydrolysis catalyst it can be used in an amount of usually 0.0001 to 10 mol, preferably 0.001 to 1 mol, per 1 mol of hydrolyzable group.
  • the reaction temperature for hydrolysis and condensation is generally room temperature or higher and the reflux temperature or lower of the organic solvent that can be used for hydrolysis at normal pressure, for example, 20 to 110°C, or for example, 20 to 80°C.
  • the hydrolysis may be complete hydrolysis, ie converting all hydrolyzable groups to silanol groups, or it may be partially hydrolyzed, ie leaving unreacted hydrolyzable groups.
  • Hydrolysis catalysts that can be used for hydrolysis and condensation include metal chelate compounds, organic acids, inorganic acids, organic bases, and inorganic bases.
  • Metal chelate compounds as hydrolysis catalysts include, for example, triethoxy mono(acetylacetonato)titanium, tri-n-propoxy mono(acetylacetonato)titanium, tri-i-propoxy mono(acetylacetonato)titanium, tri -n-butoxy mono(acetylacetonato)titanium, tri-sec-butoxy mono(acetylacetonato)titanium, tri-t-butoxy mono(acetylacetonato)titanium, diethoxy bis(acetylacetonato)titanium , di-n-propoxy bis (acetylacetonato) titanium, di-i-propoxy bis (acetylacetonato) titanium, di-n-butoxy bis (acetylacetonate) titanium, di-sec-butoxy bis (acetylacetonato)titanium, di-t-butoxy bis(acet
  • Organic acids as hydrolysis catalysts are, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, methylmalonic acid, adipic acid, sebacine.
  • Acid gallic acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzenesulfone Acids include, but are not limited to, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid, and the like.
  • inorganic acids as hydrolysis catalysts include, but are not limited to, hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, and phosphoric acid.
  • Organic bases as hydrolysis catalysts include, for example, pyridine, pyrrole, piperazine, pyrrolidine, piperidine, picoline, trimethylamine, triethylamine, monoethanolamine, diethanolamine, dimethylmonoethanolamine, monomethyldiethanolamine, triethanolamine, diazabicyclooctane, dia Zabicyclononane, diazabicycloundecene, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, trimethylphenylammonium hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide etc., but not limited to these.
  • inorganic bases as hydrolysis catalysts include, but are not limited to, ammonia, sodium hydroxide, potassium hydroxide, barium hydroxide, and calcium hydroxide.
  • metal chelate compounds organic acids, and inorganic acids are preferred, and these may be used singly or in combination of two or more.
  • nitric acid can be preferably used as a hydrolysis catalyst in the present invention.
  • nitric acid By using nitric acid, the storage stability of the reaction solution after hydrolysis and condensation can be improved, and in particular, changes in the molecular weight of the hydrolyzed condensate can be suppressed. It has been found that the stability of hydrolytic condensates in liquid depends on the pH of the solution. As a result of intensive studies, it was found that the pH of the solution becomes a stable region by using an appropriate amount of nitric acid.
  • nitric acid can also be used when obtaining a modified hydrolytic condensate, for example, when capping a silanol group with an alcohol. It is also preferable from the viewpoint that it can contribute to both reactions of alcohol capping of substances.
  • An organic solvent may be used as a solvent for the hydrolysis and condensation, and specific examples include n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2, Aliphatic hydrocarbon solvents such as 2,4-trimethylpentane, n-octane, i-octane, cyclohexane, methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i-propyl Aromatic hydrocarbon solvents such as benzene, diethylbenzene, i-butylbenzene, triethylbenzene, di-i-propylbenzene, n-amylnaphthalene; methanol, ethanol, n-
  • reaction solution is diluted or concentrated, neutralized, and treated with an ion-exchange resin to hydrolyze the acids, bases, etc. used in the hydrolysis and condensation.
  • Catalyst can be removed.
  • by-products such as alcohol and water, and the used hydrolysis catalyst can be removed from the reaction solution by vacuum distillation or the like.
  • the hydrolytic condensate (hereinafter also referred to as polysiloxane) thus obtained is obtained in the form of a polysiloxane varnish dissolved in an organic solvent, and this is directly used as a composition for forming a silicon-containing resist underlayer film.
  • the reaction solution can be used as it is (or diluted) for the preparation of the composition for forming a silicon-containing resist underlayer film. It may remain in the reaction solution as long as it does not impair the effects of the invention. For example, about 100 ppm to 5,000 ppm of nitric acid used as a hydrolysis catalyst or alcohol capping of silanol groups may remain in the polymer varnish solution.
  • the obtained polysiloxane varnish may be subjected to solvent replacement or may be diluted with a solvent as appropriate.
  • the resulting polysiloxane varnish may have a film-forming component concentration of 100% by distilling off the organic solvent if the storage stability is not poor.
  • the film-forming component refers to a component excluding the solvent component from all components of the composition.
  • the organic solvent used for solvent replacement, dilution, etc. of the polysiloxane varnish may be the same as or different from the organic solvent used for the hydrolysis and condensation reaction of the hydrolyzable silane.
  • the diluting solvent is not particularly limited, and one or two or more can be arbitrarily selected and used.
  • ⁇ [B] component solvent>
  • the solvent as the component [B] may be used without any particular limitation as long as it can dissolve and mix the component [A] and, if necessary, other components contained in the composition for forming a silicon-containing resist underlayer film. can.
  • the solvent is preferably an alcohol solvent, more preferably an alkylene glycol monoalkyl ether which is an alcohol solvent, and still more preferably a propylene glycol monoalkyl ether. Since these solvents are also capping agents for the silanol groups of polysiloxane, the composition for forming a silicon-containing resist underlayer film can be removed from the solution obtained by preparing [A] polysiloxane without the need for solvent substitution. can be prepared.
  • Alkylene glycol monoalkyl ethers include ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, propylene glycol monomethyl ether (1-methoxy-2-propanol), propylene glycol monoethyl ether ( 1-ethoxy-2-propanol), methyl isobutyl carbinol, propylene glycol monobutyl ether and the like.
  • [B] solvents include methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol propylene glycol monomethyl ether acetate (1-methoxy-2-propanol monoacetate), propylene glycol monoethyl ether acetate, and propylene glycol monopropyl.
  • ether acetate propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxyacetate, ethyl hydroxyacetate, 2-hydroxy -methyl 3-methylbutanoate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, ethyl 3-ethoxypropionate, methyl 3-ethoxypropionate, methyl pyruvate, ethyl pyruvate, ethylene glycol monomethyl ether acetate, ethylene Glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, diethylene glycol dimethyl ether, diethylene glycol diethyl
  • the composition for forming a silicon-containing resist underlayer film of the present invention may contain water as a solvent.
  • water When water is contained as a solvent, its content is, for example, 30% by mass or less, preferably 20% by mass or less, and even more preferably 15% by mass or less, relative to the total mass of the solvent contained in the composition. be able to.
  • the silicon-containing resist underlayer film-forming composition preferably contains [C] nitric acid or acetic acid in order to adjust the pH of the solution containing the composition.
  • [C] Nitric acid or acetic acid may be added during the preparation of the composition for forming a silicon-containing resist underlayer film. Those remaining in the polysiloxane varnish can also be treated as [C] nitric acid or acetic acid.
  • the amount of nitric acid or acetic acid is, for example, 0.0001% by mass to 1% by mass, or 0.001% by mass to It can be 0.1 wt%, or 0.005 wt% to 0.05 wt%.
  • composition for forming a silicon-containing resist underlayer film may be a composition containing no curing catalyst, but preferably contains a curing catalyst (component [D]).
  • Ammonium salts, phosphines, phosphonium salts, sulfonium salts, etc. can be used as curing catalysts.
  • the following salts described as examples of curing catalysts may be added in the form of salts, or those that form salts in the composition (when added, they are added as separate compounds and form salts in the system. thing).
  • formula (D-1) (In the formula, m a represents an integer of 2 to 11, n a represents an integer of 2 to 3, R 21 represents an alkyl group, an aryl group, or an aralkyl group, and Y - represents an anion.)
  • Formula (D-2) (Wherein, R 22 , R 23 , R 24 and R 25 each independently represent an alkyl group, an aryl group or an aralkyl group; Y — represents an anion; and R 22 , R 23 , R 24 and R 25 are each bound to a nitrogen atom.) a quaternary ammonium salt having a structure represented by
  • Formula (D-3) (wherein R 26 and R 27 independently represent an alkyl group, an aryl group, or an aralkyl group, and Y- represents an anion), a quaternary ammonium salt having a structure represented by
  • Formula (D-5) (Wherein, R 29 and R 30 independently represent an alkyl group, an aryl group, or an aralkyl group, and Y — represents an anion), a quaternary ammonium salt having a structure represented by
  • the formula (D-7) (wherein R 31 , R 32 , R 33 and R 34 each independently represent an alkyl group, an aryl group or an aralkyl group, Y- represents an anion, and R 31 , R 32 , Each of R 33 and R 34 is bound to a phosphorus atom.).
  • the formula (D-8) (Wherein R 35 , R 36 and R 37 independently represent an alkyl group, an aryl group or an aralkyl group; Y — represents an anion; and R 35 , R 36 and R 37 are each bonded to a sulfur atom.) can be mentioned.
  • the compound of formula (D-1) is a quaternary ammonium salt derived from an amine, where m a represents an integer of 2-11 and n a represents an integer of 2-3.
  • R 21 of this quaternary ammonium salt represents, for example, an alkyl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms, such as Linear alkyl groups such as ethyl group, propyl group and butyl group, benzyl group, cyclohexyl group, cyclohexylmethyl group, dicyclopentadienyl group and the like can be mentioned.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • the compound of formula (D-2) is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y - .
  • R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are, for example, an alkyl group having 1 to 18 carbon atoms such as an ethyl group, a propyl group, a butyl group, a cyclohexyl group and a cyclohexylmethyl group; or an aralkyl group having 7 to 18 carbon atoms such as a benzyl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • the quaternary ammonium salts are commercially available, for example tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride, tributylbenzyl chloride. Ammonium, trimethylbenzylammonium chloride and the like are exemplified.
  • the compound of formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole, R 26 and R 27 have, for example, 1 to 18 carbon atoms, and R 26 and R 27 The total number of carbon atoms is preferably 7 or more.
  • R 26 can be exemplified by alkyl groups such as methyl group, ethyl group and propyl group, aryl groups such as phenyl group, and aralkyl groups such as benzyl group
  • R 27 can be exemplified by aralkyl groups such as benzyl group, octyl group
  • An alkyl group such as an octadecyl group can be exemplified.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • this compound can be obtained as a commercial product, for example, imidazole compounds such as 1-methylimidazole and 1-benzylimidazole, aralkyl halides such as benzyl bromide, methyl bromide and benzene bromide, halogenated It can be produced by reacting alkyl and halogenated aryl.
  • the compound of formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is, for example, an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms such as a butyl group, an octyl group, a benzyl group and a lauryl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ).
  • This compound can be obtained as a commercial product, and is produced, for example, by reacting pyridine with an alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide. can do.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide, or an aryl halide.
  • the compound of formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine typified by picoline and the like, and R 29 is, for example, alkyl having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms. , an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms, such as a methyl group, an octyl group, a lauryl group, and a benzyl group.
  • R 30 is, for example, an alkyl group having 1 to 18 carbon atoms, an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms, and for example, the compound represented by formula (D-5) is picoline When it is a quaternary ammonium derived from, R 30 is a methyl group.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ). , alcoholate (—O ⁇ ) and other acid groups.
  • This compound is also commercially available, and for example, by reacting a substituted pyridine such as picoline with an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide, or an aryl halide.
  • an alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide, or an aryl halide.
  • alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide, or an aryl halide.
  • the compound of formula (D-6) is a tertiary ammonium salt derived from an amine, where m a represents an integer of 2-11 and n a represents 2 or 3.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be produced by reacting an amine with a weak acid such as a carboxylic acid or phenol.
  • Carboxylic acids include formic acid and acetic acid.
  • the anion (Y ⁇ ) is (HCOO ⁇ ), and when acetic acid is used, the anion (Y ⁇ ) is (CH 3 COO - ). Also, when phenol is used, the anion (Y ⁇ ) is (C 6 H 5 O ⁇ ).
  • the compound of formula (D-7) is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y- .
  • R 31 , R 32 , R 33 and R 34 are, for example, an alkyl group having 1 to 18 carbon atoms such as ethyl group, propyl group, butyl group and cyclohexylmethyl, and an aryl group having 6 to 18 carbon atoms such as phenyl group.
  • an aralkyl group having 7 to 18 carbon atoms such as a benzyl group
  • three of the four substituents R 31 to R 34 are an unsubstituted phenyl group or a substituted phenyl group, such as phenyl and tolyl groups, and the remaining one is an alkyl group having 1 to 18 carbon atoms, an aryl group having 6 to 18 carbon atoms, or an aralkyl group having 7 to 18 carbon atoms.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ) and other acid groups.
  • This compound can be obtained as a commercial product, and examples thereof include tetraalkylphosphonium halides such as tetra-n-butylphosphonium halide and tetra-n-propylphosphonium halide, and trialkylbenzyl halides such as triethylbenzylphosphonium halide.
  • Phosphonium triphenylmethylphosphonium halide, triphenylmonoalkylphosphonium halide such as triphenylethylphosphonium halide, triphenylbenzylphosphonium halide, tetraphenylphosphonium halide, tritolylmonoarylphosphonium halide, or tritolylmonohalide
  • Alkylphosphonium (wherein the halogen atom is a chlorine atom or a bromine atom) can be mentioned.
  • triphenylmonoalkylphosphonium halides such as triphenylmethylphosphonium halide and triphenylethylphosphonium halide
  • triphenylmonoarylphosphonium halides such as triphenylbenzylphosphonium halide
  • halogens such as tritolylmonophenylphosphonium halide
  • Tritolylmonoalkylphosphonium halides halogen atoms are chlorine atoms or bromine atoms
  • tritolylmonoarylphosphonium halides and tritolylmonomethylphosphonium halides are preferable.
  • Phosphines include primary phosphines such as methylphosphine, ethylphosphine, propylphosphine, isopropylphosphine, isobutylphosphine and phenylphosphine, and secondary phosphines such as dimethylphosphine, diethylphosphine, diisopropylphosphine, diisoamylphosphine and diphenylphosphine. , trimethylphosphine, triethylphosphine, triphenylphosphine, methyldiphenylphosphine, dimethylphenylphosphine and the like.
  • the compound of formula (D-8) is a tertiary sulfonium salt having a structure of R 35 R 36 R 37 S + Y - .
  • R 35 , R 36 and R 37 are, for example, an ethyl group, a propyl group, a butyl group, an alkyl group having 1 to 18 carbon atoms such as cyclohexylmethyl, an aryl group having 6 to 18 carbon atoms such as a phenyl group, or benzyl aralkyl groups having 7 to 18 carbon atoms such as groups, preferably two of the three substituents R 35 to R 37 are unsubstituted phenyl groups or substituted phenyl groups, such as phenyl group and tolyl groups, and the remaining one is an alkyl group with 1 to 18 carbon atoms, an aryl group with 6 to 18 carbon atoms, or an aralkyl group with 7 to 18 carbon atoms.
  • Anions (Y ⁇ ) include halide ions such as chloride ion (Cl ⁇ ), bromide ion (Br ⁇ ), iodine ion (I ⁇ ), carboxylate (—COO ⁇ ), sulfonate (—SO 3 ⁇ ), alcoholate (—O ⁇ ), maleate anion, nitrate anion and the like.
  • This compound is commercially available and includes trialkylsulfonium halides such as tri-n-butylsulfonium halide and tri-n-propylsulfonium halide, and dialkylbenzylsulfonium halides such as diethylbenzylsulfonium halide.
  • diphenylmethylsulfonium halide, diphenylethylsulfonium halide and other diphenyl monoalkylsulfonium halides triphenylsulfonium halides (halogen atoms are chlorine atoms or bromine atoms), tri-n-butylsulfonium carboxylate, tri-n- trialkylsulfonium carboxylates such as propylsulfonium carboxylate; dialkylbenzylsulfonium carboxylates such as diethylbenzylsulfonium carboxylate; diphenylmethylsulfonium carboxylate; is mentioned.
  • triphenylsulfonium halides and triphenylsulfonium carboxylates can be preferably used.
  • Nitrogen-containing silane compounds include imidazole ring-containing silane compounds such as N-(3-triethoxysilipropyl)-4,5-dihydroimidazole.
  • the content of the [D] curing catalyst in the silicon-containing resist underlayer film-forming composition is preferably from 0.1 to 0.1 with respect to 100 parts by mass of [A] polysiloxane, from the viewpoint of sufficiently obtaining the effects of the present invention. 30 parts by mass, more preferably 0.5 to 25 parts by mass, and even more preferably 1 to 20 parts by mass.
  • the silicon-containing resist underlayer film-forming composition preferably contains at least one selected from [E] amines and hydroxides from the viewpoint of obtaining the effects of the present invention more sufficiently.
  • Amines include ammonia; primary amines such as monomethanolamine, monoethanolamine, monopropanolamine, methylamine, ethylamine, propylamine and butylamine; secondary amines such as dimethylamine, ethylmethylamine and diethylamine; tertiary amines such as , triethylamine, tripropylamine, dimethylethylamine, methyldiisopropylamine, diisopropylethylamine, diethylethanolamine and triethanolamine; amines such as ethylenediamine and tetramethylethylenediamine; cyclic amines such as pyridine and morpholine; be done.
  • primary amines such as monomethanolamine, monoethanolamine, monopropanolamine, methylamine, ethylamine, propylamine and butylamine
  • secondary amines such as dimethylamine, ethylmethylamine and diethylamine
  • Hydroxides include inorganic alkali hydroxides and organic alkali hydroxides.
  • inorganic alkali hydroxides include sodium hydroxide and potassium hydroxide.
  • organic alkali hydroxides include tetraalkylammonium hydroxide, triarylsulfonium hydroxide, diaryliodonium hydroxide and the like.
  • tetraalkylammonium hydroxide include tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrabutylammonium hydroxide and the like.
  • triarylsulfonium hydroxide examples include triphenylsulfonium hydroxide and tris(t-butylphenyl)sulfonium hydroxide.
  • diaryliodonium hydroxides examples include diphenyliodonium hydroxide and bis(t-butylphenyl)iodonium hydroxide.
  • the content of component [E] in the composition for forming a silicon-containing resist underlayer film is preferably 0.05 to 20 parts by mass, more preferably 0.1 to 15 parts by mass with respect to 100 parts by mass of [A] polysiloxane. parts, more preferably 0.5 to 10 parts by weight.
  • additives can be added to the composition for forming a silicon-containing resist underlayer film depending on the application of the composition.
  • additives include cross-linking agents, cross-linking catalysts, stabilizers (organic acids, water, alcohols, etc.), organic polymers, acid generators, surfactants (nonionic surfactants, anionic surfactants, cationic surfactants, silicone surfactants, fluorine surfactants, UV curable surfactants, etc.), pH adjusters, metal oxides, rheology adjusters, adhesion aids, etc., resist underlayer films, anti-reflection
  • Known additives blended in materials (compositions) for forming various films that can be used in the manufacture of semiconductor devices, such as films and films for pattern reversal can be mentioned. Although various additives are exemplified below, they are not limited to these.
  • a stabilizer can be added for the purpose of stabilizing the hydrolysis condensate of the hydrolyzable silane mixture, and specific examples thereof include organic acids, water, alcohols, or combinations thereof.
  • organic acids include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, lactic acid and salicylic acid. Among them, oxalic acid and maleic acid are preferred.
  • the organic acid is added, the amount of addition is 0.1 to 5.0% by mass based on the mass of the hydrolytic condensate of the hydrolyzable silane mixture.
  • These organic acids can also act as pH adjusters.
  • the amount added is 1 to 20 parts by mass with respect to 100 parts by mass of the composition for forming a silicon-containing resist underlayer film.
  • the amount added can be 1 to 20 parts by mass with respect to 100 parts by mass of the composition for forming a silicon-containing resist underlayer film.
  • Organic polymer By adding the organic polymer to the composition for forming a silicon-containing resist underlayer film, the dry etching rate (amount of decrease in film thickness per unit time) of the film (resist underlayer film) formed from the composition, or the attenuation A coefficient, a refractive index, etc. can be adjusted.
  • the organic polymer is not particularly limited, and is appropriately selected from various organic polymers (condensation polymer and addition polymer) according to the purpose of addition.
  • addition polymerization polymers and condensation polymerization polymers such as polyester, polystyrene, polyimide, acrylic polymer, methacrylic polymer, polyvinyl ether, phenol novolak, naphthol novolak, polyether, polyamide, and polycarbonate.
  • organic polymers containing aromatic rings such as benzene rings, naphthalene rings, anthracene rings, triazine rings, quinoline rings, and quinoxaline rings and heteroaromatic rings that function as light-absorbing sites are also used when such functions are required. can be preferably used.
  • organic polymers include addition polymerizable monomers such as benzyl acrylate, benzyl methacrylate, phenyl acrylate, naphthyl acrylate, anthryl methacrylate, anthryl methyl methacrylate, styrene, hydroxystyrene, benzyl vinyl ether and N-phenylmaleimide. as its structural units, and condensation polymers such as phenol novolacs and naphthol novolacs, but are not limited thereto.
  • the polymer When an addition polymer is used as the organic polymer, the polymer may be either a homopolymer or a copolymer.
  • Addition-polymerizable monomers are used in the production of addition-polymerized polymers, and specific examples of such addition-polymerizable monomers include acrylic acid, methacrylic acid, acrylic ester compounds, methacrylic ester compounds, acrylamide compounds, methacrylic Examples include, but are not limited to, amide compounds, vinyl compounds, styrene compounds, maleimide compounds, maleic anhydride, acrylonitrile, and the like.
  • acrylic acid ester compounds include methyl acrylate, ethyl acrylate, normal hexyl acrylate, i-propyl acrylate, cyclohexyl acrylate, benzyl acrylate, phenyl acrylate, anthryl methyl acrylate, 2-hydroxyethyl acrylate, 3-chloro-2 - hydroxypropyl acrylate, 2-hydroxypropyl acrylate, 2,2,2-trifluoroethyl acrylate, 2,2,2-trichloroethyl acrylate, 2-bromoethyl acrylate, 4-hydroxybutyl acrylate, 2-methoxyethyl acrylate, tetrahydrofurfuryl acrylate, 2-methyl-2-adamantyl acrylate, 5-acryloyloxy-6-hydroxynorbornene-2-carboxylic-6-lactone, 3-acryloxypropyltriethoxysilane, glycidyl acrylate, etc
  • methacrylate compounds include methyl methacrylate, ethyl methacrylate, normal hexyl methacrylate, i-propyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, phenyl methacrylate, anthrylmethyl methacrylate, 2-hydroxyethyl methacrylate, and 2-hydroxypropyl methacrylate.
  • acrylamide compounds include acrylamide, N-methylacrylamide, N-ethylacrylamide, N-benzylacrylamide, N-phenylacrylamide, N,N-dimethylacrylamide, N-anthrylacrylamide and the like. Not limited.
  • methacrylamide compounds include methacrylamide, N-methylmethacrylamide, N-ethylmethacrylamide, N-benzylmethacrylamide, N-phenylmethacrylamide, N,N-dimethylmethacrylamide, and N-anthrylmethacrylamide. etc., but not limited to these.
  • vinyl compounds include vinyl alcohol, 2-hydroxyethyl vinyl ether, methyl vinyl ether, ethyl vinyl ether, benzyl vinyl ether, vinyl acetate, vinyltrimethoxysilane, 2-chloroethyl vinyl ether, 2-methoxyethyl vinyl ether, vinyl naphthalene, vinyl Examples include, but are not limited to, anthracene.
  • styrene compounds include, but are not limited to, styrene, hydroxystyrene, chlorostyrene, bromostyrene, methoxystyrene, cyanostyrene, and acetylstyrene.
  • Maleimide compounds include, but are not limited to, maleimide, N-methylmaleimide, N-phenylmaleimide, N-cyclohexylmaleimide, N-benzylmaleimide, N-hydroxyethylmaleimide, and the like.
  • a polycondensation polymer when used as the polymer, such a polymer includes, for example, polycondensation of a glycol compound and a dicarboxylic acid compound.
  • Glycol compounds include diethylene glycol, hexamethylene glycol, butylene glycol and the like.
  • Dicarboxylic acid compounds include succinic acid, adipic acid, terephthalic acid, maleic anhydride and the like.
  • Further examples include, but are not limited to, polyesters such as polypyromellitimide, poly(p-phenylene terephthalamide), polybutylene terephthalate, and polyethylene terephthalate, polyamides, and polyimides.
  • the organic polymer contains a hydroxy group, this hydroxy group can undergo a cross-linking reaction with a hydrolyzed condensate or the like.
  • the weight average molecular weight of the organic polymer can typically range from 1,000 to 1,000,000.
  • the weight average molecular weight is, for example, 3,000 to 300,000, or 5,000 to 5,000. It can be 300,000, or 10,000-200,000, and so on.
  • Such organic polymers may be used singly or in combination of two or more.
  • the content thereof is determined as appropriate in consideration of the function of the organic polymer, etc., and therefore cannot be categorically defined.
  • it can be in the range of 1 to 200% by mass, and from the viewpoint of suppressing precipitation in the composition, for example, 100% by mass or less, preferably 50% by mass or less, more preferably 30% by mass or less. From the viewpoint of sufficiently obtaining the effect, for example, it can be 5% by mass or more, preferably 10% by mass or more, and more preferably 30% by mass or more.
  • acid generators include thermal acid generators and photoacid generators, and photoacid generators can be preferably used.
  • Photoacid generators include, but are not limited to, onium salt compounds, sulfonimide compounds, disulfonyldiazomethane compounds, and the like.
  • the photoacid generator may also function as a curing catalyst, depending on the type of the onium salt compound, such as carboxylates such as nitrates and maleates, and hydrochlorides, which will be described later.
  • thermal acid generators include tetramethylammonium nitrate and the like, but are not limited thereto.
  • onium salt compounds include diphenyliodonium hexafluorophosphate, diphenyliodonium trifluoromethanesulfonate, diphenyliodonium nonafluoro-normal butanesulfonate, diphenyliodonium perfluoro-normal octane sulfonate, diphenyliodonium camphorsulfonate, bis(4-t-butylphenyl ) iodonium salt compounds such as iodonium camphorsulfonate, bis(4-t-butylphenyl)iodonium trifluoromethanesulfonate, triphenylsulfonium hexafluoroantimonate, triphenylsulfonium nonafluoron-butanesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium Examples include, but are not limited to,
  • sulfonimide compounds include N-(trifluoromethanesulfonyloxy)succinimide, N-(nonafluoro-normalbutanesulfonyloxy)succinimide, N-(camphorsulfonyloxy)succinimide, and N-(trifluoromethanesulfonyloxy)naphthalimide. etc., but not limited to these.
  • disulfonyldiazomethane compounds include bis(trifluoromethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(p-toluenesulfonyl)diazomethane, and bis(2,4-dimethylbenzene).
  • sulfonyl)diazomethane methylsulfonyl-p-toluenesulfonyldiazomethane, and the like, but are not limited thereto.
  • the content thereof is determined as appropriate in consideration of the type of the acid generator and the like, and cannot be unconditionally defined. It is in the range of 0.01 to 5% by mass, preferably 3% by mass or less, more preferably 1% by mass or less, from the viewpoint of suppressing precipitation of the acid generator in the composition. , from the viewpoint of sufficiently obtaining the effect, it is preferably 0.1% by mass or more, more preferably 0.5% by mass or more.
  • the acid generator may be used singly or in combination of two or more, and a photoacid generator and a thermal acid generator may be used in combination.
  • Surfactants are effective in suppressing the occurrence of pinholes, striations, etc. when the silicon-containing resist underlayer film-forming composition is applied to a substrate.
  • Examples of surfactants include nonionic surfactants, anionic surfactants, cationic surfactants, silicon surfactants, fluorochemical surfactants, and UV curable surfactants.
  • polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol
  • Polyoxyethylene alkylaryl ethers such as ethers, polyoxyethylene/polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate sorbitan fatty acid esters such as polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate
  • Nonionic surfactants such as sorbitan fatty acid esters, trade name Ftop (registered trademark)
  • the content thereof is usually 0.0001 to 5% by mass, preferably 0.001, based on the mass of [A] polysiloxane. to 4 mass %, more preferably 0.01 to 3 mass %.
  • the rheology modifier mainly improves the fluidity of the composition for forming a silicon-containing resist underlayer film, and particularly in the baking process, improves the uniformity of the film thickness of the formed film and improves the fillability of the composition inside the holes.
  • phthalic acid derivatives such as dimethyl phthalate, diethyl phthalate, di-i-butyl phthalate, dihexyl phthalate, butyl i-decyl phthalate, di-n-butyl adipate, di-i-butyl adipate, di-i-octyl adipate, Adipic acid derivatives such as octyldecyl adipate, maleic acid derivatives such as di-n-butyl maleate, diethyl maleate and dinonyl maleate, oleic acid derivatives such as methyl oleate, butyl oleate and tetrahydrofurfuryl oleate, normal butyl stearate and glyceryl stearate Examples include stearic acid derivatives such as rate. When these rheology modifiers are used, the amount added is usually less than 30% by mass based on the total
  • the adhesion aid mainly improves the adhesion between the substrate or the resist and the film (resist underlayer film) formed from the composition for forming a silicon-containing resist underlayer film, and particularly suppresses/prevents peeling of the resist during development. added for a purpose.
  • chlorosilanes such as trimethylchlorosilane, dimethylvinylchlorosilane, methyldiphenylchlorosilane, and chloromethyldimethylchlorosilane
  • alkoxysilanes such as trimethylmethoxysilane, dimethyldiethoxysilane, methyldimethoxysilane, and dimethylvinylethoxysilane
  • Disilazane, N,N'-bis(trimethylsilyl)urea dimethyltrimethylsilylamine, silazanes such as trimethylsilylimidazole, ⁇ -chloropropyltrimethoxysilane, ⁇ -aminopropyltriethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane
  • Heterocyclic compounds such as other silanes such as benzotriazole, benzimidazole, indazole, imidazole, 2-mercaptobenzimidazole, 2-
  • pH adjuster examples include acids having one or more carboxylic acid groups, such as the organic acids exemplified above as stabilizers.
  • the amount added is 0.01 to 20 parts by weight, or 0.01 to 10 parts by weight, or 0.01 to 5 parts by weight, relative to 100 parts by weight of [A] polysiloxane. It can be a ratio of parts by mass.
  • the concentration of film-forming components in the composition for forming a silicon-containing resist underlayer film is, for example, 0.1 to 50% by mass, 0.1 to 30% by mass, or 0.1 to 25% by mass with respect to the total mass of the composition. %, 0.5 to 20.0 mass %.
  • the content of [A] polysiloxane in the film-forming component is usually 20% by mass to 100% by mass, but from the viewpoint of obtaining the effects of the present invention with good reproducibility, the lower limit is preferably 50% by mass. , More preferably 60% by mass, still more preferably 70% by mass, still more preferably 80% by mass, the upper limit is preferably 99% by mass, and the remainder can be used as an additive described later. can.
  • the silicon-containing resist underlayer film-forming composition preferably has a pH of 2-5, more preferably a pH of 3-4.
  • the composition for forming a silicon-containing resist underlayer film can be produced by mixing [A] polysiloxane, [B] a solvent, and, if desired, other components, if any.
  • [A] a solution containing polysiloxane may be prepared in advance, and this solution may be mixed with [B] the solvent and other components.
  • the mixing order is not particularly limited.
  • the solution containing [A] polysiloxane, the [B] solvent may be added and mixed, and other components may be added to the mixture, and the solution containing [A] polysiloxane and the [B] solvent and other ingredients may be mixed at the same time.
  • the [B] solvent may be additionally added at the end, or some components that are relatively soluble in the [B] solvent may be left out of the mixture and added at the end.
  • a solution in which [A] polysiloxane is well dissolved is prepared in advance, and the composition is prepared using this. preferably prepared.
  • [A] polysiloxane may aggregate or precipitate when these are mixed, depending on the type and amount of [B] solvent mixed together, the amount and properties of other ingredients, etc. do.
  • composition when preparing a composition using a solution in which [A] polysiloxane is dissolved, [A] polysiloxane is added so that the desired amount of [A] polysiloxane in the finally obtained composition is Also note that the concentration of the solution and the amount to be used need to be determined. In the preparation of the composition, the composition may be appropriately heated as long as the components are not decomposed or altered.
  • the composition for forming a silicon-containing resist underlayer film may be filtered using a submicrometer-order filter or the like in the middle of manufacturing the composition or after mixing all the components.
  • the material of the filter used at this time is not limited, but for example, a nylon filter, a fluororesin filter, or the like can be used.
  • the composition for forming a silicon-containing resist underlayer film of the present invention can be suitably used as a composition for forming a resist underlayer film used in a lithography process.
  • the silicon-containing resist underlayer film formed from the composition for forming a silicon-containing resist underlayer film of the present invention can be used as a resist underlayer film for ArF lithography, or has finer dimensions that are difficult to process by lithography techniques using ArF excimer laser light. It can be effectively used as a resist underlayer film for EUV lithography using light with a very short wavelength that can process .
  • the laminate comprises a metal film containing at least one metal selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table, and a silicon-containing resist underlayer film formed on the metal film. and
  • the silicon-containing resist underlayer film is formed using the composition for forming a silicon-containing resist underlayer film of the present invention described above.
  • the metal film is subjected to dry etching.
  • a semiconductor device that does not require a biometal layer is manufactured by using the laminate of the present invention, which has a silicon-containing resist underlayer film formed using the composition for forming a silicon-containing resist underlayer film of the present invention. Obtainable.
  • substrates used in the manufacture of precision integrated circuit elements e.g., semiconductor substrates such as silicon wafers coated with silicon oxide films, silicon nitride films or silicon oxynitride films, silicon nitride substrates, quartz substrates, glass substrates (no Alkali glass, low alkali glass, crystallized glass), glass substrates with ITO (indium tin oxide) or IZO (indium zinc oxide) films, plastic (polyimide, PET, etc.) substrates, low dielectric
  • a metal film containing a specific metal such as ruthenium (Ru) is formed on a low-k material (low-k material) coated substrate, flexible substrate, etc.) by an appropriate forming method such as vapor deposition.
  • the silicon-containing resist underlayer film-forming composition of the present invention is applied onto the metal film by an appropriate coating method such as a spinner or coater, and then baked using a heating means such as a hot plate. is used as a cured product to form a resist underlayer film.
  • the resist underlayer film refers to a film formed from the composition for forming a silicon-containing resist underlayer film of the present invention.
  • the firing conditions are appropriately selected from a firing temperature of 40° C. to 400° C. or 80° C. to 250° C. and a firing time of 0.3 minutes to 60 minutes.
  • the firing temperature is 150° C. to 250° C. and the firing time is 0.5 minutes to 2 minutes.
  • the film thickness of the resist underlayer film formed here is not particularly limited and can be appropriately set according to the purpose.
  • the film thickness of the resist underlayer film should be set so as to show a preferable range compared to the value of the dry etch rate exhibited by the metal film containing a specific metal.
  • the film thickness of the resist underlayer film is, for example, 10 nm to 1,000 nm, preferably 20 nm to 500 nm, more preferably 20 nm to 300 nm, even more preferably 20 nm to 200 nm, and particularly preferably 20 to 150 nm.
  • a silicon-containing resist underlayer film-forming composition used for forming the resist underlayer film a silicon-containing resist underlayer film-forming composition filtered through a nylon filter can be used.
  • the silicone-containing resist underlayer film-forming composition filtered through a nylon filter means that the silicone-containing resist underlayer film-forming composition was filtered through a nylon filter in the middle of manufacturing the silicon-containing resist underlayer film-forming composition, or after all the components were mixed. Refers to composition.
  • the metal film according to the present invention contains at least one metal selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table.
  • ruthenium (Ru), tungsten (W), and molybdenum (Mo) are preferred, ruthenium (Ru) and molybdenum (Mo) are more preferred, and ruthenium (Ru) is even more preferred.
  • the thickness of the metal film on the substrate is not particularly limited, and can be appropriately selected according to the purpose.
  • the thickness of the metal film is, for example, in the range of (1 to 500 nm or 1 to 300 nm).
  • a metal film is formed on a substrate, and then a resist underlayer film is formed thereon.
  • the metal film can be processed by selecting an appropriate etching gas described later.
  • a fluorine-based gas having a sufficiently high etching rate for a photoresist film can be used as an etching gas to process a resist underlayer film, and has a sufficiently high etching rate for a resist underlayer film.
  • a metal film can be processed by using an oxygen-containing chlorine-based gas as an etching gas, and a semiconductor device can be manufactured.
  • a photoresist material layer is formed on the resist underlayer film.
  • the resist film can be formed by a well-known method, that is, by applying a coating-type resist material (resist composition for forming a resist film) on the resist underlayer film and baking the composition.
  • the film thickness of the resist film is, for example, 10 nm to 10,000 nm, 100 nm to 2,000 nm, 200 nm to 1,000 nm, or 30 nm to 200 nm.
  • the photoresist material used for the resist film formed on the resist underlayer film is not particularly limited as long as it is sensitive to the light used for exposure (for example, KrF excimer laser, ArF excimer laser, etc.).
  • both negative photoresist materials and positive photoresist materials can be used.
  • a positive photoresist material composed of a novolac resin and a 1,2-naphthoquinonediazide sulfonic acid ester a chemically amplified photoresist composed of a binder having a group that decomposes with an acid to increase the alkali dissolution rate
  • a photoacid generator for example, a positive photoresist material composed of a novolac resin and a 1,2-naphthoquinonediazide sulfonic acid ester, a chemically amplified photoresist composed of a binder having a group that decomposes with an acid to increase the alkali dissolution rate.
  • a chemically amplified photoresist material composed of a low-molecular-weight compound, an alkali-soluble binder, and a photoacid generator that decomposes with an acid to increase the alkali dissolution rate of the photoresist material
  • a chemically amplified photoresist material composed of a binder having a group that causes a reaction, a low-molecular-weight compound that is decomposed by an acid to increase the alkali dissolution rate of the photoresist material, and a photoacid generator.
  • a resist film for electron beam lithography also referred to as an electron beam resist film
  • a resist film for EUV lithography also referred to as an EUV resist film
  • the composition for forming a silicon-containing resist underlayer film of the present invention can be used for forming a resist underlayer film for electron beam lithography or for forming a resist underlayer film for EUV lithography. It is particularly suitable as a composition for forming a resist underlayer film for EUV lithography.
  • the electron beam resist material for forming the electron beam resist film either a negative material or a positive material can be used.
  • Specific examples thereof include a chemically amplified resist material composed of an acid generator and a binder having a group that is decomposed by an acid to change the alkali dissolution rate;
  • a chemically amplified resist material composed of a low-molecular-weight compound that changes the dissolution rate, a binder having a group that decomposes with an acid generator and an acid to change the alkali dissolution rate, and a binder that decomposes with the acid to change the alkali dissolution rate of the resist material.
  • non-chemically amplified resist materials made of binders Even when these electron beam resist materials are used, a resist film pattern can be formed in the same manner as when a photoresist material is used with an electron beam as the irradiation source.
  • the EUV resist material for forming the EUV resist film a methacrylate resin-based resist material can be used as the EUV resist film.
  • the resist film formed on the resist underlayer film is exposed through a predetermined mask (reticle).
  • KrF excimer laser (wavelength: 248 nm), ArF excimer laser (wavelength: 193 nm), F2 excimer laser (wavelength: 157 nm), EUV (wavelength: 13.5 nm), electron beam, etc. can be used for exposure.
  • a post-exposure bake can be performed, if desired.
  • the post-exposure heating is performed under conditions appropriately selected from a heating temperature of 70° C. to 150° C. and a heating time of 0.3 minutes to 10 minutes.
  • a developer for example, an alkaline developer
  • a developer for example, an alkaline developer
  • alkali metal hydroxides such as potassium hydroxide and sodium hydroxide
  • alkaline aqueous solutions examples include alkaline aqueous solutions (alkali developers) such as aqueous solutions of amines such as amine, propylamine and ethylenediamine. Furthermore, a surfactant or the like can be added to these developers.
  • alkali developers such as aqueous solutions of amines such as amine, propylamine and ethylenediamine.
  • a surfactant or the like can be added to these developers.
  • the development conditions are appropriately selected from a temperature of 5 to 50° C. and a time of 10 to 600 seconds.
  • an organic solvent can be used as a developer, and development is performed with the developer (solvent) after exposure.
  • the developer solvent
  • the photoresist film in the unexposed portions is removed to form a pattern of the photoresist film.
  • Examples of the developer (organic solvent) include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, ethyl methoxyacetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, Ethylene glycol monopropyl ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol mono Ethyl ether acetate, 2-methoxybutyl acetate, 3-methoxybutyl
  • the resist underlayer film is removed, and then the patterned resist underlayer film (the patterned resist film and the patterned resist underlayer film) is removed.
  • a metal film containing a specific metal is patterned using a protective film formed by combining the above. Thereby, a semiconductor device having a patterned metal film on a semiconductor substrate can be manufactured.
  • the removal (patterning) of the resist underlayer film which is performed using the pattern of the resist film as a protective film, is performed by dry etching using tetrafluoromethane (CF 4 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, trichloroborane and dichloroborane. can. It is preferable to use a halogen-based gas for the dry etching of the resist underlayer film.
  • a resist film (photoresist film) basically made of an organic substance is difficult to remove.
  • a resist underlayer film containing a large amount of silicon atoms is quickly removed by a halogen-based gas. Therefore, reduction in the thickness of the photoresist film due to dry etching of the resist underlayer film can be suppressed. As a result, it becomes possible to use a thin photoresist film. Therefore, the dry etching of the resist underlayer film is preferably performed using a fluorine-based gas. 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ), and the like, but are not limited to these.
  • a metal film containing a specific metal is formed between the substrate and the resist underlayer film.
  • Removal (patterning) of the metal film performed using a patterned resist underlayer film as a protective film is performed using an oxygen-containing chlorine-based gas (a mixed gas of oxygen gas and chlorine gas, a mixed gas containing oxygen gas and chlorine gas and argon gas). etc.).
  • the dry etching rate exhibited by the resist underlayer film according to the present invention and the dry etching rate exhibited by the metal film containing a specific metal exhibit a good relationship that can be used practically without hindrance. Therefore, the resist underlayer film according to the present invention can be effectively used as an etching mask for a metal film containing a specific metal.
  • the silicon-containing resist underlayer film is a resist underlayer film formed using a composition for forming a silicon-containing resist underlayer film in which the content of Si in polysiloxane is 30% by mass or more
  • the silicon-containing resist underlayer film is The indicated dry etch rate is a value slower than the dry etch rate indicated by a metal film containing a specific metal. That is, since the silicon-containing resist underlayer film has high dry etching resistance to a metal film containing a specific metal, by using the resist underlayer film as an etching mask, a metal film containing a specific metal can be effectively treated. can be effectively removed.
  • removal of the resist underlayer film may be performed.
  • the removal of the resist underlayer film can be performed by dry etching or a wet method using a chemical solution (wet etching).
  • the dry etching of the resist underlayer film is preferably performed with a fluorine-based gas as mentioned in the patterning . 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ), and the like, but are not limited to these.
  • Chemicals used for wet etching of the resist underlayer film include dilute hydrofluoric acid (hydrofluoric acid), buffered hydrofluoric acid (mixed solution of HF and NH 4 F), aqueous solution containing hydrochloric acid and hydrogen peroxide (SC -2 chemical solution), an aqueous solution containing sulfuric acid and hydrogen peroxide (SPM chemical solution), an aqueous solution containing hydrofluoric acid and hydrogen peroxide (FPM chemical solution), and an aqueous solution containing ammonia and hydrogen peroxide (SC-1 chemical solution) and other alkaline solutions.
  • hydrofluoric acid hydrofluoric acid
  • buffered hydrofluoric acid mixed solution of HF and NH 4 F
  • SC -2 chemical solution aqueous solution containing hydrochloric acid and hydrogen peroxide
  • SPM chemical solution sulfuric acid and hydrogen peroxide
  • FPM chemical solution aqueous solution containing hydrofluoric acid and hydrogen peroxide
  • SC-1 chemical solution a
  • ammonia hydrogen peroxide solution obtained by mixing ammonia, hydrogen peroxide solution and water, ammonia, tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide, tetrapropylammonium hydroxide, tetrabutylammonium hydroxide, choline hydroxide, benzyltrimethylammonium hydroxide, benzyltriethylammonium hydroxide, DBU (diazabicycloundecene), DBN (diazabicyclononene), hydroxylamine, 1-butyl-1 -methylpyrrolidinium hydroxide, 1-propyl-1-methylpyrrolidinium hydroxide, 1-butyl-1-methylpiperidinium hydroxide, 1-propyl-1-methylpiperidinium hydroxide, mepicquat Mention may be made of aqueous
  • the resist underlayer film of the present invention as an underlayer film of the EUV resist film, has a function as a hard mask.
  • UV (ultraviolet) light and DUV (deep ultraviolet) light can be prevented from being reflected from the substrate or the interface. Therefore, the composition for forming a silicon-containing resist underlayer film of the present invention can be suitably used for forming an underlayer antireflection film of an EUV resist film. That is, it can efficiently prevent reflection as a lower layer of the EUV resist film.
  • the process can be performed in the same manner as for the photoresist underlayer film.
  • the metal film is dry-etched.
  • a semiconductor device can be suitably manufactured by subjecting it to. Further, as described above, a step of forming a metal film containing a specific metal on a semiconductor substrate, and a silicon-containing resist underlayer film formed on the metal film using the composition for forming a silicon-containing resist underlayer film of the present invention. and the step of forming a resist film on the silicon-containing resist underlayer film. production can be expected.
  • the steps of exposing and developing the resist film to obtain a resist pattern, using the patterned resist film as a mask, etching the silicon-containing resist underlayer film, and the step of etching the patterned resist film are performed.
  • a method for manufacturing a semiconductor device which includes a step of dry-etching a metal film using a silicon-containing resist underlayer film as a mask, a new metal other than Cu is used for wiring, and a barrier metal layer that has been conventionally required is eliminated.
  • New semiconductor devices can be manufactured using subtractive methods that do not require
  • the equipment and conditions used for analyzing the physical properties of the samples are as follows.
  • the molecular weight of the polysiloxane used in the present invention is the molecular weight obtained in terms of polystyrene by GPC analysis.
  • GPC measurement conditions include, for example, a GPC device (trade name HLC-8220GPC, manufactured by Tosoh Corporation), a GPC column (trade name Shodex (registered trademark) KF803L, KF802, KF801, manufactured by Showa Denko KK), and a column temperature of 40°C.
  • the eluent (elution solvent) is tetrahydrofuran
  • the flow rate (flow rate) is 1.0 mL/min
  • the standard sample is polystyrene (manufactured by Showa Denko KK).
  • 1 H-NMR Evaluation was performed using a nuclear magnetic resonance apparatus 1 H-NMR (400 MHz) manufactured by JEOL and d6-acetone as a solvent.
  • Amount of residual nitric acid The amount of nitric acid remaining in the system was measured by ion chromatography.
  • the resulting polymer contained polysiloxane having a structure represented by the following formula, and had a weight average molecular weight of Mw 3,000 in terms of polystyrene by GPC. Also, the amount of capping with propylene glycol monoethyl ether was 2 mol % with respect to Si atoms by 1 H-NMR. The amount of residual nitric acid in the polymer solution was 0.09%. Also, the calculated Si content was 42% by mass. Here, the Si content was determined as the weight ratio of Si atoms in the polymer assuming that each silane monomer was completely condensed.
  • the obtained polymer contained polysiloxane having a structure represented by the following formula, and had a weight average molecular weight of Mw 2,500 in terms of polystyrene by GPC. Also, the amount of capping with propylene glycol monoethyl ether was 3 mol % with respect to Si atoms by 1 H-NMR. The amount of residual nitric acid in the polymer solution was 0.16%. The calculated Si content was 38% by mass.
  • reaction solution is cooled to room temperature, 100 g of 4-methyl-2-pentanol is added to the reaction solution, and acetone, water, hydrochloric acid, and ethanol as a reaction by-product are distilled off from the reaction solution under reduced pressure and concentrated. , to obtain a 4-methyl-2-pentanol solution of a cohydrolyzed condensate (polysiloxane).
  • the solid content concentration was adjusted to 13% by mass in terms of solid residue at 140°C.
  • 20 mg of acetic acid was added to 15 g of the prepared polymer solution.
  • the flask was transferred to an oil bath adjusted to 150° C., and the mixture was reacted for 48 hours under heating and reflux.
  • the weight average molecular weight Mw by GPC was 5300 in terms of polystyrene.
  • the resulting polysiloxane was a polysiloxane in which a portion of the silanol groups were capped with 4-methyl-2-pentanol.
  • 1 H-NMR showed that the amount of capping with 4-methyl-2-pentanol was 20 mol % with respect to Si atoms.
  • the calculated Si content was 35% by mass.
  • reaction solution is cooled to room temperature, 91.16 g of water is added to the reaction solution, reaction by-products such as ethanol, methanol, and water are distilled off under reduced pressure and concentrated to obtain an aqueous solution of hydrolyzed condensate (polysiloxane). rice field. Further, water was added to adjust the solvent ratio to 100% water (water only solvent) to be 20% by mass in terms of solid residue at 140°C.
  • the resulting polymer contained polysiloxane having a structure represented by the following formula. The calculated Si content was 19% by mass.
  • composition applied to resist pattern Table 1 shows the polysiloxane (polymer), acid (additive 1), photoacid generator (additive 2), and solvent obtained in the above synthesis example.
  • Each composition to be applied to the resist pattern was prepared by mixing in proportions and filtering through a 0.1 ⁇ m fluororesin filter.
  • Each addition amount in Table 1 is shown in parts by mass.
  • the hydrolytic condensate (polymer) is prepared as a solution containing the condensate obtained in Synthesis Example, but the addition ratio of the polymer in Table 1 is not the amount of the polymer solution added, but the polymer itself. is added.
  • DIW means ultrapure water
  • PGEE propylene glycol monoethyl ether
  • PGME propylene glycol monomethyl ether
  • MIBC means methyl isobutyl carbinol.
  • MA is maleic acid
  • TPSNO3 triphenylsulfonium nitrate
  • IMTEOS is triethoxysilylpropyl-4,5-dihydroimidazole
  • NfA nonafluorobutane-1-sulfonic acid (FBSA).
  • Examples 1-4 further include nitric acid or acetic acid contained in the polymer solutions prepared in Synthesis Examples 1-4.
  • the dry etch rate exhibited by the silicon-containing resist underlayer film formed by the composition for forming a silicon-containing resist underlayer film of the present invention was compared with the dry etch rate exhibited by the Ru substrate. It was confirmed that it is within the range that can be used practically without hindrance. Therefore, as an etching mask used in dry etching a metal film containing at least one metal selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table of elements such as Ru, the present invention It was found that the silicon-containing resist underlayer film formed from the composition for forming a silicon-containing resist underlayer film can be preferably used.
  • the silicon-containing resist underlayer films of Examples 1 to 3 had a lower dry etch rate than the Ru substrate and had high dry etch resistance to the Ru substrate. From this, there is a correlation between the Si content in polysiloxane in the composition for forming a silicon-containing resist underlayer film and the dry etch resistance.
  • the silicon-containing resist underlayer film formed from the composition for forming a silicon-containing resist underlayer film having a content of 30% by mass or more is selected from the group consisting of Groups 6, 7, 8, and 9 of the periodic table. It was found that it can be used more preferably as an etching mask used when dry-etching a metal film containing at least one kind of metal.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

Ru等のCuではない新たな金属を用いて、サブトラクティブ法により、半導体素子を製造するため、Ru等の元素周期表第6族、7族、8族、及び9族からなる群より選ばれる金属の膜をドライエッチングするためのエッチングマスクとして好適に使用できるレジスト下層膜を形成するレジスト下層膜形成用組成物を提供する。 元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜をドライエッチングする際にエッチングマスクとして使用するためのシリコン含有レジスト下層膜を形成するシリコン含有レジスト下層膜形成用組成物である。

Description

シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法
 本発明は、シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法に関する。
 近年シリコン半導体製品の微細化と高性能化に伴い、銅(Cu)配線が多用されている。Cu配線の形成は、銅のドライエッチングが極めて困難なことから、一般に、配線間絶縁膜(層間絶縁膜ともいう)のドライエッチングによる配線溝形成工程と、形成された配線溝への電解めっきによる銅の埋め込み工程と、化学機械研磨(CMP)による余剰なCu膜の除去工程及び平坦化工程とを備えた一連の工程(ダマシン法)により行われている。
 ダマシン法により形成された半導体基板として、層間絶縁膜の溝に形成されたCu配線と層間絶縁膜の溝との間に設けられた例えばTa膜、Ti膜等のバリアメタル膜が形成された半導体基板が報告されている(例えば、特許文献1参照)。
特開2012-69550号公報
 バリアメタル層はCu金属原子が配線から周囲の絶縁膜へと拡散するのを防ぐ。バリアメタル層には、Cuに比べて抵抗率が高い金属材料を使う。従ってバリアメタル層は、配線抵抗を増加させる。
 配線ピッチを縮小するときには、バリアメタル層の厚みも縮小することが望ましい。しかしバリアメタル層をあまり薄くすると、Cu原子の拡散を防げなくなる。ある程度までしか、バリアメタル層は薄くできない。
 すなわち微細化を進めると、ある段階から配線断面に占めるバリアメタル層の比率が上昇する。バリアメタル層の金属は通常、Cuよりも抵抗率が高い。このため、微細化によって抵抗値が急速に増大する。
 そこで、この問題に対処すべく、次世代の超微細加工向け半導体最先端デバイスにおいては、メタル配線にCuではなくRu、W、Moなどの新たな金属の適用が期待されている。
 ところで、上記ダマシン法以外にも、例えばサブトラクティブ法により、半導体基板及び金属配線層(パターニングされた金属膜)を有する半導体素子を製造することができる。
 サブトラクティブ法では、金属膜の上にハードマスク層を形成し、ハードマスク層をエッチングして配線のパターン(原型)を形成する。次にハードマスクを使って金属膜をエッチングし(金属配線層を形成し)、ハードマスクと同様の配線パターンを作る。続いてハードマスクを除去し、化学的気相成長(CVD)によって絶縁膜(誘電体膜)を埋め込む。そしてCMPによって表面を削って平らにし、金属配線層の表面を露出させる。例えば、このように、上述した一連の工程を経てサブトラクティブ法による半導体素子を製造することができる。
 バリアメタル層による問題を生じさせないためには、配線にCuではない新たな金属を用い、さらにサブトラクティブ法により半導体素子を製造し、バリアメタル層を必要としない半導体素子を製造することが望ましい。
 そこで、本発明は、Ru等のCuではない新たな金属を用いて、サブトラクティブ法により、半導体基板及び金属配線層を有する半導体素子を製造するため、該新たな金属の膜をドライエッチングするためのエッチングマスクとして好適に使用できるマスク材料を提供することを目的とする。
 より具体的には、本発明は、Ru等のCuではない新たな金属を用いて、サブトラクティブ法により、半導体素子を製造するため、Ru等の元素周期表第6族、7族、8族、及び9族からなる群より選ばれる金属の膜をドライエッチングするためのエッチングマスクとして好適に使用できるレジスト下層膜を形成するレジスト下層膜形成用組成物を提供することを目的とする。
 本発明者らは、Ru等の元素周期表第6族、7族、8族、及び9族からなる群より選ばれる金属を含む金属膜をドライエッチングする際に使用するエッチングマスクとして、シリコン含有レジスト下層膜形成用組成物から形成されるシリコン含有レジスト下層膜が、好適に使用できることを見出し、さらにこれら金属膜とシリコン含有レジスト下層膜とを用いて半導体素子を製造することにより、そもそも上述したバリアメタル層の問題が生じないバリアメタル層不要の半導体素子が好適に得られることを見出し、本発明を完成させた。
 すなわち、本発明は以下の態様を包含するものである。
[1]元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜をドライエッチングする際にエッチングマスクとして使用するためのシリコン含有レジスト下層膜を形成するシリコン含有レジスト下層膜形成用組成物。
[2]前記金属が、ルテニウム(Ru)である、[1]に記載のシリコン含有レジスト下層膜形成用組成物。
[3][A]成分:ポリシロキサン、及び
 [B]成分:溶媒
を含有する、[1]又は[2]に記載のシリコン含有レジスト下層膜形成用組成物。
[4]前記シリコン含有レジスト下層膜が塗布により形成されてなる膜である、[1]~[3]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[5]前記[A]成分のポリシロキサンにおけるSiの占める含有量が、30質量%以上である、[3]又は[4]に記載のシリコン含有レジスト下層膜形成用組成物。
[6]前記[A]成分のポリシロキサンが、4官能アルコキシシランを原料として用いられるポリシロキサンである、[3]~[5]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[7]前記[A]成分のポリシロキサンが、3官能アルコキシシランを原料として用いられるポリシロキサンである、[3]~[6]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[8]前記[A]成分のポリシロキサンが、シラノール基の少なくとも一部がアルコール変性された又はアセタール保護されたポリシロキサン変性物を含む、[3]~[7]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[9]前記ポリシロキサン変性物が、加水分解性シラン化合物の加水分解縮合物とアルコールの脱水反応物を含む、[8]に記載のシリコン含有レジスト下層膜形成用組成物。
[10]前記[B]成分の溶媒が、アルコール系溶媒を含む、[3]~[9]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[11]前記[B]成分の溶媒が、プロピレングリコールモノアルキルエーテル、及びメチルイソブチルカルビノールの少なくともいずれかを含む、[10]に記載のシリコン含有レジスト下層膜形成用組成物。
[12]硝酸又は酢酸をさらに含む、[3]~[11]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[13]前記[B]成分の溶媒が水を含む、[3]~[12]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[14]前記シリコン含有レジスト下層膜が、ArF又はEUVリソグラフィー用のレジスト下層膜である、[1]~[13]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物。
[15]元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜と、前記金属膜上に形成されたシリコン含有レジスト下層膜とを有する積層体であって、
 前記シリコン含有レジスト下層膜は、[1]~[14]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を用いて形成され、
 前記金属膜がドライエッチングに供される、積層体。
[16]半導体基板上に、元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜を形成する工程と、
 前記金属膜上に、[1]~[14]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、
 前記シリコン含有レジスト下層膜上に、レジスト膜を形成する工程と、
を含む、半導体素子の製造方法。
[17]前記シリコン含有レジスト下層膜を形成する工程において、ナイロンフィルタをろ過したシリコン含有レジスト下層膜形成用組成物を使用する、[16]に記載の半導体素子の製造方法。
[18]前記金属膜上に、[1]~[14]のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を塗布し、焼成して、シリコン含有レジスト下層膜を形成する工程と、
 前記シリコン含有レジスト下層膜上に、レジスト組成物を塗布し、レジスト膜を形成する工程と、
を含む、[16]又は[17]に記載の半導体素子の製造方法。
[19]前記レジスト膜を露光、現像し、レジストパターンを得る工程と、
 パターン化された前記レジスト膜をマスクとして用い、前記シリコン含有レジスト下層膜をエッチングする工程と、
 パターン化された前記シリコン含有レジスト下層膜をマスクとして用い、前記金属膜をドライエッチングする工程とを含む、[16]~[18]のいずれかに記載の半導体素子の製造方法。
[20]前記ドライエッチングする工程における、前記シリコン含有レジスト下層膜のエッチング速度(エッチレート)が、前記金属膜のエッチング速度(エッチレート)より遅い値を示す、[19]に記載の半導体素子の製造方法。
[21]前記金属膜をドライエッチングする工程の後に、薬液を用いた湿式法により前記シリコン含有レジスト下層膜を除去する工程を更に含む、[19]又は[20]に記載の半導体素子の製造方法。
 本発明によれば、Ru等のCuではない新たな金属を用いて、サブトラクティブ法により、半導体素子を製造するため、Ru等の元素周期表第6族、7族、8族、及び9族からなる群より選ばれる金属の膜をドライエッチングするためのエッチングマスクとして好適に使用できるレジスト下層膜を形成するレジスト下層膜形成用組成物を提供することができる。
(シリコン含有レジスト下層膜形成用組成物)
 本発明のシリコン含有レジスト下層膜形成用組成物は、シリコン含有レジスト下層膜を形成するために用いられる。
 シリコン含有レジスト下層膜は、元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属(以下、「特定の金属」ともいう)を含む金属膜をドライエッチングする際にエッチングマスクとして使用される。エッチングマスクとして使用されるため、シリコン含有レジスト下層膜は、特定の金属を含む金属膜上に形成される。
 シリコン含有レジスト下層膜は、塗布により形成されてなる膜であることが好ましい。
 本発明者らは、Ru等の元素周期表第6族、7族、8族、及び9族からなる群より選ばれる金属を含む金属膜をドライエッチングする際に使用するエッチングマスクとして、シリコン含有レジスト下層膜形成用組成物から形成されるシリコン含有レジスト下層膜が好適に使用できることを見出した。
 本発明のシリコン含有レジスト下層膜形成用組成物は、[A]成分としてポリシロキサン、[B]成分として溶媒を含有し、更に必要に応じて、その他の成分を含有する。
<[A]成分:ポリシロキサン>
 [A]成分としてのポリシロキサンは、シロキサン結合を有するポリマーである限り特に限定されない。
 ポリシロキサンは、4官能アルコキシシランを原料として用いられるポリシロキサンであってもよい。
 また、ポリシロキサンは、3官能アルコキシシランを原料として用いられるポリシロキサンであってもよい。
 ポリシロキサンは、シラノール基の少なくとも一部が変性されている変性ポリシロキサン、例えばシラノール基の少なくとも一部がアルコール変性された又はアセタール保護されたポリシロキサン変性物を含んでいてもよい。
 またポリシロキサンは、一例として加水分解性シランの加水分解縮合物を含み、加水分解縮合物とアルコールの脱水反応物を含んでいてもよく、あるいは、加水分解縮合物が有するシラノール基の少なくとも一部がアルコール変性された又はアセタール保護された変性ポリシロキサンを含んでいてもよい。加水分解縮合物に係る加水分解性シランは、一種又は二種以上の加水分解性シランを含むことができる。
 またポリシロキサンは、かご型、ラダー型、直鎖型、及び分岐型のいずれの主鎖を有する構造であるものとすることができる。さらにポリシロキサンとして、市販のポリシロキサンを使用することができる。
 なお、本発明において、加水分解性シランの「加水分解縮合物」、すなわち加水分解縮合の生成物には、縮合が完全に完了した縮合物であるポリオルガノシロキサンポリマーだけでなく、縮合が完全に完了しない部分加水分解縮合物であるポリオルガノシロキサンポリマーも包含される。このような部分加水分解縮合物も、縮合が完全に完了した縮合物と同様、加水分解性シランの加水分解及び縮合によって得られたポリマーであるが、部分的に加水分解で止まり、縮合しておらず、それ故、Si-OH基が残存しているものである。また、シリコン含有レジスト下層膜形成用組成物は、加水分解縮合物の他に、未縮合の加水分解物(完全加水分解物、部分加水分解物)や、モノマー(加水分解性シラン)が残存していてもよい。
 なお、本明細書において、「加水分解性シラン」を単に「シラン化合物」とも称することがある。
 ポリシロキサンとして、例えば下記式(1)で表される少なくとも1種の加水分解性シランを含む加水分解性シランの加水分解縮合物を挙げることができる。
<<式(1)>>
Figure JPOXMLDOC01-appb-C000001
 式(1)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基、アルコキシ基を有する有機基、スルホニル基を有する有機基、若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 aは0~3の整数を表す。
<<<R>>>
 アルキル基は、直鎖状、分枝鎖状、環状のいずれでよく、その炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 アルキル基として、直鎖状の又は分枝鎖状アルキル基の具体例としては、メチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル基、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基及び1-エチル-2-メチル-n-プロピル基等が挙げられる。
 なお、本明細書において、「i」は「iso」、「s」は「sec」、「t」は「tert」を意味する。
 環状アルキル基の具体例としては、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等のシクロアルキル基、ビシクロブチル基、ビシクロペンチル基、ビシクロヘキシル基、ビシクロヘプチル基、ビシクロオクチル基、ビシクロノニル基及びビシクロデシル基等の架橋環式のシクロアルキル基等が挙げられる。
 アリール基は、フェニル基、縮合環芳香族炭化水素化合物の水素原子を一つ取り除いて誘導される1価の基、及び環連結芳香族炭化水素化合物の水素原子を一つ取り除いて誘導される1価の基のいずれでもよく、その炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 例えばアリ-ル基として炭素数6~20のアリール基が挙げられ、一例としてフェニル基、1-ナフチル基、2-ナフチル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基、9-フェナントリル基、1-ナフタセニル基、2-ナフタセニル基、5-ナフタセニル基、2-クリセニル基、1-ピレニル基、2-ピレニル基、ペンタセニル基、ベンゾピレニル基、トリフェニレニル基;ビフェニル-2-イル基(o-ビフェニリル基)、ビフェニル-3-イル基(m-ビフェニリル基)、ビフェニル-4-イル基(p-ビフェニリル基)、パラテルフェニル-4-イル基、メタテルフェニル-4-イル基、オルトテルフェニル-4-イル基、1,1’-ビナフチル-2-イル基、2,2’-ビナフチル-1-イル基等が挙げられるが、これらに限定されない。
 アラルキル基は、アリール基が置換したアルキル基であり、このようなアリール基及びアルキル基の具体例としては、前述したものと同じものが挙げられる。アラルキル基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 アラルキル基の具体例としては、フェニルメチル基(ベンジル基)、2-フェニルエチレン基、3-フェニル-n-プロピル基、4-フェニル-n-ブチル基、5-フェニル-n-ペンチル基、6-フェニル-n-ヘキシル基、7-フェニル-n-ヘプチル基、8-フェニル-n-オクチル基、9-フェニル-n-ノニル基、10-フェニル-n-デシル基等が挙げられるが、これらに限定されない。
 ハロゲン化アルキル基、ハロゲン化アリール基、及びハロゲン化アラルキル基は、それぞれ、1以上のハロゲン原子により置換されたアルキル基、アリール基、及びアラルキル基であり、このようなアルキル基、アリール基及びアラルキル基の具体例としては前述したものと同じものが挙げられる。
 ハロゲン原子としては、フッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。
 ハロゲン化アルキル基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 ハロゲン化アルキル基の具体例としては、モノフルオロメチル基、ジフルオロメチル基、トリフルオロメチル基、ブロモジフルオロメチル基、2-クロロエチル基、2-ブロモエチル基、1,1-ジフルオロエチル基、2,2,2-トリフルオロエチル基、1,1,2,2-テトラフルオロエチル基、2-クロロ-1,1,2-トリフルオロエチル基、ペンタフルオロエチル基、3-ブロモプロピル基、2,2,3,3-テトラフルオロプロピル基、1,1,2,3,3,3-ヘキサフルオロプロピル基、1,1,1,3,3,3-ヘキサフルオロプロパン-2-イル基、3-ブロモ-2-メチルプロピル基、4-ブロモブチル基、パーフルオロペンチル基等が挙げられるが、これらに限定されない。
 ハロゲン化アリール基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アリール基の具体例としては、2-フルオロフェニル基、3-フルオロフェニル基、4-フルオロフェニル基、2,3-ジフルオロフェニル基、2,4-ジフルオロフェニル基、2,5-ジフルオロフェニル基、2,6-ジフルオロフェニル基、3,4-ジフルオロフェニル基、3,5-ジフルオロフェニル基、2,3,4-トリフルオロフェニル基、2,3,5-トリフルオロフェニル基、2,3,6-トリフルオロフェニル基、2,4,5-トリフルオロフェニル基、2,4,6-トリフルオロフェニル基、3,4,5-トリフルオロフェニル基、2,3,4,5-テトラフルオロフェニル基、2,3,4,6-テトラフルオロフェニル基、2,3,5,6-テトラフルオロフェニル基、ペンタフルオロフェニル基、2-フルオロ-1-ナフチル基、3-フルオロ-1-ナフチル基、4-フルオロ-1-ナフチル基、6-フルオロ-1-ナフチル基、7-フルオロ-1-ナフチル基、8-フルオロ-1-ナフチル基、4,5-ジフルオロ-1-ナフチル基、5,7-ジフルオロ-1-ナフチル基、5,8-ジフルオロ-1-ナフチル基、5,6,7,8-テトラフルオロ-1-ナフチル基、ヘプタフルオロ-1-ナフチル基、1-フルオロ-2-ナフチル基、5-フルオロ-2-ナフチル基、6-フルオロ-2-ナフチル基、7-フルオロ-2-ナフチル基、5,7-ジフルオロ-2-ナフチル基、ヘプタフルオロ-2-ナフチル基等が挙げられ、またこれらの基におけるフッ素原子(フルオロ基)が塩素原子(クロロ基)、臭素原子(ブロモ基)、ヨウ素原子(ヨード基)に任意に置換された基が挙げられるが、これらに限定されない。
 ハロゲン化アラルキル基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 ハロゲン化アラルキル基の具体例としては、2-フルオロベンジル基、3-フルオロベンジル基、4-フルオロベンジル基、2,3-ジフルオロベンジル基、2,4-ジフルオロベンジル基、2,5-ジフルオロベンジル基、2,6-ジフルオロベンジル基、3,4-ジフルオロベンジル基、3,5-ジフルオロベンジル基、2,3,4-トリフルオロベンジル基、2,3,5-トリフルオロベンジル基、2,3,6-トリフルオロベンジル基、2,4,5-トリフルオロベンジル基、2,4,6-トリフルオロベンジル基、2,3,4,5-テトラフルオロベンジル基、2,3,4,6-テトラフルオロベンジル基、2,3,5,6-テトラフルオロベンジル基、2,3,4,5,6-ペンタフルオロベンジル基等が挙げられ、またこれらの基におけるフッ素原子(フルオロ基)が塩素原子(クロロ基)、臭素原子(ブロモ基)、ヨウ素原子(ヨード基)に任意に置換された基が挙げられるが、これらに限定されない。
 アルコキシアルキル基、アルコキシアリール基、及びアルコキシアラルキル基は、それぞれ、1以上のアルコキシ基により置換されたアルキル基、アリール基、及びアラルキル基であり、このようなアルキル基、アリール基及びアラルキル基の具体例としては前述したものと同じものが挙げられる。
 置換基としてのアルコキシ基としては、例えば、炭素数1~20の直鎖状、分岐鎖状、及び環状の少なくともいずれかのアルキル部分を有するアルコキシ基が挙げられる。
 直鎖状の又は分枝状のアルコキシ基としては、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が挙げられる。
 また環状のアルコキシ基としては、例えばシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられる。
 アルコキシアルキル基の具体例としては、メトキシメチル基、エトキシメチル基、1-エトキシエチル基、2-エトキシエチル基、エトキシメチル基等の低級(炭素数5以下程度)アルキルオキシ低級(炭素数5以下程度)アルキル基等が挙げられるが、これらに限定されない。
 アルコキシアリール基の具体例としては、2-メトキシフェニル基、3-メトキシフェニル基、4-メトキシフェニル基、2-(1-エトキシ)フェニル基、3-(1-エトキシ)フェニル基、4-(1-エトキシ)フェニル基、2-(2-エトキシ)フェニル基、3-(2-エトキシ)フェニル基、4-(2-エトキシ)フェニル基、2-メトキシナフタレン-1-イル基、3-メトキシナフタレン-1-イル基、4-メトキシナフタレン-1-イル基、5-メトキシナフタレン-1-イル基、6-メトキシナフタレン-1-イル基、7-メトキシナフタレン-1-イル基等が挙げられるが、これらに限定されない。
 アルコキシアラルキル基の具体例としては、3-(メトキシフェニル)ベンジル基、4-(メトキシフェニル)ベンジル基等が挙げられるが、これらに限定されない。
 アルケニル基は、直鎖状又は分枝鎖状のいずれでよく、その炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 アルケニル基の具体例としては、エテニル基(ビニル基)、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられ、またビシクロへプテニル基(ノルボルニル基)等の架橋環式のアルケニル基も挙げることができる。
 また、前述のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、及びアルケニル基における置換基としては、例えば、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アリールオキシ基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、アルコキシ基、アラルキルオキシ基等が挙げられ、これらの具体例及びそれらの好適な炭素数としては、前述又は後述のものと同じものが挙げられる。
 また置換基において挙げたアリールオキシ基は、アリール基が酸素原子(-O-)を介して結合する基であり、このようなアリール基の具体例としては前述したものと同じものが挙げられる。アリールオキシ基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下であり、その具体例としては、フェノキシ基、ナフタレン-2-イルオキシ基等が挙げられるが、これらに限定されない。
 また、置換基が2以上存在する場合、置換基同士が結合して環を形成してもよい。
 エポキシ基を有する有機基としては、グリシドキシメチル基、グリシドキシエチル基、グリシドキシプロピル基、グリシドキシブチル基、エポキシシクロヘキシル基等が挙げられる。
 アクリロイル基を有する有機基としては、アクリロイルメチル基、アクリロイルエチル基、アクリロイルプロピル基等が挙げられる。
 メタクリロイル基を有する有機基としては、メタクリロイルメチル基、メタクリロイルエチル基、メタクリロイルプロピル基等が挙げられる。
 メルカプト基を有する有機基としては、メルカプトエチル基、メルカプトブチル基、メルカプトヘキシル基、メルカプトオクチル基、メルカプトフェニル基等が挙げられる。
 アミノ基を有する有機基としては、アミノ基、アミノメチル基、アミノエチル基、アミノフェニル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。アミノ基を有する有機基については、更に詳細について後述する。
 アルコキシ基を有する有機基としては、例えばメトキシメチル基、メトキシエチル基が挙げられるがこれらに限定されない。ただし、アルコキシ基が直接ケイ素原子に結合する基は除かれる。
 スルホニル基を有する有機基としては、例えばスルホニルアルキル基や、スルホニルアリール基が挙げられるがこれらに限定されない。
 シアノ基を有する有機基としては、シアノエチル基、シアノプロピル基、シアノフェニル基、チオシアネート基等が挙げられる。
 アミノ基を有する有機基としては、第1級アミノ基、第2級アミノ基、及び第3級アミノ基の少なくともいずれかを有する有機基が挙げられる。第3級アミノ基を有する加水分解性シランを強酸で加水分解して第3級アンモニウム基を有する対カチオンとする加水分解縮合物が好ましく用いることができる。また有機基はアミノ基を構成する窒素原子以外に、酸素原子、イオウ原子等のヘテロ原子を含有することができる。
 アミノ基を有する有機基は、好ましい一例としては、下記式(A1)で表される基が挙げられる。
Figure JPOXMLDOC01-appb-C000002
 式(A1)中、R101及びR102は、互いに独立して、水素原子又は炭化水素基を表し、Lは、互いに独立して、置換されていてもよいアルキレン基を表す。*は結合手を表す。
 炭化水素基としては、アルキル基、アルケニル基、アリール基等が挙げられるが、これらに限定されない。これらアルキル基、アルケニル基及びアリール基の具体例としては、Rにおいて前述したものと同じものが挙げられる。
 またアルキレン基としては、直鎖状でも分岐鎖状でもいずれでもよく、その炭素数は、通常1~10、好ましくは1~5である。例えば、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基が挙げられる。
 アミノ基を有する有機基としては、アミノ基、アミノメチル基、アミノエチル基、アミノフェニル基、ジメチルアミノエチル基、ジメチルアミノプロピル基等が挙げられるがこれらに限定されない。
<<<R>>>
 Rにおけるアルコキシ基としては、例えば、Rの説明で例示したアルコキシ基が挙げられる。
 Rにおけるハロゲン原子としては、例えば、Rの説明で例示したハロゲン原子が挙げられる。
 アラルキルオキシ基は、アラルキルアルコールのヒドロキシ基から水素原子を取り除いて誘導される1価の基であり、アラルキルオキシ基におけるアラルキル基の具体例としては、前述したものと同じものが挙げられる。
 アラルキルオキシ基の炭素数は特に限定されるものではないが、例えば40以下、好ましくは30以下、より好ましくは20以下とすることができる。
 アラルキルオキシ基の具体例としては、フェニルメチルオキシ基(ベンジルオキシ基)、2-フェニルエチレンオキシ基、3-フェニル-n-プロピルオキシ基、4-フェニル-n-ブチルオキシ基、5-フェニル-n-ペンチルオキシ基、6-フェニル-n-ヘキシルオキシ基、7-フェニル-n-ヘプチルオキシ基、8-フェニル-n-オクチルオキシ基、9-フェニル-n-ノニルオキシ基、10-フェニル-n-デシルオキシ基等が挙げられるが、これらに限定されない。
 アシルオキシ基は、カルボン酸化合物のカルボキシル基(-COOH)から水素原子を取り除いて誘導される1価の基であり、典型的には、アルキルカルボン酸、アリールカルボン酸又はアラルキルカルボン酸のカルボキシル基から水素原子を取り除いて誘導されるアルキルカルボニルオキシ基、アリールカルボニルオキシ基又はアラルキルカルボニルオキシ基が挙げられるが、これらに限定されない。このようなアルキルカルボン酸、アリールカルボン酸及びアラルキルカルボン酸におけるアルキル基、アリール基及びアラルキル基の具体例としては、前述したものと同じものが挙げられる。
 アシルオキシ基の具体例としては、炭素数2~20のアシルオキシ基が挙げられ、例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられる。
<<<式(1)で表される加水分解性シランの具体例>>>
 式(1)で表される加水分解性シランの具体例としては、テトラメトキシシラン、テトラクロロシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラ-n-プロポキシシラン、テトラ-i-プロポキシシラン、テトラ-n-ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリフェノキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、α-グリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、γ-グリシドキシプロピルトリフェノキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリフェノキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルメチルジフェノキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシラン、メチルビニルジクロロシラン、メチルビニルジアセトキシシラン、ジメチルビニルメトキシシラン、ジメチルビニルエトキシシラン、ジメチルビニルクロロシラン、ジメチルビニルアセトキシシラン、ジビニルジメトキシシラン、ジビニルジエトキシシラン、ジビニルジクロロシラン、ジビニルジアセトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、アリルトリクロロシラン、アリルトリアセトキシシシラン、アリルメチルジメトキシシラン、アリルメチルジエトキシシラン、アリルメチルジクロロシラン、アリルメチルジアセトキシシラン、アリルジメチルメトキシシラン、アリルジメチルエトキシシラン、アリルジメチルクロロシラン、アリルジメチルアセトキシシラン、ジアリルジメトキシシラン、ジアリルジエトキシシラン、ジアリルジクロロシラン、ジアリルジアセトキシシラン、3-アリルアミノプロピルトリメトキシシラン、3-アリルアミノプロピルトリエトキシシラン、p-スチリルトリメトキシシラン、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリクロロシラン、フェニルトリアセトキシシラン、フェニルメチルジメトキシシラン、フェニルメチルジエトキシシラン、フェニルメチルジクロロシラン、フェニルメチルジアセトキシシラン、フェニルジメチルメトキシシラン、フェニルジメチルエトキシシラン、フェニルジメチルクロロシラン、フェニルジメチルアセトキシシラン、ジフェニルメチルメトキシシラン、ジフェニルメチルエトキシシラン、ジフェニルメチルクロロシラン、ジフェニルメチルアセトキシシラン、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジクロロシラン、ジフェニルジアセトキシシラン、トリフェニルメトキシシシラン、トリフェニルエトキシシラン、トリフェニルアセトキシシラン、トリフェニルクロロシラン、3-フェニルアミノプロピルトリメトキシシラン、3-フェニルアミノプロピルトリエトキシシラン、ジメトキシメチル-3-(3-フェノキシプロピルチオプロピル)シラン、トリエトキシ((2-メトキシ-4-(メトキシメチル)フェノキシ)メチル)シラン、ベンジルトリメトキシシラン、ベンジルトリエトキシシラン、ベンジルメチルジメトキシシラン、ベンジルメチルジエトキシシラン、ベンジルジメチルメトキシシラン、ベンジルジメチルエトキシシラン、ベンジルジメチルクロロシラン、フェネチルトリメトキシシラン、フェネチルトリエトキシシラン、フェネチルトリクロロシラン、フェネチルトリアセトキシシラン、フェネチルメチルジメトキシシラン、フェネチルメチルジエトキシシラン、フェネチルメチルジクロロシラン、フェネチルメチルジアセトキシシラン、メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、i-プロポキシフェニルトリメトキシシラン、i-プロポキシフェニルトリエトキシシラン、i-プロポキシフェニルトリアセトキシシラン、i-プロポキシフェニルトリクロロシラン、i-プロポキシベンジルトリメトキシシラン、i-プロポキシベンジルトリエトキシシラン、i-プロポキシベンジルトリアセトキシシラン、i-プロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3,3,3-トリフルオロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、β-シアノエチルトリエトキシシラン、チオシアネートプロピルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、トリエトキシシリルプロピルジアリルイソシアヌレート、ビシクロ[2,2,1]ヘプテニルトリエトキシシラン、ベンゼンスルホニルプロピルトリエトキシシラン、ベンゼンスルホンアミドプロピルトリエトキシシラン、ジメチルアミノプロピルトリメトキシシラン、ジメチルジメトキシシラン、フェニルメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトメチルジエトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシランや、下記式(A-1)~(A-41)で表されるシラン、下記式(1-1)~(1-290)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000003
Figure JPOXMLDOC01-appb-C000004
Figure JPOXMLDOC01-appb-C000005
Figure JPOXMLDOC01-appb-C000006
Figure JPOXMLDOC01-appb-C000007
Figure JPOXMLDOC01-appb-C000008
Figure JPOXMLDOC01-appb-C000009
Figure JPOXMLDOC01-appb-C000010
Figure JPOXMLDOC01-appb-C000011
Figure JPOXMLDOC01-appb-C000012
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000014
Figure JPOXMLDOC01-appb-C000015
Figure JPOXMLDOC01-appb-C000016
Figure JPOXMLDOC01-appb-C000017
Figure JPOXMLDOC01-appb-C000018
Figure JPOXMLDOC01-appb-C000019
Figure JPOXMLDOC01-appb-C000020
Figure JPOXMLDOC01-appb-C000021
Figure JPOXMLDOC01-appb-C000022
Figure JPOXMLDOC01-appb-C000023
Figure JPOXMLDOC01-appb-C000024
Figure JPOXMLDOC01-appb-C000025
Figure JPOXMLDOC01-appb-C000026
Figure JPOXMLDOC01-appb-C000027
Figure JPOXMLDOC01-appb-C000028
Figure JPOXMLDOC01-appb-C000029
Figure JPOXMLDOC01-appb-C000030
Figure JPOXMLDOC01-appb-C000031
Figure JPOXMLDOC01-appb-C000032
 
Figure JPOXMLDOC01-appb-C000033
Figure JPOXMLDOC01-appb-C000034
Figure JPOXMLDOC01-appb-C000035
Figure JPOXMLDOC01-appb-C000036
Figure JPOXMLDOC01-appb-C000037
Figure JPOXMLDOC01-appb-C000038
Figure JPOXMLDOC01-appb-C000039
Figure JPOXMLDOC01-appb-C000040
Figure JPOXMLDOC01-appb-C000041
Figure JPOXMLDOC01-appb-C000042
Figure JPOXMLDOC01-appb-C000043
Figure JPOXMLDOC01-appb-C000044
Figure JPOXMLDOC01-appb-C000045
Figure JPOXMLDOC01-appb-C000046
Figure JPOXMLDOC01-appb-C000047
Figure JPOXMLDOC01-appb-C000048
Figure JPOXMLDOC01-appb-C000049
Figure JPOXMLDOC01-appb-C000050
Figure JPOXMLDOC01-appb-C000051
Figure JPOXMLDOC01-appb-C000052
 式(1-1)~(1-290)中、Tは、互いに独立して、アルコキシ基、アシルオキシ基、又はハロゲン基を表し、例えば、好ましくはメトキシ基又はエトキシ基を表す。
 また[A]ポリシロキサンとして、式(1)で表される加水分解性シランとともに、あるいは式(1)で表される加水分解性シランの代わりに、下記式(2)で表される加水分解性シランを含む、加水分解性シランの加水分解縮合物を挙げることができる。
<式(2)>
Figure JPOXMLDOC01-appb-C000053
 式(2)中、Rは、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基、アルコキシ基を有する有機基、スルホニル基を有する有機基、若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 またRは、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 Rは、ケイ素原子に結合する基であって、互いに独立して、アルキレン基又はアリーレン基を表す。
 bは、0又は1を表し、cは、0又は1を表す。
 Rにおける各基の具体例、及びそれらの好適な炭素数としては、Rについて前述した基及び炭素数を挙げることができる。
 Rにおける各基及び原子の具体例、及びそれらの好適な炭素数としては、Rについて前述した基及び原子並びに炭素数を挙げることができる。
 Rにおけるアルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基等のアルキレン基、メタントリイル基、エタン-1,1,2-トリイル基、エタン-1,2,2-トリイル基、エタン-2,2,2-トリイル基、プロパン-1,1,1-トリイル基、プロパン-1,1,2-トリイル基、プロパン-1,2,3-トリイル基、プロパン-1,2,2-トリイル基、プロパン-1,1,3-トリイル基、ブタン-1,1,1-トリイル基、ブタン-1,1,2-トリイル基、ブタン-1,1,3-トリイル基、ブタン-1,2,3-トリイル基、ブタン-1,2,4-トリイル基、ブタン-1,2,2-トリイル基、ブタン-2,2,3-トリイル基、2-メチルプロパン-1,1,1-トリイル基、2-メチルプロパン-1,1,2-トリイル基、2-メチルプロパン-1,1,3-トリイル基のアルカントリイル基等が挙げられるが、これらに限定されない。
 Rにおけるアリーレン基の具体例としては、1,2-フェニレン基、1,3-フェニレン基、1,4-フェニレン基;1,5-ナフタレンジイル基、1,8-ナフタレンジイル基、2,6-ナフタレンジイル基、2,7-ナフタレンジイル基、1,2-アントラセンジイル基、1,3-アントラセンジイル基、1,4-アントラセンジイル基、1,5-アントラセンジイル基、1,6-アントラセンジイル基、1,7-アントラセンジイル基、1,8-アントラセンジイル基、2,3-アントラセンジイル基、2,6-アントラセンジイル基、2,7-アントラセンジイル基、2,9-アントラセンジイル基、2,10-アントラセンジイル基、9,10-アントラセンジイル基等の縮合環芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基;4,4’-ビフェニルジイル基、4,4’’-パラテルフェニルジイル基の環連結芳香族炭化水素化合物の芳香環上の水素原子を二つ取り除いて誘導される基等が挙げられるが、これらに限定されない。
 bは、好ましく0である。
 cは、好ましくは1である。
 式(2)で表される加水分解性シランの具体例としては、メチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられるが、これらに限定されない。
 また[A]ポリシロキサンとして、式(1)で表される加水分解性シラン及び/又は式(2)で表される加水分解性シランとともに、下記に挙げるその他の加水分解性シランを含む、加水分解性シランの加水分解縮合物を挙げることができる。
 その他の加水分解性シランとして、オニウム基を分子内に有するシラン化合物、スルホン基を有するシラン化合物、スルホンアミド基を有するシラン化合物、環状尿素骨格を分子内に有するシラン化合物等が挙げられるが、これらに限定されない。
<<オニウム基を分子内に有するシラン化合物(加水分解性オルガノシラン)>>
 オニウム基を分子内に有するシラン化合物は、加水分解性シランの架橋反応を効果的に且つ効率的に促進できることが期待される。
 オニウム基を分子内に有するシラン化合物の好適な一例は、式(3)で表される。
Figure JPOXMLDOC01-appb-C000054
 R11は、ケイ素原子に結合する基であって、オニウム基又はそれを有する有機基を表す。
 R12は、ケイ素原子に結合する基であって、互いに独立して、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基、若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 R13は、ケイ素原子に結合する基又は原子であって、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を表す。
 fは1又は2を表し、gは0又は1を表し、1≦f+g≦2を満たす。
 アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、並びに、エポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基、アミノ基を有する有機基及びシアノ基を有する有機基、アルコキシ基、アラルキルオキシ基、アシルオキシ基、ハロゲン原子の具体例、またアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基及びアルケニル基の置換基の具体例、及びそれらの好適な炭素数としては、R12については、Rに関して前述したものを、R13については、Rに関して前述したものをそれぞれ挙げることができる。
 より詳述すれば、オニウム基の具体例としては、環状アンモニウム基又は鎖状アンモニウム基が挙げられ、第3級アンモニウム基又は第4級アンモニウム基が好ましい。
 すなわち、オニウム基又はそれを有する有機基の好適な具体例としては、環状アンモニウム基若しくは鎖状アンモニウム基又はこれらの少なくとも一方を有する有機基が挙げられ、第3級アンモニウム基若しくは第4級アンモニウム基又はこれらの少なくとも一方を有する有機基が好ましい。
 なお、オニウム基が環状アンモニウム基である場合、アンモニウム基を構成する窒素原子が環を構成する原子を兼ねる。この際、環を構成する窒素原子とシリコン原子とが直接又は2価の連結基を介して結合している場合と、環を構成する炭素原子とシリコン原子が直接に又は2価の連結基を介して結合している場合とがある。
 好適な態様の一例においては、ケイ素原子に結合する基であるR11は、下記式(S1)で表されるヘテロ芳香族環状アンモニウム基である。
Figure JPOXMLDOC01-appb-C000055
 式(S1)中、A、A、A及びAは、互いに独立して、下記式(J1)~式(J3)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J2)で表される基であり、式(3)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との間の結合が、単結合であるか、二重結合であるかが定まる。*は結合手を表す。
Figure JPOXMLDOC01-appb-C000056
 式(J1)~式(J3)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述と同じものが挙げられる。*は結合手を表す。
 式(S1)中、R14は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R14が2つ以上存在する場合、2つのR14は、互いに結合して環を形成していてもよく、2つのR14が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 このようなアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述と同じものが挙げられる。
 式(S1)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J1)~式(J3)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R14に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R14が置換していてもよい。このような事情から、前述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 式(S1)で表されるヘテロ芳香族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを有する有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基、アルケニレン基等が挙げられるが、これらに限定されない。
 アルキレン基及びアリーレン基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。
 またアルケニレン基は、アルケニル基の水素原子を更に1つ取り除いて誘導される2価の基であり、このようなアルケニル基の具体例としては、前述したものと同じものが挙げられる。アルケニレン基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下である。
 その具体例としては、ビニレン、1-メチルビニレン、プロペニレン、1-ブテニレン、2-ブテニレン、1-ペンテニレン、2-ペンテニレン基等が挙げられるが、これらに限定されない。
 式(S1)で表されるヘテロ芳香族環状アンモニウム基を有する式(3)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(I-1)~(I-50)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000057
Figure JPOXMLDOC01-appb-C000058
Figure JPOXMLDOC01-appb-C000059
 またその他の一例において、式(3)中のケイ素原子に結合する基であるR11は、下記式(S2)で表されるヘテロ脂肪族環状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000060
 式(S2)中、A、A、A及びAは、互いに独立して、下記式(J4)~式(J6)のいずれかで表される基を表すが、A~Aのうち少なくとも1つは、下記式(J5)で表される基である。式(3)におけるケイ素原子がA~Aのいずれと結合するかに応じて、構成される環が非芳香族性を示すように、A~Aそれぞれと、それら各々に隣接し共に環を構成する原子との結合が、単結合であるか、二重結合であるかが定まる。*は結合手を表す。
Figure JPOXMLDOC01-appb-C000061
 式(J4)~式(J6)中、R10は、互いに独立して、単結合、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。*は結合手を表す。
 式(S2)中、R15は、互いに独立して、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基又はヒドロキシ基を表し、R15が2つ以上存在する場合、2つのR15は、互いに結合して環を形成していてもよく、2つのR15が形成する環は架橋環構造であってもよく、このような場合においては、環状アンモニウム基は、アダマンタン環、ノルボルネン環、スピロ環等を有することとなる。
 アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。
 式(S2)中、nは、1~8の整数であり、mは、0又は1であり、mは、0又は1から単環若しくは多環に置換可能な最大数までの正の整数である。
 mが0である場合、A~Aを含む(4+n)員環が構成される。すなわち、nが1であるときは5員環、nが2であるときは6員環、nが3であるときは7員環、nが4であるときは8員環、nが5であるときは9員環、nが6であるときは10員環、nが7であるときは11員環、nが8であるときは12員環が、それぞれ構成される。
 mが1である場合、A~Aを含む(4+n)員環とAを含む6員環とが縮合した縮合環が形成される。
 A~Aは、式(J4)~式(J6)のいずれであるか次第で、環を構成する原子上に水素原子を有する場合と、水素原子を有さない場合があるが、A~Aが、環を構成する原子上に水素原子を有する場合、その水素原子は、R15に置き換わっていてもよい。また、A~A中の環構成原子以外の環構成原子に、R15が置換していてもよい。
 このような事情から、前述の通り、mは、0又は1から単環若しくは多環に置換可能な最大数までの整数から選択される。
 式(S2)で表されるヘテロ脂肪族環状アンモニウム基の結合手は、このような単環又は縮合環に存在する任意の炭素原子又は窒素原子に存在し、ケイ素原子と直接結合するか、又は連結基が結合して環状アンモニウムを有する有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例及びそれらの好適な炭素数としては、前述と同じものが挙げられる。
 式(S2)で表されるヘテロ脂肪族環状アンモニウム基を有する式(3)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(II-1)~式(II-30)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000062
Figure JPOXMLDOC01-appb-C000063
 さらにその他の一例において、式(3)中のケイ素原子に結合する基であるR11は、下記式(S3)で表される鎖状アンモニウム基とすることができる。
Figure JPOXMLDOC01-appb-C000064
 式(S3)中、R10は、互いに独立して、水素原子、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基又はアルケニル基を表し、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基及びアルケニル基の具体例及びそれらの好適な炭素数としては、前述したものと同じものが挙げられる。*は結合手を表す。
 式(S3)で表される鎖状アンモニウム基は、ケイ素原子と直接結合するか、又は連結基が結合して鎖状アンモニウム基を有する有機基が構成され、これがケイ素原子と結合する。
 このような連結基としては、アルキレン基、アリーレン基又はアルケニレン基が挙げられ、アルキレン基、アリーレン基及びアルケニレン基の具体例としては、前述と同じものが挙げられる。
 式(S3)で表される鎖状アンモニウム基を有する式(3)で表されるシラン化合物(加水分解性オルガノシラン)の具体例として、下記式(III-1)~式(III-28)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000065
Figure JPOXMLDOC01-appb-C000066
<<スルホン基又はスルホンアミド基を有するシラン化合物(加水分解性オルガノシラン)>>
 スルホン基を有するシラン化合物、及びスルホンアミド基を有するシラン化合物としては、例えば下記式(B-1)~式(B-36)で表される化合物が挙げられるが、これらに限定されない。
 下記式中、Meはメチル基を、Etはエチル基をそれぞれ表す。
Figure JPOXMLDOC01-appb-C000067
Figure JPOXMLDOC01-appb-C000068
Figure JPOXMLDOC01-appb-C000069
<<環状尿素骨格を分子内に有するシラン化合物(加水分解性オルガノシラン)>>
 環状尿素骨格を分子内に有する加水分解性オルガノシランとして、例えば下記式(4-1)で表される加水分解性オルガノシランが挙げられる。
Figure JPOXMLDOC01-appb-C000070
 式(4-1)中、R401は、ケイ素原子に結合する基であり、互いに独立して、下記式(4-2)で表される基を表す。
 R402は、ケイ素原子に結合する基であり、置換されていてもよいアルキル基、置換されていてもよいアリール基、置換されていてもよいアラルキル基、置換されていてもよいハロゲン化アルキル基、置換されていてもよいハロゲン化アリール基、置換されていてもよいハロゲン化アラルキル基、置換されていてもよいアルコキシアルキル基、置換されていてもよいアルコキシアリール基、置換されていてもよいアルコキシアラルキル基、若しくは置換されていてもよいアルケニル基を表すか、又はエポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基若しくはシアノ基を有する有機基、又はそれらの2種以上の組み合わせを表す。
 R403は、ケイ素原子に結合する基又は原子であり、互いに独立して、アルコキシ基、アラルキルオキシ基、アシルオキシ基又はハロゲン原子を表す。
 xは、1又は2であり、yは、0又は1であり、x+y≦2を満たす。
 R402のアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、アルケニル基、及び、エポキシ基を有する有機基、アクリロイル基を有する有機基、メタクリロイル基を有する有機基、メルカプト基を有する有機基及びシアノ基を有する有機基、並びに、R403のアルコキシ基、アラルキルオキシ基、アシルオキシ基及びハロゲン原子、並びにこれらの置換基の具体例、好適な炭素数等は、R及びRに関し前述したものと同じものが挙げられる。
Figure JPOXMLDOC01-appb-C000071
 式(4-2)中、R404は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基を有する有機基若しくはスルホニル基を有する有機基を表し、R405は、互いに独立して、アルキレン基、ヒドロキシアルキレン基、スルフィド結合(-S-)、エーテル結合(-O-)又はエステル結合(-CO-O-又は-O-CO-)を表す。*は結合手を表す。
 なお、R404の置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基を有する有機基の具体例及び好適な炭素数等は、Rに関し前述したものと同じものが挙げられるが、これらの他、R404の置換されていてもよいアルキル基としては、末端の水素原子がビニル基で置換されたアルキル基が好ましく、その具体例としては、アリル基、2-ビニルエチル基、3-ビニルプロピル基、4-ビニルブチル基等が挙げられる。
 スルホニル基を有する有機基としては、スルホニル基を含む限り特に限定されるものではなく、置換されていてもよいアルキルスルホニル基、置換されていてもよいアリールスルホニル基、置換されていてもよいアラルキルスルホニル基、置換されていてもよいハロゲン化アルキルスルホニル基、置換されていてもよいハロゲン化アリールスルホニル基、置換されていてもよいハロゲン化アラルキルスルホニル基、置換されていてもよいアルコキシアルキルスルホニル基、置換されていてもよいアルコキシアリールスルホニル基、置換されていてもよいアルコキシアラルキルスルホニル基、置換されていてもよいアルケニルスルホニル基等が挙げられる。
 これらの基におけるアルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルコキシアルキル基、アルコキシアリール基、アルコキシアラルキル基、及びアルケニル基、並びにそれらの置換基の具体例及び好適な炭素数等は、Rに関し前述したものと同じものが挙げられる。
 アルキレン基は、アルキル基の水素原子を更に一つ取り除いて誘導される2価の基であり、直鎖状、分岐鎖状、及び環状のいずれでもよく、このようなアルキレン基の具体例としては、前述したものと同じものが挙げられる。アルキレン基の炭素数は、特に限定されるものではないが、好ましくは40以下、より好ましくは30以下、より一層好ましくは20以下、更に好ましくは10以下である。
 また、R405のアルキレン基は、スルフィド結合、エーテル結合及びエステル結合から選ばれる1種又は2種以上を、その末端又は途中、好ましくは途中に有していてもよい。
 アルキレン基の具体例としては、メチレン基、エチレン基、トリメチレン基、テトラメチレン基、ペンタメチレン基、ヘキサメチレン基、ヘプタメチレン基、オクタメチレン基、ノナメチレン基、デカメチレン基等の直鎖状アルキレン基、メチルエチレン基、1-メチルトリメチレン基、2-メチルトリメチレン基、1,1-ジメチルエチレン基、1-メチルテトラメチレン基、2-メチルテトラメチレン基、1,1-ジメチルトリメチレン基、1,2-ジメチルトリメチレン基、2,2-ジメチルトリメチレン基、1-エチルトリメチレン基等の分岐鎖状アルキレン基、1,2-シクロプロパンジイル基、1,2-シクロブタンジイル基、1,3-シクロブチタンジイル基、1,2-シクロヘキサンジイル、1,3-シクロヘキサンジイル基等の環状アルキレン等、-CHOCH-、-CHCHOCH-、-CHCHOCHCH-、-CHCHCHOCHCH-、-CHCHOCHCHCH-、-CHCHCHOCHCHCH-、-CHSCH-、-CHCHSCH-、-CHCHSCHCH-、-CHCHCHSCHCH-、-CHCHSCHCHCH-、-CHCHCHSCHCHCH-、-CHOCHCHSCH-等のエーテル基等を含むアルキレン基が挙げられるが、これらに限定されない。
 ヒドロキシアルキレン基は、前述のアルキレン基の水素原子の少なくとも1つが、ヒドロキシ基に置き換わったものであり、その具体例としては、ヒドロキシメチレン基、1-ヒドロキシエチレン基、2-ヒドロキシエチレン基、1,2-ジヒドロキシエチレン基、1-ヒドロキシトリメチレン基、2-ヒドロキシトリメチレン基、3-ヒドロキシトリメチレン基、1-ヒドロキシテトラメチレン基、2-ヒドロキシテトラメチレン基、3-ヒドロキシテトラメチレン基、4-ヒドロキシテトラメチレン基、1,2-ジヒドロキシテトラメチレン基、1,3-ジヒドロキシテトラメチレン基、1,4-ジヒドロキシテトラメチレン基、2,3-ジヒドロキシテトラメチレン基、2,4-ジヒドロキシテトラメチレン基、4,4-ジヒドロキシテトラメチレン基等が挙げられるが、これらに限定されない。
 式(4-2)中、X401は、互いに独立して、下記式(4-3)~式(4-5)で表される基のいずれかを表すとともに、下記式(4-4)及び式(4-5)におけるケトン基の炭素原子は、式(4-2)におけるR405が結合する窒素原子と結合する。
Figure JPOXMLDOC01-appb-C000072
 式(4-3)~式(4-5)中、R406~R410は、互いに独立して、水素原子、置換されていてもよいアルキル基、置換されていてもよいアルケニル基、又はエポキシ基若しくはスルホニル基を有する有機基を表す。置換されていてもよいアルキル基、置換されていてもよいアルケニル基及びエポキシ基又はスルホニル基を有する有機基の具体例及び好適な炭素数等は、Rに関し前述したものと同じものが挙げられる。またスルホニル基を有する有機基の具体例及び好適な炭素数等はR404に関し前述したものと同じものが挙げられる。*は結合手を表す。
 中でも、優れたリソグラフィー特性を再現性よく実現する観点から、X401は式(4-5)で表される基が好ましい。
 優れたリソグラフィー特性を再現性良く実現する観点から、R404及びR406~R410の少なくとも1つは、末端の水素原子がビニル基で置換されたアルキル基であることが好ましい。
 式(4-1)で表される加水分解性オルガノシランは、市販品を用いてもよく、国際公開第2011/102470号等に記載の公知方法で合成することもできる。
 以下、式(4-1)で表される加水分解性オルガノシランの具体例として、下記式(4-1-1)~式(4-1-29)で表されるシラン等が挙げられるが、これらに限定されない。
Figure JPOXMLDOC01-appb-C000073
Figure JPOXMLDOC01-appb-C000074
Figure JPOXMLDOC01-appb-C000075
 [A]ポリシロキサンは、本発明の効果を損なわない範囲において、前述の例示以外のその他のシラン化合物を含む加水分解性シランの加水分解縮合物とすることができる。
 前述したように、[A]ポリシロキサンとして、シラノール基の少なくとも一部が変性されている変性ポリシロキサンを用いることができる。例えばシラノール基の一部がアルコール変性されたポリシロキサン変性物又はアセタール保護されたポリシロキサン変性物を用いることができる。
 該変性物であるポリシロキサンは、前述の加水分解性シランの加水分解縮合物において、該縮合物が有するシラノール基の少なくとも一部とアルコールのヒドロキシ基との反応により得られる反応生成物、該縮合物とアルコールとの脱水反応物、また、該縮合物が有するシラノール基の少なくとも一部をアセタール基で保護した変性物等を挙げることができる。
 アルコールとしては1価のアルコールを用いることができ、例えばメタノール、エタノール、2-プロパノール、1-ブタノール、2-ブタノール、イソブチルアルコール、tert-ブチルアルコール、1-ペンタノール、2-ペンタノール、3-ペンタノール、1-ヘプタノール、2-ヘプタノール、tert-アミルアルコール、ネオペンチルアルコール、2-メチル-1-プロパノール、2-メチル-1-ブタノール、3-メチル-1-ブタノール、3-メチル-3-ペンタノール、シクロペンタノール、1-ヘキサノール、2-ヘキサノール、3-ヘキサノール、2,3-ジメチル-2-ブタノール、3,3-ジメチル-1-ブタノール、3,3-ジメチル-2-ブタノール、2-ジエチル-1-ブタノール、2-メチル-1-ペンタノール、2-メチル-2-ペンタノール、2-メチル-3-ペンタノール、3-メチル-1-ペンタノール、3-メチル-2-ペンタノール、3-メチル-3-ペンタノール、4-メチル-1-ペンタノール、4-メチル-2-ペンタノール、4-メチル-3-ペンタノール及びシクロヘキサノールが挙げられる。
 また例えば3-メトキシブタノール、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノブチルエーテル(1-ブトキシ-2-プロパノール)等のアルコキシ基含有アルコールを用いることができる。
 縮合物が有するシラノール基と、アルコールのヒドロキシ基との反応は、ポリシロキサンとアルコールとを接触させ、温度40~160℃、例えば60℃にて、0.1~48時間、例えば24時間反応させることで、シラノール基がキャッピングされた変性ポリシロキサンが得られる。この時、キャッピング剤のアルコールは、ポリシロキサンを含有する組成物において溶媒として使用することができる。
 また加水分解性シランの加水分解縮合物からなるポリシロキサンとアルコールとの脱水反応物は、触媒である酸の存在下、ポリシロキサンをアルコールと反応させ、シラノール基をアルコールにてキャッピングし、脱水により生じた生成水を、反応系外に除去することにより製造することができる。
 酸は、酸解離定数(pka)が-1~5、好ましくは4~5である有機酸を用いることができる。例えば、酸は、トリフルオロ酢酸、マレイン酸、安息香酸、イソ酪酸、酢酸等、中でも安息香酸、イソ酪酸、酢酸等を例示することができる。
 また、酸は、70~160℃の沸点を有する酸を用いることができ、例えば、トリフルオロ酢酸、イソ酪酸、酢酸、硝酸等が挙げられる。
 このように酸としては、酸解離定数(pka)が4~5であるか、又は沸点が70~160℃であるか、いずれかの物性を有するものが好ましい。即ち、酸性度が弱いものか、又は酸性度は強くても沸点が低いものを用いることができる。
 そして、酸としては酸解離定数、沸点の性質からいずれの性質を利用することも可能である。
 縮合物が有するシラノール基のアセタール保護はビニルエーテルを用いて、例えば下記式(5)で表されるビニルエーテルを用いることができ、これらの反応により下記式(6)で表される部分構造をポリシロキサンに導入することができる。
Figure JPOXMLDOC01-appb-C000076
 式(5)中、R1a、R2a、及びR3aはそれぞれ水素原子、又は炭素数1~10のアルキル基を表し、R4aは炭素数1~10のアルキル基を表し、R2aとR4aは互いに結合して環を形成していてもよい。アルキル基は前述の例示を挙げることができる。
Figure JPOXMLDOC01-appb-C000077
 式(6)中、R’、R’、及びR’はそれぞれ水素原子、又は炭素数1~10のアルキル基を表し、R’は炭素数1~10のアルキル基を示し、R’とR’は互いに結合して環を形成していてもよい。式(6)において*は隣接原子との結合を示す。隣接原子は例えばシロキサン結合の酸素原子や、シラノール基の酸素原子や、式(1)のRに由来する炭素原子が挙げられる。アルキル基は前述の例示を挙げることができる。
 式(5)で表されるビニルエーテルとしては、例えばメチルビニルエーテル、エチルビニルエーテル、イソプロピルビニルエーテル、ノルマルブチルビニルエーテル、2-エチルヘキシルビニルエーテル、tert-ブチルビニルエーテル、及びシクロヘキシルビニルエーテル等の脂肪族ビニルエーテル化合物や、2,3-ジヒドロフラン、4-メチル-2,3-ジヒドロフラン、及び3,4-ジヒドロ-2H-ピラン等の環状ビニルエーテル化合物を用いることができる。特に、エチルビニルエーテル、プロピルビニルエーテル、ブチルビニルエーテル、エチルヘキシルビニルエーテル、シクロヘキシルビニルエーテル、3,4-ジヒドロ-2H-ピラン、又は2,3-ジヒドロフランが好ましく用いることができる。
 シラノール基のアセタール保護は、ポリシロキサンと、ビニルエーテルと、溶媒としてプロピレングリコールモノメチルエーテルアセテート、酢酸エチル、ジメチルホルムアミド、テトラヒドロフラン、1,4-ジオキサン等の非プロトン性溶媒を用い、ピリジウムパラトルエンスルホン酸、トリフルオロメタンスルホン酸、パラトルエンスルホン酸、メタンスルホン酸、塩酸、硫酸等の触媒を用いて実施できる。
 なおこれらシラノール基のアルコールによるキャッピングやアセタール保護は、後述する加水分解性シランの加水分解及び縮合と同時に行ってもよい。
 本発明の好ましい一態様においては、[A]ポリシロキサンは、式(1)で表される加水分解性シラン、そして所望により式(2)で表される加水分解性シラン、及びその他の加水分解性シランを含む、加水分解性シランの加水分解縮合物及びその変性物の少なくとも一種を含む。
 好ましい一態様において、[A]ポリシロキサンは、加水分解縮合物とアルコールとの脱水反応物を含む。
 加水分解性シランの加水分解縮合物(変性物も含み得る)は、その重量平均分子量を、例えば500~1,000,000とすることができる。組成物中での加水分解縮合物の析出等を抑制する観点等から、好ましくは重量平均分子量を500,000以下、より好ましくは250,000以下、より一層好ましくは100,000以下とすることができ、保存安定性と塗布性の両立の観点等から、好ましくは700以上、より好ましくは1,000以上とすることができる。
 なお、重量平均分子量は、GPC分析によるポリスチレン換算にて得られる分子量である。GPC分析は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工株式会社製)、カラム温度を40℃とし、溶離液(溶出溶媒)としてテトラヒドロフランを用い、流量(流速)は1.0mL/minとし、標準試料はポリスチレン(昭和電工株式会社製Shodex(登録商標))を用いて行うことができる。
 加水分解性シランの加水分解縮合物は、前述のシラン化合物(加水分解性シラン)を加水分解及び縮合することで得られる。
 前述のシラン化合物(加水分解性シラン)は、ケイ素原子に直接結合するアルコキシ基、アラルキルオキシ基、アシルオキシ基、又はハロゲン原子を、すなわちアルコキシシリル基、アラルキルオキシシリル基、アシロキシシリル基、又はハロゲン化シリル基(以下、加水分解性基と称する)を含む。
 これら加水分解性基の加水分解には、加水分解性基の1モル当たり、通常0.1~100モル、例えば0.5~100モル、好ましくは1~10モルの水を用いる。
 加水分解及び縮合の際、反応を促進する目的等で、加水分解触媒を用いてもよいし、用いずに加水分解及び縮合を行ってもよい。加水分解触媒を用いる場合は、加水分解性基の1モル当たり、通常0.0001~10モル、好ましくは0.001~1モルの加水分解触媒を用いることができる。
 加水分解と縮合を行う際の反応温度は、通常、室温以上、加水分解に用いられ得る有機溶媒の常圧での還流温度以下の範囲であり、例えば20~110℃、また例えば20~80℃とすることができる。
 加水分解は完全に加水分解を行う、すなわち、全ての加水分解性基をシラノール基に変えてもよいし、部分加水分解する、即ち未反応の加水分解基を残してもよい。
 加水分解し縮合させる際に使用可能な加水分解触媒としては、金属キレート化合物、有機酸、無機酸、有機塩基、無機塩基を挙げることができる。
 加水分解触媒としての金属キレート化合物は、例えばトリエトキシ・モノ(アセチルアセトナート)チタン、トリ-n-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-i-プロポキシ・モノ(アセチルアセトナート)チタン、トリ-n-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-sec-ブトキシ・モノ(アセチルアセトナート)チタン、トリ-t-ブトキシ・モノ(アセチルアセトナート)チタン、ジエトキシ・ビス(アセチルアセトナート)チタン、ジ-n-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-i-プロポキシ・ビス(アセチルアセトナート)チタン、ジ-n-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-sec-ブトキシ・ビス(アセチルアセトナート)チタン、ジ-t-ブトキシ・ビス(アセチルアセトナート)チタン、モノエトキシ・トリス(アセチルアセトナート)チタン、モノ-n-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-i-プロポキシ・トリス(アセチルアセトナート)チタン、モノ-n-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-sec-ブトキシ・トリス(アセチルアセトナート)チタン、モノ-t-ブトキシ・トリス(アセチルアセトナート)チタン、テトラキス(アセチルアセトナート)チタン、トリエトキシ・モノ(エチルアセトアセテート)チタン、トリ-n-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-i-プロポキシ・モノ(エチルアセトアセテート)チタン、トリ-n-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)チタン、トリ-t-ブトキシ・モノ(エチルアセトアセテート)チタン、ジエトキシ・ビス(エチルアセトアセテート)チタン、ジ-n-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-i-プロポキシ・ビス(エチルアセトアセテート)チタン、ジ-n-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)チタン、ジ-t-ブトキシ・ビス(エチルアセトアセテート)チタン、モノエトキシ・トリス(エチルアセトアセテート)チタン、モノ-n-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-i-プロポキシ・トリス(エチルアセトアセテート)チタン、モノ-n-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)チタン、モノ-t-ブトキシ・トリス(エチルアセトアセテート)チタン、テトラキス(エチルアセトアセテート)チタン、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)チタン、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)チタン、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)チタン等のチタンキレート化合物;トリエトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-i-プロポキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-n-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-sec-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、トリ-t-ブトキシ・モノ(アセチルアセトナート)ジルコニウム、ジエトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-i-プロポキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-n-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-sec-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、ジ-t-ブトキシ・ビス(アセチルアセトナート)ジルコニウム、モノエトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-i-プロポキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-n-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-sec-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、モノ-t-ブトキシ・トリス(アセチルアセトナート)ジルコニウム、テトラキス(アセチルアセトナート)ジルコニウム、トリエトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-i-プロポキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-n-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-sec-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、トリ-t-ブトキシ・モノ(エチルアセトアセテート)ジルコニウム、ジエトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-i-プロポキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-n-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-sec-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、ジ-t-ブトキシ・ビス(エチルアセトアセテート)ジルコニウム、モノエトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-i-プロポキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-n-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-sec-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、モノ-t-ブトキシ・トリス(エチルアセトアセテート)ジルコニウム、テトラキス(エチルアセトアセテート)ジルコニウム、モノ(アセチルアセトナート)トリス(エチルアセトアセテート)ジルコニウム、ビス(アセチルアセトナート)ビス(エチルアセトアセテート)ジルコニウム、トリス(アセチルアセトナート)モノ(エチルアセトアセテート)ジルコニウム等のジルコニウムキレート化合物;トリス(アセチルアセトナート)アルミニウム、トリス(エチルアセトアセテート)アルミニウム等のアルミニウムキレート化合物;などを挙げることができるが、これらに限定されない。
 加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができるが、これらに限定されない。
 加水分解触媒としての有機塩基は、例えばピリジン、ピロール、ピペラジン、ピロリジン、ピペリジン、ピコリン、トリメチルアミン、トリエチルアミン、モノエタノールアミン、ジエタノールアミン、ジメチルモノエタノールアミン、モノメチルジエタノールアミン、トリエタノールアミン、ジアザビシクロオクタン、ジアザビシクロノナン、ジアザビシクロウンデセン、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、トリメチルフェニルアンモニウムヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド等を挙げることができるが、これらに限定されない。
 加水分解触媒としての無機塩基は、例えばアンモニア、水酸化ナトリウム、水酸化カリウム、水酸化バリウム、水酸化カルシウム等を挙げることができるが、これらに限定されない。
 これらの触媒のうち、金属キレート化合物、有機酸、無機酸が好ましく、これらは1種を単独で使用してもよいし、2種以上を組み合わせて使用してもよい。
 中でも、本発明では、加水分解触媒として硝酸を好適に用いることができる。硝酸を使用することにより、加水分解及び縮合の後の反応溶液の保存安定性を向上させることができ、特に、加水分解縮合物の分子量変化を抑制することができる。液中の加水分解縮合物の安定性は、溶液のpHに依存することが分かっている。鋭意検討した結果、硝酸を適量用いることで、溶液のpHが安定領域となることが見いだされた。
 また、前述の通り、硝酸は、加水分解縮合物の変性物を得る際、例えばシラノール基のアルコールによるキャッピングの際にも使用し得るため、加水分解性シランの加水分解及び縮合と、加水分解縮合物のアルコールキャッピングの双方の反応に寄与できるものとなり得る観点からも好ましい。
 加水分解及び縮合をする際、溶媒として有機溶媒を用いてもよく、その具体例としては、n-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン等の芳香族炭化水素系溶媒;メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、t-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、t-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、n-ヘプタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、フェニルメチルカルビノール、ジアセトンアルコール、クレゾール等のモノアルコール系溶媒;エチレングリコール、プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、グリセリン等の多価アルコール系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;エチルエーテル、i-プロピルエーテル、n-ブチルエーテル、n-ヘキシルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、エチレングリコールジアセテート、トリエチレングリコールメチルエーテルアセテート、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチル-2-ピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができるが、これらに限定されない。これらの溶媒は1種又は2種以上の組み合わせで用いることができる。
 加水分解及び縮合反応の終了後、反応溶液をそのまま又は希釈若しくは濃縮し、それを中和し、イオン交換樹脂を用いて処理することで、加水分解及び縮合に用いた酸や塩基等の加水分解触媒を取り除くことができる。また、このような処理の前又は後に、減圧蒸留等によって、反応溶液から副生成物のアルコールや水、用いた加水分解触媒等を除去することができる。
 このようにして得られた加水分解縮合物(以下、ポリシロキサンとも称する)は、有機溶媒中に溶解しているポリシロキサンワニスの形態として得られ、これをそのままシリコン含有レジスト下層膜形成用組成物の調製に用いることができる。すなわち、反応溶液をそのまま(あるいは希釈して)シリコン含有レジスト下層膜形成用組成物の調製に用いることができ、このとき、加水分解及び縮合に用いた加水分解触媒や、副生成物等は本発明の効果を損なわない限り反応溶液に残存していてもよい。例えば加水分解触媒やシラノール基のアルコールキャッピング時に使用される硝酸は、ポリマーワニス溶液中に100ppm~5,000ppm程度残存していてよい。
 得られたポリシロキサンワニスは溶媒置換してもよいし、また適宜溶媒で希釈してもよい。なお得られたポリシロキサンワニスは、その保存安定性が悪くなければ、有機溶媒を留去し、膜形成成分濃度100%とすることもできる。なお膜形成成分とは、組成物の全成分から溶媒成分を除いた成分を指す。
 ポリシロキサンワニスの溶媒置換や希釈等に用いる有機溶媒は、加水分解性シランの加水分解及び縮合反応に用いた有機溶媒と同じでも異なってもよい。この希釈用溶媒は、特に限定されず、1種でも2種以上でも任意に選択して用いることができる。
<[B]成分:溶媒>
 [B]成分としての溶媒は、[A]成分、及び必要に応じてシリコン含有レジスト下層膜形成用組成物に含有されるその他成分を溶解・混和できる溶媒であれば特に制限なく使用することができる。
 [B]溶媒としては、好ましくはアルコール系溶媒であり、より好ましくはアルコール系溶媒であるアルキレングリコールモノアルキルエーテルであり、より一層好ましくはプロピレングリコールモノアルキルエーテルである。これらの溶媒は、ポリシロキサンのシラノール基のキャッピング剤でもあるため、溶媒置換などを必要とせずに、[A]ポリシロキサンを調製して得られる溶液から、シリコン含有レジスト下層膜形成用組成物を調製できる。
 アルキレングリコールモノアルキルエーテルとしては、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテル(1-メトキシ-2-プロパノール)、プロピレングリコールモノエチルエーテル(1-エトキシ-2-プロパノール)、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル等が挙げられる。
 その他の[B]溶媒の具体例としては、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコールプロピレングリコールモノメチルエーテルアセテート(1-メトキシ-2-プロパノールモノアセテート)、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシプロピオン酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、トルエン、キシレン、メチルエチルケトン、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロヘキサノン、N,N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチル-2-ピロリドン、4-メチル-2-ペンタノール、γ-ブチロラクトン等を挙げることができ、溶媒は1種単独で又は2種以上組み合わせて使用できる。
 また本発明のシリコン含有レジスト下層膜形成用組成物は、溶媒として水を含有していてもよい。溶媒として水を含有する場合、その含有量は、当該組成物が含有する溶媒の合計質量に対して、例えば30質量%以下、好ましくは20質量%以下、より一層好ましくは15質量%以下とすることができる。
<[C]成分:硝酸又は酢酸>
 シリコン含有レジスト下層膜形成用組成物は、該組成物を含有する溶液のpH調整等のために、[C]硝酸又は酢酸を含有することが好ましい。
 [C]硝酸又は酢酸は、シリコン含有レジスト下層膜形成用組成物の調製時に添加してもよいが、前述のポリシロキサンの製造において、加水分解触媒としてやシラノール基のアルコールキャッピング時に使用し、これがポリシロキサンワニス中に残存したものを[C]硝酸又は酢酸として扱うこともできる。
 [C]硝酸又は酢酸の配合量(残硝酸量)は、シリコン含有レジスト下層膜形成用組成物の総質量に基づいて、例えば0.0001質量%~1質量%、又は0.001質量%~0.1質量%、又は0.005質量%~0.05質量%とすることができる。
<[D]成分:硬化触媒>
 シリコン含有レジスト下層膜形成用組成物は、硬化触媒を含有しない組成物とすることができるが、硬化触媒([D]成分)を含有することが好ましい。
 硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩等を用いることができる。なお硬化触媒の一例として記載した下記の塩類は、塩の形態にて添加してもよいし、組成物中において塩を形成するもの(添加時には別化合物として添加され、系内で塩を形成するもの)のいずれであってもよい。
 アンモニウム塩としては、式(D-1):
Figure JPOXMLDOC01-appb-C000078
(式中、mは2~11の整数を表し、nは2~3の整数を表し、R21はアルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-2):
Figure JPOXMLDOC01-appb-C000079
(式中、R22、R23、R24及びR25は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表し、且つR22、R23、R24、及びR25はそれぞれ窒素原子と結合されているものである。)で表される構造を有する第4級アンモニウム塩、
 式(D-3):
Figure JPOXMLDOC01-appb-C000080
(式中、R26及びR27は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-4):
Figure JPOXMLDOC01-appb-C000081
(式中、R28はアルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-5):
Figure JPOXMLDOC01-appb-C000082
(式中、R29及びR30は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表す。)で表される構造を有する第4級アンモニウム塩、
 式(D-6):
Figure JPOXMLDOC01-appb-C000083
(式中、mは2~11の整数を表し、nは2~3の整数を表し、Yは陰イオンを表す。)で表される構造を有する第3級アンモニウム塩を挙げることができる。
 また、ホスホニウム塩としては、式(D-7):
Figure JPOXMLDOC01-appb-C000084
(式中、R31、R32、R33、及びR34は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表し、且つR31、R32、R33、及びR34はそれぞれリン原子と結合されているものである。)で表される第4級ホスホニウム塩を挙げることができる。
 また、スルホニウム塩としては、式(D-8):
Figure JPOXMLDOC01-appb-C000085
(式中、R35、R36、及びR37は、互いに独立して、アルキル基、アリール基、又はアラルキル基を表し、Yは陰イオンを表し、且つR35、R36、及びR37はそれぞれ硫黄原子と結合されているものである。)で表される第3級スルホニウム塩を挙げることができる。
 式(D-1)の化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2~11の整数を示し、nは2~3の整数を示す。この第4級アンモニウム塩のR21は、例えば炭素数1~18、好ましくは2~10のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖状アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。
 式(D-2)の化合物は、R22232425で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は、例えば、エチル基、プロピル基、ブチル基、シクロヘキシル基、シクロヘキシルメチル基等の炭素数1~18のアルキル基、フェニル基等の炭素数6~18のアリール基、又はベンジル基等の炭素数7~18のアラルキル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この第4級アンモニウム塩は、市販品で入手することが可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。
 式(D-3)の化合物は、1-置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27の炭素数は、例えば、1~18であり、R26及びR27の炭素数の総和が7以上であることが好ましい。例えばR26はメチル基、エチル基、プロピル基等のアルキル基、フェニル基等のアリール基、ベンジル基等のアラルキル基を例示することができ、R27はベンジル基等のアラルキル基、オクチル基、オクタデシル基等のアルキル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品で入手することもできるが、例えば1-メチルイミダゾール、1-ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル、臭化ベンゼン等のハロゲン化アラルキル、ハロゲン化アルキル、ハロゲン化アリールを反応させて製造することができる。
 式(D-4)の化合物は、ピリジンから誘導される第4級アンモニウム塩であり、R28は、例えば炭素数1~18、好ましくは炭素数4~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示することができる。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は、市販品として入手することもできるが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、塩化N-ラウリルピリジニウム、臭化N-ベンジルピリジニウム等を例示することができる。
 式(D-5)の化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は、例えば炭素数1~18、好ましくは炭素数4~18のアルキル基、又は炭素数6~18のアリール基、又は炭素数7~18のアラルキル基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示することができる。R30は、例えば、炭素数1~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基であり、例えば式(D-5)で表される化合物がピコリンから誘導される第4級アンモニウムである場合、R30はメチル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することもできるが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造することができる。この化合物は例えば、N-ベンジルピコリニウムクロリド、N-ベンジルピコリニウムブロミド、N-ラウリルピコリニウムクロリド等を例示することができる。
 式(D-6)の化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2~11の整数を示し、nは2又は3を示す。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。本化合物は、アミンとカルボン酸やフェノール等の弱酸との反応によって製造することができる。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(Y)は(HCOO)であり、酢酸を使用した場合は、陰イオン(Y)は(CHCOO)である。またフェノールを使用した場合は、陰イオン(Y)は(C)である。
 式(D-7)の化合物は、R31323334の構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は、例えば、エチル基、プロピル基、ブチル基、シクロヘキシルメチル等の炭素数1~18のアルキル基、フェニル基等の炭素数6~18のアリール基、又はベンジル基等の炭素数7~18のアラルキル基であり、好ましくはR31~R34の4つの置換基のうちの3つが無置換のフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素数1~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化テトラn-ブチルホスホニウム、ハロゲン化テトラn-プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。
 また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが挙げられる。
 式(D-8)の化合物は、R353637の構造を有する第3級スルホニウム塩である。R35、R36、及びR37は、例えば、エチル基、プロピル基、ブチル基、シクロヘキシルメチル等の炭素数1~18のアルキル基、フェニル基等の炭素数6~18のアリール基、又はベンジル基等の炭素数7~18のアラルキル基であり、好ましくはR35~R37の3つの置換基のうちの2つが無置換のフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示することができ、また残りの1つは炭素数1~18のアルキル基、炭素数6~18のアリール基、又は炭素数7~18のアラルキル基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲン化物イオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることができる。この化合物は市販品として入手することが可能であり、例えばハロゲン化トリn-ブチルスルホニウム、ハロゲン化トリn-プロピルスルホニウム等のハロゲン化トリアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化ジアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム(以上、ハロゲン原子は塩素原子又は臭素原子)、トリn-ブチルスルホニウムカルボキシラート、トリn-プロピルスルホニウムカルボキシラート等のトリアルキルスルホニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のジアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラートが挙げられる。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。
 また、硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN-(3-トリエトキシシリプロピル)-4,5-ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。
 シリコン含有レジスト下層膜形成用組成物における[D]硬化触媒の含有量は、本発明の効果をより十分に得る観点から、[A]ポリシロキサン100質量部に対して、好ましくは0.1~30質量部、より好ましくは0.5~25質量部、より一層好ましくは1~20質量部である。
<[E]成分:アミン、水酸化物>
 シリコン含有レジスト下層膜形成用組成物は、本発明の効果をより十分に得る観点から、好ましくは[E]アミン及び水酸化物から選ばれる少なくとも1種を含有する。
 アミンとしては、アンモニア;モノメタノールアミン、モノエタノールアミン、モノプロパノールアミン、メチルアミン、エチルアミン、プロピルアミン、ブチルアミン等の第1級アミン;ジメチルアミン、エチルメチルアミン、ジエチルアミン等の第2級アミン;トリメチルアミン、トリエチルアミン、トリプロピルアミン、ジメチルエチルアミン、メチルジイソプロピルアミン、ジイソプロピルエチルアミン、ジエチルエタノールアミン、トリエタノールアミン等の第3級アミン;エチレンジアミン、テトラメチルエチレンジアミン等のアミン;ピリジン、モルホリン等の環状アミンなどが挙げられる。
 水酸化物としては、無機アルカリ水酸化物、有機アルカリ水酸化物が挙げられる。
 無機アルカリ水酸化物としては、例えば、水酸化ナトリウム、水酸化カリウム等が挙げられる。
 有機アルカリ水酸化物としては、例えば、テトラアルキルアンモニウム水酸化物、トリアリールスルホニウム水酸化物、ジアリールヨードニウム水酸化物等が挙げられる。テトラアルキルアンモニウム水酸化物としては、例えば、テトラメチルアンモニウム水酸化物、テトラエチルアンモニウム水酸化物、テトラブチルアンモニウム水酸化物等が挙げられる。トリアリールスルホニウム水酸化物としては、例えば、トリフェニルスルホニウム水酸化物、トリス(t-ブチルフェニル)スルホニウム水酸化物等が挙げられる。ジアリールヨードニウム水酸化物としては、ジフェニルヨードニウム水酸化物、ビス(t-ブチルフェニル)ヨードニウム水酸化物等が挙げられる。
 シリコン含有レジスト下層膜形成用組成物における[E]成分の含有量は、[A]ポリシロキサン100質量部に対して、好ましくは0.05~20質量部、より好ましくは0.1~15質量部、より一層好ましくは0.5~10質量部とすることができる。
<その他添加剤>
 シリコン含有レジスト下層膜形成用組成物には、組成物の用途に応じて種々の添加剤を配合可能である。
 添加剤としては、例えば、架橋剤、架橋触媒、安定化剤(有機酸、水、アルコール等)、有機ポリマー、酸発生剤、界面活性剤(ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等)、pH調整剤、金属酸化物、レオロジー調整剤、接着補助剤等、レジスト下層膜や、反射防止膜、パターン反転用膜など、半導体装置の製造に使用され得る各種膜を形成する材料(組成物)に配合される公知の添加剤を挙げることができる。
 なお以下に各種添加剤を例示するが、これらに限定されるものではない。
<<安定化剤>>
 安定化剤は、加水分解性シラン混合物の加水分解縮合物の安定化等の目的のために添加され得、その具体例として、有機酸、水、アルコール、又はそれらの組み合わせを添加することができる。
 有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸が好ましい。有機酸を添加する場合、その添加量は、加水分解性シラン混合物の加水分解縮合物の質量に対して、0.1~5.0質量%である。これら有機酸はpH調整剤としても働き得る。
 水としては、純水、超純水、イオン交換水等を用いることができ、使用する場合、その添加量は、シリコン含有レジスト下層膜形成用組成物100質量部に対して1~20質量部とすることができる。
 アルコールとしては塗布後の加熱により飛散しやすいものが好ましく、例えばメタノール、エタノール、プロパノール、i-プロパノール、ブタノール等が挙げられる。アルコールを添加する場合、その添加量は、シリコン含有レジスト下層膜形成用組成物100質量部に対して1~20質量部とすることができる。
<<有機ポリマー>>
 有機ポリマーは、シリコン含有レジスト下層膜形成用組成物に添加することにより、組成物から形成される膜(レジスト下層膜)のドライエッチング速度(単位時間当たりの膜厚の減少量)や、また減衰係数や屈折率等を調整することができる。有機ポリマーとしては特に制限はなく、その添加目的に応じて、種々の有機ポリマー(縮重合ポリマー及び付加重合ポリマー)の中から適宜選択される。
 その具体例としては、ポリエステル、ポリスチレン、ポリイミド、アクリルポリマー、メタクリルポリマー、ポリビニルエーテル、フェノールノボラック、ナフトールノボラック、ポリエーテル、ポリアミド、ポリカーボネート等の付加重合ポリマー及び縮重合ポリマーが挙げられる。
 本発明においては、吸光部位として機能するベンゼン環、ナフタレン環、アントラセン環、トリアジン環、キノリン環、キノキサリン環等の芳香環や複素芳香環を含む有機ポリマーも、そのような機能が必要な場合には、好適に用い得る。そのような有機ポリマーの具体例としては、ベンジルアクリレート、ベンジルメタクリレート、フェニルアクリレート、ナフチルアクリレート、アントリルメタクリレート、アントリルメチルメタクリレート、スチレン、ヒドロキシスチレン、ベンジルビニルエーテル及びN-フェニルマレイミド等の付加重合性モノマーをその構造単位として含む付加重合ポリマーや、フェノールノボラック及びナフトールノボラック等の縮重合ポリマーが挙げられるが、これらに限定されない。
 有機ポリマーとして付加重合ポリマーが使用される場合、そのポリマーは、単独重合体、共重合体のいずれであってもよい。
 付加重合ポリマーの製造には付加重合性モノマーが使用されるが、そのような付加重合性モノマーの具体例としては、アクリル酸、メタクリル酸、アクリル酸エステル化合物、メタクリル酸エステル化合物、アクリルアミド化合物、メタクリルアミド化合物、ビニル化合物、スチレン化合物、マレイミド化合物、マレイン酸無水物、アクリロニトリル等が挙げられるが、これらに限定されない。
 アクリル酸エステル化合物の具体例としては、メチルアクリレート、エチルアクリレート、ノルマルヘキシルアクリレート、i-プロピルアクリレート、シクロヘキシルアクリレート、ベンジルアクリレート、フェニルアクリレート、アントリルメチルアクリレート、2-ヒドロキシエチルアクリレート、3-クロロ-2-ヒドロキシプロピルアクリレート、2-ヒドロキシプロピルアクリレート、2,2,2-トリフルオロエチルアクリレート、2,2,2-トリクロロエチルアクリレート、2-ブロモエチルアクリレート、4-ヒドロキシブチルアクリレート、2-メトキシエチルアクリレート、テトラヒドロフルフリルアクリレート、2-メチル-2-アダマンチルアクリレート、5-アクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-アクリロキシプロピルトリエトキシシラン、グリシジルアクリレート等が挙げられるが、これらに限定されない。
 メタクリル酸エステル化合物の具体例としては、メチルメタクリレート、エチルメタクリレート、ノルマルヘキシルメタクリレート、i-プロピルメタクリレート、シクロヘキシルメタクリレート、ベンジルメタクリレート、フェニルメタクリレート、アントリルメチルメタクリレート、2-ヒドロキシエチルメタクリレート、2-ヒドロキシプロピルメタクリレート、2,2,2-トリフルオロエチルメタクリレート、2,2,2-トリクロロエチルメタクリレート、2-ブロモエチルメタクリレート、4-ヒドロキシブチルメタクリレート、2-メトキシエチルメタクリレート、テトラヒドロフルフリルメタクリレート、2-メチル-2-アダマンチルメタクリレート、5-メタクリロイルオキシ-6-ヒドロキシノルボルネン-2-カルボキシリック-6-ラクトン、3-メタクリロキシプロピルトリエトキシシラン、グリシジルメタクリレート、2-フェニルエチルメタクリレート、ヒドロキシフェニルメタクリレート、ブロモフェニルメタクリレート等が挙げられるが、これらに限定されない。
 アクリルアミド化合物の具体例としては、アクリルアミド、N-メチルアクリルアミド、N-エチルアクリルアミド、N-ベンジルアクリルアミド、N-フェニルアクリルアミド、N,N-ジメチルアクリルアミド、N-アントリルアクリルアミド等が挙げられるが、これらに限定されない。
 メタクリルアミド化合物の具体例としては、メタクリルアミド、N-メチルメタクリルアミド、N-エチルメタクリルアミド、N-ベンジルメタクリルアミド、N-フェニルメタクリルアミド、N,N-ジメチルメタクリルアミド、N-アントリルメタアクリルアミド等が挙げられるが、これらに限定されない。
 ビニル化合物の具体例としては、ビニルアルコール、2-ヒドロキシエチルビニルエーテル、メチルビニルエーテル、エチルビニルエーテル、ベンジルビニルエーテル、ビニル酢酸、ビニルトリメトキシシラン、2-クロロエチルビニルエーテル、2-メトキシエチルビニルエーテル、ビニルナフタレン、ビニルアントラセン等が挙げられるが、これらに限定されない。
 スチレン化合物の具体例としては、スチレン、ヒドロキシスチレン、クロロスチレン、ブロモスチレン、メトキシスチレン、シアノスチレン、アセチルスチレン等が挙げられるが、これらに限定されない。
 マレイミド化合物としては、マレイミド、N-メチルマレイミド、N-フェニルマレイミド、N-シクロヘキシルマレイミド、N-ベンジルマレイミド、N-ヒドロキシエチルマレイミド等が挙げられるが、これらに限定されない。
 ポリマーとして縮重合ポリマーが使用される場合、そのようなポリマーとしては、例えば、グリコール化合物とジカルボン酸化合物との縮重合ポリマーが挙げられる。グリコール化合物としてはジエチレングリコール、ヘキサメチレングリコール、ブチレングリコール等が挙げられる。ジカルボン酸化合物としては、コハク酸、アジピン酸、テレフタル酸、無水マレイン酸等が挙げられる。また、例えば、ポリピロメリットイミド、ポリ(p-フェニレンテレフタルアミド)、ポリブチレンテレフタレート、ポリエチレンテレフタレート等のポリエステル、ポリアミド、ポリイミドが挙げられるが、これらに限定されない。
 有機ポリマーがヒドロキシ基を含む場合は、このヒドロキシ基は、加水分解縮合物等と架橋反応をし得る。
 有機ポリマーの重量平均分子量は、通常1,000~1,000,000でとすることができる。有機ポリマーを配合する場合、ポリマーとしての機能の効果を十分に得つつ、組成物中での析出を抑制する観点から、その重量平均分子量を例えば3,000~300,000、又は5,000~300,000、あるいは10,000~200,000などとすることができる。
 このような有機ポリマーは、1種単独で使用してもよいし、2種以上組み合わせて用いることができる。
 シリコン含有レジスト下層膜形成用組成物が有機ポリマーを含有する場合、その含有量は、その有機ポリマーの機能等を考慮して適宜定まるため一概に規定できないが、通常、[A]ポリシロキサンの質量に対して、1~200質量%の範囲とすることができ、組成物中での析出を抑制する観点等から、例えば100質量%以下、好ましくは50質量%以下、より好ましくは30質量%以下とすることができ、その効果を十分に得る観点等から、例えば5質量%以上、好ましくは10質量%以上、より好ましくは30質量%以上とすることができる。
<<酸発生剤>>
 酸発生剤としては、熱酸発生剤や光酸発生剤が挙げられ、光酸発生剤を好ましく用いることができる。
 光酸発生剤としては、オニウム塩化合物、スルホンイミド化合物、ジスルホニルジアゾメタン化合物等が挙げられるが、これらに限定されない。なお光酸発生剤は、例えば後述するオニウム塩化合物における硝酸塩やマレイン酸塩などのカルボン酸塩、また塩酸塩など、その種類によっては硬化触媒としても機能し得る。
 また熱酸発生剤としては、例えばテトラメチルアンモニウム硝酸塩などが挙げられるが、これに限定されない。
 オニウム塩化合物の具体例としては、ジフェニルヨードニウムヘキサフルオロホスフェート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロノルマルブタンスルホネート、ジフェニルヨードニウムパーフルオロノルマルオクタンスルホネート、ジフェニルヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムカンファースルホネート、ビス(4-t-ブチルフェニル)ヨードニウムトリフルオロメタンスルホネート等のヨードニウム塩化合物、トリフェニルスルホニウムヘキサフルオロアンチモネート、トリフェニルスルホニウムノナフルオロノルマルブタンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウム硝酸塩(ナイトレート)、トリフェニルスルホニウムトリフルオロ酢酸塩、トリフェニルスルホニウムマレイン酸塩、トリフェニルスルホニウムクロリド等のスルホニウム塩化合物等を挙げることができるが、これらに限定されない。
 スルホンイミド化合物の具体例としては、N-(トリフルオロメタンスルホニルオキシ)スクシンイミド、N-(ノナフルオロノルマルブタンスルホニルオキシ)スクシンイミド、N-(カンファースルホニルオキシ)スクシンイミド、N-(トリフルオロメタンスルホニルオキシ)ナフタルイミド等が挙げられるが、これらに限定されない。
 ジスルホニルジアゾメタン化合物の具体例としては、ビス(トリフルオロメチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(p-トルエンスルホニル)ジアゾメタン、ビス(2,4-ジメチルベンゼンスルホニル)ジアゾメタン、メチルスルホニル-p-トルエンスルホニルジアゾメタン等が挙げられるが、これらに限定されない。
 シリコン含有レジスト下層膜形成用組成物が酸発生剤を含有する場合、その含有量は、酸発生剤の種類等を考慮して適宜定まるため一概に規定できないが、通常、[A]ポリシロキサンの質量に対して、0.01~5質量%の範囲であり、組成物中での酸発生剤の析出を抑制する観点等から、好ましくは3質量%以下、より好ましくは1質量%以下であり、その効果を十分に得る観点等から、好ましくは0.1質量%以上、より好ましくは0.5質量%以上である。
 なお酸発生剤は、1種単独で又は2種以上組み合わせて用いることができ、また、光酸発生剤と熱酸発生剤とを併用してもよい。
<<界面活性剤>>
 界面活性剤は、シリコン含有レジスト下層膜形成用組成物を基板に塗布した際に、ピンホール、ストレーション等の発生を抑制するのに有効である。界面活性剤としては、ノニオン系界面活性剤、アニオン系界面活性剤、カチオン系界面活性剤、シリコン系界面活性剤、フッ素系界面活性剤、UV硬化型界面活性剤等が挙げられる。より具体的には、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフェノールエーテル、ポリオキシエチレンノニルフェノールエーテル等のポリオキシエチレンアルキルアリールエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロックコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップ(登録商標)EF301、EF303、EF352(三菱マテリアル電子化成(株)(旧(株)トーケムプロダクツ)製)、商品名メガファック(登録商標)F171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(スリーエムジャパン(株)製)、商品名アサヒガード(登録商標)AG710(AGC(株)製)、サーフロン(登録商標)S-382、SC101、SC102、SC103、SC104、SC105、SC106(AGCセイミケミカル(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができるが、これらに限定されない。
 界面活性剤は、1種単独で又は2種以上組み合わせて用いることができる。
 シリコン含有レジスト下層膜形成用組成物が界面活性剤を含有する場合、その含有量は、[A]ポリシロキサンの質量に対して、通常0.0001~5質量%であり、好ましくは0.001~4質量%、より好ましくは0.01~3質量%とすることができる。
<<レオロジー調整剤>>
 レオロジー調整剤は、主にシリコン含有レジスト下層膜形成用組成物の流動性を向上させ、特にベーキング工程において、形成される膜の膜厚均一性の向上や、ホール内部への組成物の充填性を高める目的で添加される。具体例としては、ジメチルフタレート、ジエチルフタレート、ジi-ブチルフタレート、ジヘキシルフタレート、ブチルi-デシルフタレート等のフタル酸誘導体、ジノルマルブチルアジペート、ジ-i-ブチルアジペート、ジ-i-オクチルアジペート、オクチルデシルアジペート等のアジピン酸誘導体、ジノルマルブチルマレート、ジエチルマレート、ジノニルマレート等のマレイン酸誘導体、メチルオレート、ブチルオレート、テトラヒドロフルフリルオレート等のオレイン酸誘導体、またはノルマルブチルステアレート、グリセリルステアレート等のステアリン酸誘導体等を挙げることができる。
 これらのレオロジー調整剤が使用される場合、その添加量は、シリコン含有レジスト下層膜形成用組成物の全膜形成成分に対して通常30質量%未満である。
<<接着補助剤>>
 接着補助剤は、主に基板あるいはレジストと、シリコン含有レジスト下層膜形成用組成物から形成される膜(レジスト下層膜)との密着性を向上させ、特に現像においてレジストの剥離を抑制・防止する目的で添加される。具体例としては、トリメチルクロロシラン、ジメチルビニルクロロシラン、メチルジフェニルクロロシラン、クロロメチルジメチルクロロシラン等のクロロシラン類、トリメチルメトキシシラン、ジメチルジエトキシシラン、メチルジメトキシシラン、ジメチルビニルエトキシシラン等のアルコキシシラン類、ヘキサメチルジシラザン、N,N’-ビス(トリメチルシリル)ウレア、ジメチルトリメチルシリルアミン、トリメチルシリルイミダゾール等のシラザン類、γ-クロロプロピルトリメトキシシラン、γ-アミノプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン等のその他のシラン類、ベンゾトリアゾール、ベンズイミダゾール、インダゾール、イミダゾール、2-メルカプトベンズイミダゾール、2ーメルカプトベンゾチアゾール、2-メルカプトベンゾオキサゾール、ウラゾール、チオウラシル、メルカプトイミダゾール、メルカプトピリミジン等の複素環式化合物や、1,1-ジメチルウレア、1,3-ジメチルウレア等の尿素、又はチオ尿素化合物を挙げることができる。
 これらの接着補助剤が使用される場合、その添加量は、シリコン含有レジスト下層膜形成用組成物の膜形成成分に対して通常5質量%未満、好ましくは2質量%未満である。
<<pH調整剤>>
 また、pH調整剤として、前述の安定化剤として挙げた有機酸などのカルボン酸基を1又は2以上有する酸の他を挙げることができる。pH調整剤が使用される場合のその添加量は、[A]ポリシロキサンの100質量部に対して、0.01~20質量部、又は0.01~10質量部、又は0.01~5質量部の割合とすることができる。
<<金属酸化物>>
 またシリコン含有レジスト下層膜形成用組成物に添加可能な金属酸化物としては、例えば、スズ(Sn)、チタン(Ti)、アルミニウム(Al)、ジルコニウム(Zr)、亜鉛(Zn)、ニオブ(Nb)、タンタル(Ta)及びW(タングステン)等の金属及びホウ素(B)、ケイ素(Si)、ゲルマニウム(Ge)、ヒ素(As)、アンチモン(Sb)、及びテルル(Te)等の半金属のうち1種又は2種以上の組み合わせの酸化物を挙げることができるが、これらに限定されない。
 シリコン含有レジスト下層膜形成用組成物における膜形成成分の濃度は、当該組成物の全質量に対して、例えば0.1~50質量%、0.1~30質量%、0.1~25質量%、0.5~20.0質量%とすることができる。
 膜形成成分中の[A]ポリシロキサンの含有量は、通常20質量%~100質量%であるが、本発明の効果を再現性よく得る観点等から、その下限値は、好ましくは50質量%、より好ましくは60質量%、より一層好ましくは70質量%、更に好ましくは80質量%であり、その上限値は、好ましくは99質量%であり、その余を、後述の添加剤とすることができる。
 また当該シリコン含有レジスト下層膜形成用組成物は、好ましくはpH2~5を有し、より好ましくはpH3~4を有する。
 シリコン含有レジスト下層膜形成用組成物は、[A]ポリシロキサンと、[B]溶媒と、所望によりその他の成分が含有される場合には当該その他の成分とを混合することで製造できる。この際、[A]ポリシロキサンを含有する溶液を予め準備し、この溶液を、[B]溶媒やその他の成分と混合してもよい。
 混合順序は特に限定されるものではない。例えば、[A]ポリシロキサンを含有する溶液に、[B]溶媒を加えて混合し、その混合物にその他の成分を加えてもよく、[A]ポリシロキサンを含有する溶液と、[B]溶媒と、その他の成分を同時に混合してもよい。
 必要であれば、最後に更に[B]溶媒を追加で加えたり、[B]溶媒に比較的溶けやすい一部の成分を混合物中に含めずにおき、最後にそれを加えたりしてもよいが、構成成分の凝集や分離を抑制し、均一性に優れる組成物を再現性よく調製する観点から、[A]ポリシロキサンが良好に溶解した溶液を予め準備し、これを用いて組成物を調製することが好ましい。なお、[A]ポリシロキサンは、共に混ぜられる[B]溶媒の種類や量、その他の成分の量や性質等によっては、これらが混ぜられた際に凝集又は沈殿する可能性がある点に留意する。また、[A]ポリシロキサンが溶解した溶液を用いて組成物を調製する場合、最終的に得られる組成物中の[A]ポリシロキサンが所望の量となるように、[A]ポリシロキサンの溶液の濃度やその使用量を決める必要がある点も留意する。
 組成物の調製において、成分が分解したり変質したりしない範囲で、適宜加熱してもよい。
 本発明において、シリコン含有レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、サブマイクロメートルオーダーのフィルタ等を用いてろ過してもよい。なおこのとき用いられるフィルタの材料種は問わないが、例えばナイロン製フィルタ、フッ素樹脂製フィルタ等を用いることができる。
 本発明のシリコン含有レジスト下層膜形成用組成物はリソグラフィー工程に使用されるレジスト下層膜形成用の組成物として、好適に用いることができる。
 本発明のシリコン含有レジスト下層膜形成用組成物で形成されるシリコン含有レジスト下層膜は、ArFリソグラフィー用のレジスト下層膜として、あるいはArFエキシマレーザー光を用いたリソグラフィー技術では加工が難しいより微細な寸法の加工が可能な非常に短い波長の光を用いるEUVリソグラフィー用のレジスト下層膜として、有効に使用することができる。
(積層体)
 積層体は、元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜と、該金属膜上に形成されたシリコン含有レジスト下層膜とを有する。
 シリコン含有レジスト下層膜は、上述した本発明のシリコン含有レジスト下層膜形成用組成物を用いて形成される。
 上記金属膜はドライエッチングに供される。
 本発明のシリコン含有レジスト下層膜形成用組成物を用いて形成されたシリコン含有レジスト下層膜を有する、本発明の積層体を用いて半導体素子を製造することにより、バイオメタル層不要の半導体素子を得ることができる。
(半導体素子の製造方法)
 以下、本発明の一態様として、本発明のシリコン含有レジスト下層膜形成用組成物を用いて形成されたシリコン含有レジスト下層膜を使用した半導体素子の製造方法について説明する。
 まず、精密集積回路素子の製造に使用される基板〔例えば、酸化珪素膜、窒化珪素膜又は酸化窒化珪素膜で被覆されたシリコンウエハ等の半導体基板、窒化珪素基板、石英基板、ガラス基板(無アルカリガラス、低アルカリガラス、結晶化ガラスを含む。)、ITO(インジウムスズ酸化物)膜やIZO(インジウム亜鉛酸化物)膜が形成されたガラス基板、プラスチック(ポリイミド、PET等)基板、低誘電率材料(low-k材料)被覆基板、フレキシブル基板等〕の上に、蒸着等の適当な形成方法により、ルテニウム(Ru)等の特定の金属を含有する金属膜を形成する。
 金属膜上には、スピナー、コーター等の適当な塗布方法により、本発明のシリコン含有レジスト下層膜形成用組成物を塗布し、その後、ホットプレート等の加熱手段を用いて焼成することによって組成物を硬化物とし、レジスト下層膜を形成する。以下、本明細書において、レジスト下層膜とは、本発明のシリコン含有レジスト下層膜形成用組成物より形成される膜をいう。
 焼成する条件としては、焼成温度40℃~400℃、又は80℃~250℃、焼成時間0.3分間~60分間の中から適宜選択される。好ましくは、焼成温度150℃~250℃、焼成時間0.5分間~2分間である。
 ここで形成されるレジスト下層膜の膜厚としては、特に制限はなく目的に応じて適宜設定することができるが、例えば、レジスト下層膜が示すドライエッチング速度(ドライエッチレートともいう)の値が、特定の金属を含む金属膜が示すドライエッチレートの値と比較して好ましい範囲を示すよう、レジスト下層膜の膜厚を設定するとよい。レジスト下層膜の膜厚としては、例えば、10nm~1,000nmであり、20nm~500nmが好ましく、20nm~300nmがより好ましく、20nm~200nmがさらに好ましく、20~150nmが特に好ましい。
 なおレジスト下層膜の形成時に使用するシリコン含有レジスト下層膜形成用組成物として、ナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物を用いることができる。ここでナイロンフィルタろ過したシリコン含有レジスト下層膜形成用組成物とは、シリコン含有レジスト下層膜形成用組成物を製造する途中の段階において、又は全ての成分を混合した後に、ナイロンフィルタろ過を行った組成物を指す。
<特定の金属を含有する金属膜>
 本発明に係る金属膜は、元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む。
 上記金属の中でも、ルテニウム(Ru)、タングステン(W)、モリブデン(Mo)が好ましく、ルテニウム(Ru)、モリブデン(Mo)がより好ましく、ルテニウム(Ru)がさらに好ましい。
 ここで、基板上の金属膜の厚さは、特に限定されず、目的に応じて適宜選択することができる。金属膜の厚さとしては、例えば、(1~500nmや1~300nm)の範囲が挙げられる。
 本発明では、基板上に金属膜を形成した後、この上にレジスト下層膜を形成した態様とする。
 基板上に、金属膜、その上にレジスト下層膜、さらにその上に後述するレジスト膜を設けた態様とすることにより、後述する適切なエッチングガスを選択することにより金属膜の加工が可能になる。例えば、フォトレジスト膜に対して十分に早いエッチング速度を有するフッ素系ガスをエッチングガスとして用いて、レジスト下層膜の加工が可能であり、またレジスト下層膜に対して十分に早いエッチング速度を有する、酸素含有塩素系ガスをエッチングガスとして用いて、金属膜の加工が可能であり、半導体素子の製造を行うことができる。
 レジスト下層膜の上には、例えばフォトレジスト材料の層(レジスト膜)が形成される。レジスト膜の形成は周知の方法にて、すなわち、レジスト下層膜の上に、塗布型レジスト材料(レジスト膜形成用のレジスト組成物)を塗布し焼成することによって行うことができる。
 レジスト膜の膜厚は、例えば10nm~10,000nmであり、又は100nm~2,000nmであり、又は200nm~1,000nmであり、又は30nm~200nmである。
 レジスト下層膜上に形成されるレジスト膜に使用されるフォトレジスト材料としては、露光に使用される光(例えば、KrFエキシマレーザー、ArFエキシマレーザー等)に感光するものであれば特に限定はされず、ネガ型フォトレジスト材料及びポジ型フォトレジスト材料のいずれも使用できる。例えば、ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト材料、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト材料、酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト材料、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジスト材料のアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジスト材料等がある。
 市販品として入手可能な具体例としては、シプレー社製商品名APEX-E、住友化学(株)製商品名PAR710、JSR(株)製;商品名AR2772JN、及び信越化学工業(株)製商品名SEPR430等が挙げられるが、これらに限定されない。また、例えば、Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、やProc.SPIE,Vol.3999,365-374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジスト材料を挙げることができる。
 また、レジスト下層膜上に形成されるレジスト膜には、フォトレジスト膜に替えて電子線リソグラフィー用レジスト膜(電子線レジスト膜とも称する)、又はEUVリソグラフィー用レジスト膜(EUVレジスト膜とも称する)を用いることができ、すなわち、本発明のシリコン含有レジスト下層膜形成用組成物は、電子線リソグラフィー用レジスト下層膜形成用又はEUVリソグラフィー用レジスト下層膜形成用として用いることができる。特にEUVリソグラフィー用レジスト下層膜形成用組成物として好適である。
 電子線レジスト膜を形成するための電子線レジスト材料としては、ネガ型材料、ポジ型材料いずれも使用できる。その具体例としては、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト材料、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジスト材料のアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト材料、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト材料、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジスト材料などがある。これらの電子線レジスト材料を用いた場合も、照射源を電子線としてフォトレジスト材料を用いた場合と同様にレジスト膜のパターンを形成することができる。
 またEUVレジスト膜を形成するためのEUVレジスト材料としては、メタクリレート樹脂系レジスト材料を用いることができる。
 次に、レジスト下層膜の上層に形成されたレジスト膜に対して、所定のマスク(レクチル)を通して露光を行う。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)、Fエキシマレーザー(波長157nm)、EUV(波長13.5nm)、電子線等を使用することができる。
 露光後、必要に応じて露光後加熱(post exposure bake)を行うこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3分間~10分間から適宜選択された条件で行われる。
 次いで、現像液(例えばアルカリ現像液)によって現像が行われる。これにより、例えばポジ型フォトレジスト膜が使用された場合は、露光された部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(アルカリ現像液)としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液(アルカリ現像液)等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5~50℃、時間10秒~600秒から適宜選択される。
 また本発明では、現像液として有機溶剤を用いることができ、露光後に現像液(溶剤)によって現像が行われる。これにより、例えばネガ型フォトレジスト膜が使用された場合は、露光されていない部分のフォトレジスト膜が除去され、フォトレジスト膜のパターンが形成される。
 現像液(有機溶剤)としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、ギ酸メチル、ギ酸エチル、ギ酸ブチル、ギ酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度は5℃~50℃、時間は10秒~600秒から適宜選択される。
 このようにして形成されたフォトレジスト膜のパターンを保護膜としてレジスト下層膜の除去を行い、次いでパターン化されたレジスト下層膜からなる膜(パターン化されたレジスト膜とパターン化されたレジスト下層膜とを合わせた状態でも構わない)を保護膜として、特定の金属を含有する金属膜のパターニングを行う。これにより、半導体基板上にパターン化された金属膜を有する半導体素子を製造することができる。
 レジスト膜のパターンを保護膜として行われるレジスト下層膜の除去(パターン化)はドライエッチングによって行われ、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素、三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。
 なおレジスト下層膜のドライエッチングには、ハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるレジスト膜(フォトレジスト膜)は除去されにくい。それに対し、ケイ素原子を多く含むレジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、該レジスト下層膜のドライエッチングに伴うフォトレジスト膜の膜厚の減少を抑えることができる。そして、その結果、フォトレジスト膜を薄膜で使用することが可能となる。従って、レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 基板とレジスト下層膜の間には特定の金属を含む金属膜が形成されている。
 パターン化されたレジスト下層膜からなる膜を保護膜として行われる金属膜の除去(パターン化)(パターン化されたレジスト膜が残存している場合には、パターン化されたレジスト膜とパターン化されたレジスト下層膜とからなる膜を保護膜として行われる金属膜の除去)は、酸素含有塩素系ガス(酸素ガスと塩素ガスとの混合ガス、酸素ガスと塩素ガスにさらにアルゴンガスを含む混合ガス等)によるドライエッチングによって行われることが好ましい。
 酸素含有塩素系ガスによるドライエッチングにおいて、本発明に係るレジスト下層膜が示すドライエッチレートと、特定の金属を含む金属膜が示すドライエッチレートとは、実用上支障なく使用し得る良好な関係を示すため、本発明に係るレジスト下層膜は、特定の金属を含む金属膜のエッチングマスクとして有効に利用し得る。
 特にレジスト下層膜が、ポリシロキサンにおけるSiの占める含有量が30質量%以上であるシリコン含有レジスト下層膜形成用組成物を用いて形成されたレジスト下層膜である場合、該シリコン含有レジスト下層膜が示すドライエッチレートは、特定の金属を含む金属膜が示すドライエッチレートに比べ遅い値となる。つまり、該シリコン含有レジスト下層膜は、特定の金属を含む金属膜に対して高いドライエッチ耐性を有するため、該レジスト下層膜をエッチングマスクとして使用することにより、特定の金属を含む金属膜を効果的に除去することができる。
 金属膜の加工(パターン化)後、レジスト下層膜の除去が行われ得る。レジスト下層膜の除去は、ドライエッチング又は薬液を用いた湿式法(ウェットエッチング)により実施され得る。
 レジスト下層膜のドライエッチングは、パターン化において挙げたようにフッ素系ガスによることが好ましく、例えば、テトラフルオロメタン(CF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、ジフルオロメタン(CH)等が挙げられるが、これらに限定されない。
 レジスト下層膜のウェットエッチングに使用される薬液としては、希フッ酸(フッ化水素酸)、バッファードフッ酸(HFとNHFの混合溶液)、塩酸と過酸化水素を含有する水溶液(SC-2薬液)、硫酸と過酸化水素を含有する水溶液(SPM薬液)、弗酸と過酸化水素を含有する水溶液(FPM薬液)や、アンモニアと過酸化水素を含有する水溶液(SC-1薬液)等のアルカリ性溶液が挙げられる。またアルカリ性溶液としては、前述のアンモニアと過酸化水素水と水の混合によるアンモニア過水(SC-1薬液)のほか、アンモニア、テトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド、テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、コリンヒドロキシド、ベンジルトリメチルアンモニウムヒドロキシド、ベンジルトリエチルアンモニウムヒドロキシド、DBU(ジアザビシクロウンデセン)、DBN(ジアザビシクロノネン)、ヒドロキシルアミン、1-ブチル-1-メチルピロリジニウムヒドロキシド、1-プロピル-1-メチルピロリジニウムヒドロキシド、1-ブチル-1-メチルピペリジニウムヒドロキシド、1-プロピル-1-メチルピペリジニウムヒドロキシド、メピクアトヒドロキシド、トリメチルスルホニウムヒドロキシド、ヒドラジン類、エチレンジアミン類、又はグアニジンを1~99質量%含有する水溶液を挙げることができる。これら薬液は混合して用いることもできる。
 また本発明のレジスト下層膜は、EUVレジスト膜の下層膜として、ハードマスクとしての機能以外にも、例えばEUVレジスト膜とインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えばUV(紫外)光やDUV(深紫外)光(:ArF光、KrF光)の基板又は界面からの反射を防止することができる。従って、EUVレジスト膜の下層反射防止膜を形成するために、本発明のシリコン含有レジスト下層膜形成用組成物を好適に用いることができる。すなわちEUVレジスト膜の下層として効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、そのプロセスはフォトレジスト用下層膜と同様に行うことができる。
 以上説明した本発明のシリコン含有レジスト下層膜形成用組成物を用いて形成されるシリコン含有レジスト下層膜と、特定の金属を含有する金属膜とを有する積層体を用い、該金属膜をドライエッチングに供することにより、半導体素子を好適に製造することができる。
 また、上述した通りの、半導体基板上に特定の金属を含む金属膜を形成する工程と、該金属膜上に、本発明のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、当該シリコン含有レジスト下層膜上にレジスト膜を形成する工程とを含む、半導体素子の製造方法によれば、精度の高い半導体素子を再現性よく実現できるため、半導体素子の安定的な製造を期待できる。
 さらに、上述した通りの、レジスト膜を露光、現像し、レジストパターンを得る工程と、パターン化された該レジスト膜をマスクとして用い、シリコン含有レジスト下層膜をエッチングする工程と、パターン化された該シリコン含有レジスト下層膜をマスクとして用い、金属膜をドライエッチングする工程とを含む、半導体素子の製造方法によれば、配線にCuではない新たな金属を用い、従来必要とされていたバリアメタル層を必要としない、新たな半導体素子をサブトラクティブ法を用いて製造することができる。
 以下、合成例及び実施例を挙げて、本発明をより具体的に説明するが、本発明は下記実施例のみに限定されるものではない。
 なお実施例において、試料の物性の分析に使用した装置及び条件は、以下のとおりである。
(1)分子量測定
 本発明で用いるポリシロキサンの分子量は、GPC分析によるポリスチレン換算で得られる分子量である。
 GPCの測定条件は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名Shodex(登録商標)KF803L、KF802、KF801、昭和電工株式会社製)、カラム温度は40℃、溶離液(溶出溶媒)はテトラヒドロフラン、流量(流速)は1.0mL/min、標準試料はポリスチレン(昭和電工株式会社製)を用いて行うことができる。
(2)H-NMR
 JEOL製核磁気共鳴装置H-NMR(400MHz)、溶媒はd6-Acetoneを用いて評価した。
(3)残硝酸量
 イオンクロマトグラフィー評価にて系内に残存する硝酸量を測定した。
[1]ポリマー(加水分解縮合物)の合成
(合成例1)
 テトラエトキシシラン23.04g、フェニルトリメトキシシラン1.57g、メチルトリエトキシシラン6.76g、1,3-ジアリル-5-(3-(トリエトキシシリル)プロピル)-1,3,5-トリアジナン-2,4,6-トリオン0.65g及びプロピレングリコールモノエチルエーテル48.04gを300mLのフラスコに入れ、得られた混合溶液をマグネチックスターラーにて撹拌しながら0.1M硝酸水溶液19.93gを滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間反応させた。その後、反応副生物である、エタノール、メタノール及び水を減圧留去し、濃縮して加水分解縮合物(ポリマー)溶液を得た。
 得られた溶液にさらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。
 得られたポリマーは下記式で表される構造を含むポリシロキサンを含み、その重量平均分子量は、GPCによるポリスチレン換算でMw3,000であった。また、H-NMRよりプロピレングリコールモノエチルエーテルによりキャッピングされている量はSi原子に対して2mol%であった。またポリマー溶液中の残硝酸量は0.09%であった。また計算上のSi含有量は42質量%であった。ここで、Si含有量は、それぞれのシランモノマーが完全縮合したと仮定した場合のポリマー中におけるSi原子の重量比として求めた。
Figure JPOXMLDOC01-appb-C000086
(合成例2)
 テトラエトキシシラン22.26g、メチルトリエトキシシラン6.53g、1,3-ジアリル-5-(3-(トリエトキシシリル)プロピル)-1,3,5-トリアジナン-2,4,6-トリオン3.16g及びプロピレングリコールモノエチルエーテル48.45gを300mLのフラスコに入れ、得られた混合溶液をマグネチックスターラーにて撹拌しながら0.2M硝酸水溶液19.93gおよびN,N-ジメチル-3-(トリメトキシシリル)プロパン-1-アミン0.35gの混合水溶液を滴下した。
 滴下後、60℃に調整されたオイルバスにフラスコを移し、20時間反応させた。その後、反応副生物である、エタノール、メタノール及び水を減圧留去し、濃縮して加水分解縮合物(ポリマー)溶液を得た。
 得られた溶液にさらにプロピレングリコールモノエチルエーテルを加え、プロピレングリコールモノエチルエーテル100%の溶媒比率として140℃における固形残物換算で20質量パーセントとなるように濃度調整し、ナイロン製フィルタ(孔径0.1μm)にてろ過を行った。
 得られたポリマーは下記式で表される構造を含むポリシロキサンを含み、その重量平均分子量は、GPCによるポリスチレン換算でMw2,500であった。また、H-NMRよりプロピレングリコールモノエチルエーテルによりキャッピングされている量はSi原子に対して3mol%であった。またポリマー溶液中の残硝酸量は0.16%であった。また計算上のSi含有量は38質量%であった。
Figure JPOXMLDOC01-appb-C000087
(合成例3)
 テトラエトキシシラン22.2g(30mol%)、メチルトリエトキシシラン44.4g(70mol%)およびアセトン100gを500mLのフラスコに入れ、そのフラスコ内の混合溶液をマグネチックスターラーにて撹拌しながら、0.01mol/Lの塩酸21.2gをその混合溶液に滴下した。滴下後、85℃に調整されたオイルバスにフラスコを移し、加温還流下で4時間反応させた。その後、反応溶液を室温まで冷却し、その反応溶液に4-メチル-2-ペンタノールを100g加え、アセトン、水及び塩酸、並びに反応副生物であるエタノールを反応溶液から減圧留去し濃縮して、共加水分解縮合物(ポリシロキサン)の4-メチル-2-ペンタノール溶液を得た。固形分濃度は140℃における固形残物換算で13質量%となるように調整した。作製したポリマー溶液15gに対し酢酸を20mg加えた。150℃に調整されたオイルバスにフラスコを移し、加温還流下で48時間反応させた。GPCによる重量平均分子量Mwはポリスチレン換算で5300であった。得られたポリシロキサンはシラノール基の一部が4-メチル-2-ペンタノールでキャッピングされたポリシロキサンであった。また、H-NMRより4-メチル-2-ペンタノールによりキャッピングされている量はSi原子に対して20mol%であった。また計算上のSi含有量は35質量%であった。
(合成例4)
 水91.16gを500mLのフラスコに入れ、混合溶液をマグネチックスターラーにて撹拌しながらジメチルアミノプロピルトリメトキシシラン22.23g、トリエトキシシリルプロピルコハク酸無水物8.16gを該混合溶液に滴下した。添加後、40℃に調整されたオイルバスにフラスコを移し、240分間、反応させた。その後、反応溶液を室温まで冷却し、反応溶液に水91.16gを加え、反応副生物であるエタノール、メタノール、水を減圧留去し、濃縮して加水分解縮合物(ポリシロキサン)水溶液を得た。さらに水を加え、水100%の溶媒比率(水のみの溶媒)として、140℃における固形残物換算で20質量パーセントとなるように調整した。得られたポリマーは下記式で表される構造を含むポリシロキサンを含んでいた。また計算上のSi含有量は19質量%であった。
Figure JPOXMLDOC01-appb-C000088
[2]レジストパターンに塗布される組成物の調製
 上記合成例で得られたポリシロキサン(ポリマー)、酸(添加剤1)、光酸発生剤(添加剤2)、及び溶媒を表1に示す割合で混合し、0.1μmのフッ素樹脂製のフィルタでろ過することによって、レジストパターンに塗布される組成物をそれぞれ調製した。表1中の各添加量は質量部で示した。
 なお、加水分解縮合物(ポリマー)は合成例で得た該縮合物を含む溶液として組成物を調製しているが、表1中のポリマーの添加割合はポリマー溶液の添加量ではなく、ポリマー自体の添加量を示した。
 表1中、溶媒として、DIWは超純水を、PGEEはプロピレングリコールモノエチルエーテルを、PGMEはプロピレングリコールモノメチルエーテルを、MIBCはメチルイソブチルカルビノールをそれぞれ意味する。
 さらに、各種添加剤として、MAはマレイン酸を、TPSNO3はトリフェニルスルホニウム硝酸塩を、IMTEOSはトリエトキシシリルプロピル-4,5-ジヒドロイミダゾールを、NfAはノナフルオロブタン-1-スルホン酸(FBSA)をそれぞれ意味する。
Figure JPOXMLDOC01-appb-T000089
※実施例1~4は、合成例1~4で調製したポリマー溶液に含まれる硝酸又は酢酸をさらに含む。
[1]ドライエッチング耐性試験
 実施例1~4で調製した組成物を、スピナーを用いてシリコンウェハー上にそれぞれ塗布した。ホットプレート上で215℃1分間加熱し、Si含有レジスト下層膜をそれぞれ形成し、得られた下層膜の膜厚を計測した。
 その後、サムコ製ドライエッチャーにてCl及びOの混合ガスにてドライエッチングを45秒間行い、得られた下層膜の膜厚を計測した。
 参考例として100nm膜厚のRu基板も上記の条件にてドライエッチングを行った。
 得られたエッチレートの測定結果を表2に示す
Figure JPOXMLDOC01-appb-T000090
 上記の結果より、本発明のシリコン含有レジスト下層膜形成用組成物により形成されたシリコン含有レジスト下層膜が示すドライエッチレートは、Ru基板が示すドライエッチレートと比較して、Ru基板のエッチングマスクとして実用上支障なく使用し得る範囲内であることが確認できた。したがって、Ru等の元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜をドライエッチングする際に使用するエッチングマスクとして、本発明のシリコン含有レジスト下層膜形成用組成物から形成されるシリコン含有レジスト下層膜は好適に使用し得ることがわかった。
 特に、実施例1~3のシリコン含有レジスト下層膜が示すドライエッチレートは、Ru基板が示すドライエッチレートより遅く、Ru基板に対して高いドライエッチ耐性を有することが確認できた。
 このことから、シリコン含有レジスト下層膜形成用組成物中のポリシロキサンにおけるSiの含有量とドライエッチ耐性には相関があり、例えば実施例1~3で示すような、少なくともポリシロキサンにおけるSiの占める含有量が30質量%以上であるシリコン含有レジスト下層膜形成用組成物から形成されるシリコン含有レジスト下層膜は、元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜をドライエッチングする際に使用するエッチングマスクとして、より好適に使用し得ることがわかった。

 

Claims (21)

  1.  元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜をドライエッチングする際にエッチングマスクとして使用するためのシリコン含有レジスト下層膜を形成するシリコン含有レジスト下層膜形成用組成物。
  2.  前記金属が、ルテニウム(Ru)である、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  3.  [A]成分:ポリシロキサン、及び
     [B]成分:溶媒
    を含有する、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  4.  前記シリコン含有レジスト下層膜が塗布により形成されてなる膜である、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  5.  前記[A]成分のポリシロキサンにおけるSiの占める含有量が、30質量%以上である、請求項3に記載のシリコン含有レジスト下層膜形成用組成物。
  6.  前記[A]成分のポリシロキサンが、4官能アルコキシシランを原料として用いられるポリシロキサンである、請求項3に記載のシリコン含有レジスト下層膜形成用組成物。
  7.  前記[A]成分のポリシロキサンが、3官能アルコキシシランを原料として用いられるポリシロキサンである、請求項3に記載のシリコン含有レジスト下層膜形成用組成物。
  8.  前記[A]成分のポリシロキサンが、シラノール基の少なくとも一部がアルコール変性された又はアセタール保護されたポリシロキサン変性物を含む、請求項3に記載のシリコン含有レジスト下層膜形成用組成物。
  9.  前記ポリシロキサン変性物が、加水分解性シラン化合物の加水分解縮合物とアルコールの脱水反応物を含む、請求項8に記載のシリコン含有レジスト下層膜形成用組成物。
  10.  前記[B]成分の溶媒が、アルコール系溶媒を含む、請求項3に記載のシリコン含有レジスト下層膜形成用組成物。
  11.  前記[B]成分の溶媒が、プロピレングリコールモノアルキルエーテル、及びメチルイソブチルカルビノールの少なくともいずれかを含む、請求項10に記載のシリコン含有レジスト下層膜形成用組成物。
  12.  硝酸又は酢酸をさらに含む、請求項3に記載のシリコン含有レジスト下層膜形成用組成物。
  13.  前記[B]成分の溶媒が水を含む、請求項3に記載のシリコン含有レジスト下層膜形成用組成物。
  14.  前記シリコン含有レジスト下層膜が、ArF又はEUVリソグラフィー用のレジスト下層膜である、請求項1に記載のシリコン含有レジスト下層膜形成用組成物。
  15.  元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜と、前記金属膜上に形成されたシリコン含有レジスト下層膜とを有する積層体であって、
     前記シリコン含有レジスト下層膜は、請求項1~14のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を用いて形成され、
     前記金属膜がドライエッチングに供される、積層体。
  16.  半導体基板上に、元素周期表第6族、7族、8族、及び9族からなる群より選ばれる少なくとも1種の金属を含む金属膜を形成する工程と、
     前記金属膜上に、請求項1~14のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を用いてシリコン含有レジスト下層膜を形成する工程と、
     前記シリコン含有レジスト下層膜上に、レジスト膜を形成する工程と、
    を含む、半導体素子の製造方法。
  17.  前記シリコン含有レジスト下層膜を形成する工程において、ナイロンフィルタをろ過したシリコン含有レジスト下層膜形成用組成物を使用する、請求項16に記載の半導体素子の製造方法。
  18.  前記金属膜上に、請求項1~14のいずれかに記載のシリコン含有レジスト下層膜形成用組成物を塗布し、焼成して、シリコン含有レジスト下層膜を形成する工程と、
     前記シリコン含有レジスト下層膜上に、レジスト組成物を塗布し、レジスト膜を形成する工程と、
    を含む、請求項16に記載の半導体素子の製造方法。
  19.  前記レジスト膜を露光、現像し、レジストパターンを得る工程と、
     パターン化された前記レジスト膜をマスクとして用い、前記シリコン含有レジスト下層膜をエッチングする工程と、
     パターン化された前記シリコン含有レジスト下層膜をマスクとして用い、前記金属膜をドライエッチングする工程とを含む、請求項16に記載の半導体素子の製造方法。
  20.  前記ドライエッチングする工程における、前記シリコン含有レジスト下層膜のエッチング速度(エッチレート)が、前記金属膜のエッチング速度(エッチレート)より遅い値を示す、請求項19に記載の半導体素子の製造方法。
  21.  前記金属膜をドライエッチングする工程の後に、薬液を用いた湿式法により前記シリコン含有レジスト下層膜を除去する工程を更に含む、請求項19に記載の半導体素子の製造方法。

     
PCT/JP2022/033125 2021-09-07 2022-09-02 シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法 WO2023037979A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2023546919A JPWO2023037979A1 (ja) 2021-09-07 2022-09-02
KR1020247004110A KR20240063861A (ko) 2021-09-07 2022-09-02 실리콘함유 레지스트 하층막 형성용 조성물, 이 조성물을 이용한 적층체, 및 반도체소자의 제조방법
CN202280059504.3A CN117940850A (zh) 2021-09-07 2022-09-02 含有硅的抗蚀剂下层膜形成用组合物、使用了该组合物的叠层体及半导体元件的制造方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2021-145101 2021-09-07
JP2021145101 2021-09-07

Publications (1)

Publication Number Publication Date
WO2023037979A1 true WO2023037979A1 (ja) 2023-03-16

Family

ID=85507568

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2022/033125 WO2023037979A1 (ja) 2021-09-07 2022-09-02 シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法

Country Status (5)

Country Link
JP (1) JPWO2023037979A1 (ja)
KR (1) KR20240063861A (ja)
CN (1) CN117940850A (ja)
TW (1) TW202315908A (ja)
WO (1) WO2023037979A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023238920A1 (ja) * 2022-06-10 2023-12-14 日産化学株式会社 環境負荷を低減するためのレジスト下層膜形成用組成物

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015028145A (ja) * 2013-06-27 2015-02-12 信越化学工業株式会社 塗布型bpsg膜形成用組成物、該組成物で膜を形成した基板、及び前記組成物を用いたパターン形成方法
WO2020138092A1 (ja) * 2018-12-28 2020-07-02 日産化学株式会社 水素ガスを用いた前処理によるレジスト下層膜のエッチング耐性を向上する方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012069550A (ja) 2010-09-21 2012-04-05 Tokyo Electron Ltd 半導体装置の製造方法および半導体装置の製造システム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015028145A (ja) * 2013-06-27 2015-02-12 信越化学工業株式会社 塗布型bpsg膜形成用組成物、該組成物で膜を形成した基板、及び前記組成物を用いたパターン形成方法
WO2020138092A1 (ja) * 2018-12-28 2020-07-02 日産化学株式会社 水素ガスを用いた前処理によるレジスト下層膜のエッチング耐性を向上する方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023238920A1 (ja) * 2022-06-10 2023-12-14 日産化学株式会社 環境負荷を低減するためのレジスト下層膜形成用組成物

Also Published As

Publication number Publication date
JPWO2023037979A1 (ja) 2023-03-16
KR20240063861A (ko) 2024-05-10
CN117940850A (zh) 2024-04-26
TW202315908A (zh) 2023-04-16

Similar Documents

Publication Publication Date Title
WO2016080226A1 (ja) 架橋反応性シリコン含有膜形成組成物
WO2014069329A1 (ja) エステル基を有するシリコン含有レジスト下層膜形成組成物
WO2016093172A1 (ja) ハロゲン含有カルボン酸アミド基を有する加水分解性シランを含むリソグラフィー用レジスト下層膜形成組成物
JP2021189314A (ja) シリコン含有レジスト下層膜の製造方法
WO2023037979A1 (ja) シリコン含有レジスト下層膜形成用組成物、該組成物を用いた積層体、及び半導体素子の製造方法
WO2020196563A1 (ja) 膜形成用組成物
WO2022230940A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022114132A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210960A1 (ja) 誘導自己組織化用シリコン含有下層膜形成用組成物
JP7495015B2 (ja) 添加剤含有シリコン含有レジスト下層膜形成組成物
WO2022260154A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023136250A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2024063044A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2023008507A1 (ja) シリコン含有レジスト下層膜形成用組成物、及びシリコン含有レジスト下層膜
WO2022210954A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2022210901A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2024009993A1 (ja) 積層体の製造方法、及び半導体素子の製造方法
WO2022210944A1 (ja) シリコン含有レジスト下層膜形成用組成物
WO2024019064A1 (ja) 多官能スルホン酸を含むシリコン含有レジスト下層膜形成用組成物
WO2023157943A1 (ja) 不飽和結合及び環式構造を有するシリコン含有レジスト下層膜形成組成物
US20240201593A1 (en) Composition for forming silicon-containing resist underlayer film
KR20230109157A (ko) 레지스트 하층막 형성용 조성물
WO2021221171A1 (ja) レジスト下層膜形成用組成物
WO2020196642A1 (ja) 膜形成用組成物

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22867301

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2023546919

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 202280059504.3

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE